diff --git a/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.fpga.yaml b/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.fpga.yaml deleted file mode 100644 index 3b87d372e2899767bff5cf243cbd0e18521dfada..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.fpga.yaml +++ /dev/null @@ -1,518 +0,0 @@ -schema_name: args -schema_version: 1.0 -schema_type: fpga - -hdl_library_name: disturb2_unb2b_station -fpga_name: disturb2_unb2b_station -fpga_description: "FPGA design disturb2_unb2b_station" -parameters: - - { name: c_N_pol_bf, value: 2 } # NOTE: define c_N_pol_bf before c_N_pol, to avoid that c_N_pol_bf gets substituted by 2_bf - - { name: c_N_pol, value: 2 } - - { name: c_N_beamsets, value: 2 } - - { name: c_N_sub, value: 512 } - - { name: c_N_fft, value: 1024 } - - { name: c_N_pn_lb, value: 16 } - - { name: c_S_pn, value: 12 } - - { name: c_Q_fft, value: 2 } - - { name: c_P_sum, value: 2 } - - { name: c_P_sq, value: 1 + c_N_pn_lb // 2 } # = 1 + 16 // 2 = 9, on revision xsub_one only first X_sq cell is used - - { name: c_X_sq, value: c_S_pn * c_S_pn } # = 144 - - { name: c_N_crosslets, value: 7 } - - { name: c_N_taps, value: 16 } - - { name: c_W_adc_jesd, value: 16 } - - { name: c_W_adc, value: 14 } - - { name: c_V_oversample, value: 2 } - - { name: c_V_sample_delay, value: 4096 } - - { name: c_V_si_db_large, value: 131072 } - - { name: c_V_si_db, value: 1024 } - - { name: c_V_si_histogram, value: 512 } - - { name: c_W_fir_coef, value: 16 } - - { name: c_W_subband, value: 18 } - - { name: c_P_pfb, value: c_S_pn / c_Q_fft } # = 6 - - { name: c_A_pn, value: c_S_pn / c_N_pol } # = 6 - - { name: c_S_sub_bf, value: 488 } - - { name: c_f_adc_MHz, value: 200 } - - { name: c_W_sub_weight, value: 16 } - - { name: c_W_bf_weight, value: 16 } - - { name: c_W_beamlet_scale, value: 16 } - - { name: c_W_beamlet_resolution, value: 0 - 15 } # EK: FIXME: support passing on negative values, workaround use 0 - positive - - { name: c_W_beamlet, value: 8 } - - { name: c_stat_data_sz, value: 2 } - - { name: c_nof_clk_per_pps, value: c_f_adc_MHz * 10**6 } # = 200000000 - - { name: c_lane_nof_rx_monitors, value: 16 } # per lane - - { name: c_lane_nof_tx_monitors, value: 16 } # per lane - - { name: c_lane_nof_err_counts, value: 8 } # per lane - - { name: c_ring_nof_mac, value: 3 } # 1 TX/RX for RING0 + 1 TX/RX for RING1 + 1 TX/RX for QSFP0 - -peripherals: - ############################################################################# - # Factory / minimal (see ctrl_unb2b_board.vhd) - ############################################################################# - - peripheral_name: unb2b_board/system_info - lock_base_address: 0x10000 - mm_port_names: - - ROM_SYSTEM_INFO - - PIO_SYSTEM_INFO - - - peripheral_name: unb2b_board/wdi - mm_port_names: - - REG_WDI - - - peripheral_name: unb2b_board/unb2_fpga_sens - mm_port_names: - - REG_FPGA_TEMP_SENS - - REG_FPGA_VOLTAGE_SENS - - - peripheral_name: unb2b_board/ram_scrap - mm_port_names: - - RAM_SCRAP - - - peripheral_name: eth/eth - mm_port_names: - - AVS_ETH_0_TSE - - AVS_ETH_0_REG - - AVS_ETH_0_RAM - - - peripheral_name: ppsh/ppsh - mm_port_names: - - PIO_PPS - - - peripheral_name: epcs/epcs - parameter_overrides: - - { name: "g_epcs_addr_w", value: 32 } - mm_port_names: - - REG_EPCS - - - peripheral_name: dp/dpmm - mm_port_names: - - REG_DPMM_CTRL - - REG_DPMM_DATA - - - peripheral_name: dp/mmdp - mm_port_names: - - REG_MMDP_CTRL - - REG_MMDP_DATA - - - peripheral_name: remu/remu - parameter_overrides: - - { name: g_data_w, value: 32 } - mm_port_names: - - REG_REMU - - ############################################################################# - # SDP Info - ############################################################################# - - - peripheral_name: sdp/sdp_info - mm_port_names: - - REG_SDP_INFO - - ############################################################################# - # Ring Info - ############################################################################# - - - peripheral_name: ring/ring_info - mm_port_names: - - REG_RING_INFO - - ############################################################################# - # AIT = ADC Input and Timing (see node_adc_input_and_timing.vhd) - ############################################################################# - - - peripheral_name: tech_jesd204b/jesd_ctrl - mm_port_names: - - PIO_JESD_CTRL - - - peripheral_name: tech_jesd204b/jesd204b_arria10 - parameter_overrides: - - { name: g_nof_streams, value: c_S_pn } - mm_port_names: - - JESD204B - - - peripheral_name: dp/dp_shiftram - parameter_overrides: - - { name: g_nof_streams, value: c_S_pn } - - { name: g_nof_words, value: c_V_sample_delay } - - { name: g_data_w, value: c_W_adc_jesd } - mm_port_names: - - REG_DP_SHIFTRAM - - - peripheral_name: dp/dp_bsn_source_v2 - parameter_overrides: - - { name: g_nof_clk_per_sync, value: c_nof_clk_per_pps } - - { name: g_block_size, value: c_N_fft } - - { name: g_bsn_time_offset_w, value: ceil_log2(c_N_fft) } - mm_port_names: - - REG_BSN_SOURCE_V2 - - - peripheral_name: dp/dp_bsn_scheduler - mm_port_names: - - REG_BSN_SCHEDULER - - - peripheral_name: dp/dp_bsn_monitor - peripheral_group: input - mm_port_names: - - REG_BSN_MONITOR_INPUT - - - peripheral_name: diag/diag_wg_wideband - parameter_overrides: - - { name: g_nof_streams, value: c_S_pn } - mm_port_names: - - REG_WG - - RAM_WG - - - peripheral_name: st/st_histogram - parameter_overrides: - - { name: g_nof_instances, value: c_S_pn } - - { name: g_nof_bins, value: c_V_si_histogram } - - { name: g_nof_data_per_sync, value: c_nof_clk_per_pps} - mm_port_names: - - RAM_ST_HISTOGRAM - - - peripheral_name: aduh/aduh_mon_dc_power - parameter_overrides: - - { name: g_nof_streams, value: c_S_pn } - mm_port_names: - - REG_ADUH_MONITOR - - # Commented RAM_ADUH_MON, because use RAM_DIAG_DATA_BUF_BSN instead - #- peripheral_name: aduh/aduh_mon_data_buffer - # parameter_overrides: - # - { name: g_nof_streams, value: c_S_pn } - # - { name: g_symbol_w, value: c_W_adc_jesd } - # - { name: g_nof_symbols_per_data, value: 1 } - # - { name: g_buffer_nof_symbols, value: 512 } - # - { name: g_buffer_use_sync, value: True } - # mm_port_names: - # - RAM_ADUH_MON - - - peripheral_name: diag/diag_data_buffer - peripheral_group: bsn - parameter_overrides: - - { name: g_nof_streams, value: c_S_pn } - - { name: g_data_w, value: c_W_adc_jesd } - - { name: g_nof_data, value: c_V_si_db } - mm_port_names: - - REG_DIAG_DATA_BUFFER_BSN - - RAM_DIAG_DATA_BUFFER_BSN - - ############################################################################# - # Fsub = Subband Filterbank (from node_sdp_filterbank.vhd) - ############################################################################# - - - peripheral_name: si/si - mm_port_names: - - REG_SI - - - peripheral_name: filter/fil_ppf_w - number_of_peripherals: c_V_oversample # Disturb uses 2x oversample - peripheral_span: ceil_pow2(c_N_taps) * ceil_pow2(c_N_fft) * MM_BUS_SIZE # number_of_ports = ceil_pow2(c_N_taps), mm_port_span = ceil_pow2(c_N_fft) words - parameter_overrides: - - { name: g_fil_ppf.wb_factor, value: 1 } # process at sample rate (so no parallel wideband factor) - - { name: g_fil_ppf.nof_chan, value: 0 } # process at sample rate (so no serial time multiplexing) - - { name: g_fil_ppf.nof_bands, value: c_N_fft } - - { name: g_fil_ppf.nof_taps, value: c_N_taps } - - { name: g_fil_ppf.nof_streams, value: 1 } - - { name: g_fil_ppf.coef_dat_w, value: c_W_fir_coef } - mm_port_names: - - RAM_FIL_COEFS - - - peripheral_name: sdp/sdp_subband_equalizer - parameter_overrides: - - { name: P_pfb, value: c_V_oversample * c_P_pfb} # DISTURB uses 2x oversample so 2 X P_pfb - mm_port_names: - - RAM_EQUALIZER_GAINS - - - peripheral_name: dp/dp_selector - mm_port_names: - - REG_DP_SELECTOR # input_select = 0 for weighted subbands, input_select = 1 for raw subbands - - - peripheral_name: st/st_sst_for_sdp - parameter_overrides: - - { name: g_nof_instances, value: c_V_oversample * c_P_pfb} # DISTURB uses 2x oversample so 2 X P_pfb - mm_port_names: - - RAM_ST_SST - - - peripheral_name: common/common_variable_delay - peripheral_group: sst - mm_port_names: - - REG_STAT_ENABLE_SST - - - peripheral_name: sdp/sdp_statistics_offload_hdr_dat_sst - peripheral_group: sst - mm_port_names: - - REG_STAT_HDR_DAT_SST - - - peripheral_name: dp/dp_bsn_monitor_v2 - peripheral_group: sst_udp - parameter_overrides: - - { name: g_nof_streams, value: 1 } - mm_port_names: - - REG_BSN_MONITOR_V2_SST_OFFLOAD - - ############################################################################# - # Xsub = Subband Correlator (from node_sdp_correlator.vhd) - ############################################################################# - - - peripheral_name: dp/dp_bsn_sync_scheduler - peripheral_group: xsub - mm_port_names: - - REG_BSN_SYNC_SCHEDULER_XSUB - - - peripheral_name: st/st_xst_for_sdp - parameter_overrides: - - { name: g_nof_streams, value: c_P_sq } - - { name: g_nof_crosslets, value: c_N_crosslets } - mm_port_names: - - RAM_ST_XSQ - - - peripheral_name: sdp/sdp_crosslets_subband_select - mm_port_names: - - REG_CROSSLETS_INFO - - - peripheral_name: sdp/sdp_nof_crosslets - mm_port_names: - - REG_NOF_CROSSLETS - - - peripheral_name: common/common_variable_delay - peripheral_group: xst - mm_port_names: - - REG_STAT_ENABLE_XST - - - peripheral_name: sdp/sdp_statistics_offload_hdr_dat_xst - peripheral_group: xst - mm_port_names: - - REG_STAT_HDR_DAT_XST - - - peripheral_name: dp/dp_bsn_align_v2 - peripheral_group: xsub - parameter_overrides: - - { name: g_nof_streams, value: c_P_sq } - mm_port_names: - - REG_BSN_ALIGN_V2_XSUB - - - peripheral_name: dp/dp_bsn_monitor_v2 - peripheral_group: rx_align_xsub - parameter_overrides: - - { name: g_nof_streams, value: c_P_sq } - mm_port_names: - - REG_BSN_MONITOR_V2_RX_ALIGN_XSUB - - - peripheral_name: dp/dp_bsn_monitor_v2 - peripheral_group: aligned_xsub - parameter_overrides: - - { name: g_nof_streams, value: 1 } - mm_port_names: - - REG_BSN_MONITOR_V2_ALIGNED_XSUB - - - peripheral_name: dp/dp_bsn_monitor_v2 - peripheral_group: xst_udp - parameter_overrides: - - { name: g_nof_streams, value: 1 } - mm_port_names: - - REG_BSN_MONITOR_V2_XST_OFFLOAD - - - peripheral_name: ring/ring_lane_info - peripheral_group: xsub - mm_port_names: - - REG_RING_LANE_INFO_XST - - - peripheral_name: dp/dp_bsn_monitor_v2 - peripheral_group: ring_rx - parameter_overrides: - - { name: g_nof_streams, value: c_lane_nof_rx_monitors } - mm_port_names: - - REG_BSN_MONITOR_V2_RING_RX_XST - - - peripheral_name: dp/dp_bsn_monitor_v2 - peripheral_group: ring_tx - parameter_overrides: - - { name: g_nof_streams, value: c_lane_nof_tx_monitors } - mm_port_names: - - REG_BSN_MONITOR_V2_RING_TX_XST - - - peripheral_name: dp/dp_block_validate_err - parameter_overrides: - - { name: g_nof_err_counts, value: c_lane_nof_err_counts } - mm_port_names: - - REG_DP_BLOCK_VALIDATE_ERR_XST - - - peripheral_name: dp/dp_block_validate_bsn_at_sync - mm_port_names: - - REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC_XST - - - peripheral_name: tr_10GbE/tr_10GbE_unb2legacy # For ring interface - parameter_overrides: - - { name: g_nof_macs, value: c_ring_nof_mac } - mm_port_names: - - REG_TR_10GBE_MAC - - - peripheral_name: tr_10GbE/tr_10GbE_eth10g # For ring interface - parameter_overrides: - - { name: g_nof_macs, value: c_ring_nof_mac } - mm_port_names: - - REG_TR_10GBE_ETH10G - - ############################################################################# - # BF = Beamformer (from node_sdp_beamformer.vhd) - ############################################################################# - - - peripheral_name: reorder/reorder_col_wide - number_of_peripherals: c_N_beamsets - peripheral_span: ceil_pow2(c_P_pfb) * ceil_pow2(c_S_sub_bf * c_Q_fft) * MM_BUS_SIZE # number_of_ports = c_P_pfb, mm_port_span = ceil_pow2(c_S_sub_bf * c_Q_fft) words - parameter_overrides: - - { name: g_wb_factor, value: c_P_pfb } - - { name: g_nof_ch_in, value: c_N_sub * c_Q_fft } - - { name: g_nof_ch_sel, value: c_S_sub_bf * c_Q_fft } - mm_port_names: - - RAM_SS_SS_WIDE - - - peripheral_name: sdp/sdp_bf_weights - number_of_peripherals: c_N_beamsets - peripheral_span: ceil_pow2(c_N_pol_bf * c_P_pfb) * ceil_pow2(c_Q_fft * c_S_sub_bf) * MM_BUS_SIZE # number_of_ports = c_N_pol_bf * c_P_pfb, mm_port_span = ceil_pow2(c_Q_fft * c_S_sub_bf) words - mm_port_names: - - RAM_BF_WEIGHTS - - - peripheral_name: dp/dp_bsn_align_v2 - peripheral_group: bf - number_of_peripherals: c_N_beamsets - peripheral_span: ceil_pow2(c_P_sum) * 2 * MM_BUS_SIZE # number_of_ports = c_P_sum, mm_port_span = 2 words - parameter_overrides: - - { name: g_nof_streams, value: c_P_sum } - mm_port_names: - - REG_BSN_ALIGN_V2_BF - - - peripheral_name: dp/dp_bsn_monitor_v2 - peripheral_group: rx_align_bf - number_of_peripherals: c_N_beamsets - peripheral_span: ceil_pow2(c_P_sum) * 8 * MM_BUS_SIZE # number_of_ports = c_P_sum, mm_port_span = 8 words - parameter_overrides: - - { name: g_nof_streams, value: c_P_sum } - mm_port_names: - - REG_BSN_MONITOR_V2_RX_ALIGN_BF - - - peripheral_name: dp/dp_bsn_monitor_v2 - peripheral_group: aligned_bf - number_of_peripherals: c_N_beamsets - peripheral_span: 8 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 8 words - parameter_overrides: - - { name: g_nof_streams, value: 1 } - mm_port_names: - - REG_BSN_MONITOR_V2_ALIGNED_BF - - - peripheral_name: ring/ring_lane_info - peripheral_group: bf - number_of_peripherals: c_N_beamsets - peripheral_span: 2 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 2 words - mm_port_names: - - REG_RING_LANE_INFO_BF - - - peripheral_name: dp/dp_bsn_monitor_v2 - peripheral_group: ring_rx_bf - number_of_peripherals: c_N_beamsets - peripheral_span: 8 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 8 words - parameter_overrides: - - { name: g_nof_streams, value: 1 } - mm_port_names: - - REG_BSN_MONITOR_V2_RING_RX_BF - - - peripheral_name: dp/dp_bsn_monitor_v2 - peripheral_group: ring_tx_bf - number_of_peripherals: c_N_beamsets - peripheral_span: 8 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 8 words - parameter_overrides: - - { name: g_nof_streams, value: 1 } - mm_port_names: - - REG_BSN_MONITOR_V2_RING_TX_BF - - - peripheral_name: dp/dp_block_validate_err - peripheral_group: bf - number_of_peripherals: c_N_beamsets - peripheral_span: ceil_pow2(c_lane_nof_err_counts + 3) * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = ceil_pow2(g_nof_err_counts + 3) words - parameter_overrides: - - { name: g_nof_err_counts, value: c_lane_nof_err_counts } - mm_port_names: - - REG_DP_BLOCK_VALIDATE_ERR_BF - - - peripheral_name: dp/dp_block_validate_bsn_at_sync - peripheral_group: bf - number_of_peripherals: c_N_beamsets - peripheral_span: 4 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 4 words - mm_port_names: - - REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC_BF - - - peripheral_name: sdp/sdp_bf_scale - number_of_peripherals: c_N_beamsets - peripheral_span: 2 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 2 words - parameter_overrides: - - { name: g_gain_w, value: c_W_beamlet_scale } - - { name: g_lsb_w, value: 0 - c_W_beamlet_resolution} - mm_port_names: - - REG_BF_SCALE - - - peripheral_name: sdp/sdp_beamformer_output_hdr_dat - number_of_peripherals: c_N_beamsets - peripheral_span: 64 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 64 words - mm_port_names: - - REG_HDR_DAT - - - peripheral_name: dp/dp_xonoff - number_of_peripherals: c_N_beamsets - peripheral_span: 2 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 2 words - parameter_overrides: - - { name: g_nof_streams, value: 1 } - - { name: g_combine_streams, value: False } - mm_port_names: - - REG_DP_XONOFF - - - peripheral_name: st/st_bst_for_sdp - number_of_peripherals: c_N_beamsets - peripheral_span: ceil_pow2(c_stat_data_sz * c_S_sub_bf * c_N_pol_bf) * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = ceil_pow2(c_stat_data_sz * c_S_sub_bf * c_N_pol_bf) words - mm_port_names: - - RAM_ST_BST - - - peripheral_name: common/common_variable_delay - peripheral_group: bst - number_of_peripherals: c_N_beamsets - peripheral_span: 2 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 2 words - mm_port_names: - - REG_STAT_ENABLE_BST - - - peripheral_name: sdp/sdp_statistics_offload_hdr_dat_bst - peripheral_group: bst - number_of_peripherals: c_N_beamsets - peripheral_span: 64 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 64 words - mm_port_names: - - REG_STAT_HDR_DAT_BST - - - peripheral_name: dp/dp_bsn_monitor_v2 - peripheral_group: bst_udp - number_of_peripherals: c_N_beamsets - peripheral_span: 8 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 8 words - parameter_overrides: - - { name: g_nof_streams, value: 1 } - mm_port_names: - - REG_BSN_MONITOR_V2_BST_OFFLOAD - - - peripheral_name: dp/dp_bsn_monitor_v2 - peripheral_group: beamlet_output - number_of_peripherals: c_N_beamsets - peripheral_span: 8 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 8 words - parameter_overrides: - - { name: g_nof_streams, value: 1 } - mm_port_names: - - REG_BSN_MONITOR_V2_BEAMLET_OUTPUT - - - peripheral_name: nw_10GbE/nw_10GbE_unb2legacy # For beamlet output - peripheral_group: beamlet_output - parameter_overrides: - - { name: g_nof_macs, value: 1 } - mm_port_names: - - REG_NW_10GBE_MAC - - - peripheral_name: nw_10GbE/nw_10GbE_eth10g # For beamlet output - peripheral_group: beamlet_output - parameter_overrides: - - { name: g_nof_macs, value: 1 } - mm_port_names: - - REG_NW_10GBE_ETH10G diff --git a/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.mmap.gold b/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.mmap.gold deleted file mode 100644 index 825f0b4186f97e114bb05e91a5ba01c9db9cf7f6..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.mmap.gold +++ /dev/null @@ -1,860 +0,0 @@ -fpga_name = disturb2_unb2b_station -number_of_columns = 13 -# There can be multiple lines with a single key. The host should ignore unknown keys. -# The lines with columns follow after the number_of_columns keys. The host should ignore -# the extra columns in case the mmap contains more columns than the host expects. -# -# col 1: mm_port_name, if - then it is part of previous MM port. -# col 2: number of peripherals, if - then it is part of previous peripheral. -# col 3: number of mm_ports, if - then it is part of previous MM port. -# col 4: mm_port_type, if - then it is part of previous MM port. -# col 5: field_name -# col 6: field start address (in MM words) -# col 7: number of fields, if - then it is part of previous field_name. -# col 8: field access_mode, if - then it is part of previous field_name. -# col 9: field radix, if - then it is part of previous field_name. -# col 10: field mm_mask -# col 11: field user_mask, if - then it is same as mm_mask -# col 12: mm_peripheral_span (in MM words), if - then the span is not used or already defined on first line of MM port -# col 13: mm_port_span (in MM words), if - then the span is not used or already defined on first line of MM port -# -# col1 col2 col3 col4 col5 col6 col7 col8 col9 col10 col11 col12 col13 -# ---------------------------------------- ---- ---- ----- ---------------------------------------- ---------- ------ ----- ----------- ---------- ---------- ----- ----- - ROM_SYSTEM_INFO 1 1 RAM data 0x00000000 32768 RO char8 b[31:0] b[7:0] - - - PIO_SYSTEM_INFO 1 1 REG info 0x00008000 1 RO uint32 b[31:0] - - - - - - - - info_gn_index 0x00008000 1 RO uint32 b[7:0] - - - - - - - - info_hw_version 0x00008000 1 RO uint32 b[9:8] - - - - - - - - info_cs_sim 0x00008000 1 RO uint32 b[10:10] - - - - - - - - info_fw_version_major 0x00008000 1 RO uint32 b[19:16] - - - - - - - - info_fw_version_minor 0x00008000 1 RO uint32 b[23:20] - - - - - - - - info_rom_version 0x00008000 1 RO uint32 b[26:24] - - - - - - - - info_technology 0x00008000 1 RO uint32 b[31:27] - - - - - - - - use_phy 0x00008001 1 RO uint32 b[7:0] - - - - - - - - design_name 0x00008002 52 RO char8 b[31:0] b[7:0] - - - - - - - stamp_date 0x0000800f 1 RO uint32 b[31:0] - - - - - - - - stamp_time 0x00008010 1 RO uint32 b[31:0] - - - - - - - - stamp_commit 0x00008011 3 RO uint32 b[31:0] - - - - - - - - design_note 0x00008014 52 RO char8 b[31:0] b[7:0] - - - REG_WDI 1 1 REG wdi_override 0x00010000 1 WO uint32 b[31:0] - - - - REG_FPGA_TEMP_SENS 1 1 REG temp 0x00018000 1 RO uint32 b[31:0] - - - - REG_FPGA_VOLTAGE_SENS 1 1 REG voltages 0x00018000 6 RO uint32 b[31:0] - - - - RAM_SCRAP 1 1 RAM data 0x00020000 512 RW uint32 b[31:0] - - - - AVS_ETH_0_TSE 1 1 REG status 0x00028000 1024 RO uint32 b[31:0] - - - - AVS_ETH_0_REG 1 1 REG status 0x00028000 12 RO uint32 b[31:0] - - - - AVS_ETH_0_RAM 1 1 RAM data 0x00028400 1024 RW uint32 b[31:0] - - - - PIO_PPS 1 1 REG capture_cnt 0x00030000 1 RO uint32 b[29:0] - - - - - - - - stable 0x00030000 1 RO uint32 b[30:30] - - - - - - - - toggle 0x00030000 1 RO uint32 b[31:31] - - - - - - - - expected_cnt 0x00030001 1 RW uint32 b[27:0] - - - - - - - - edge 0x00030001 1 RW uint32 b[31:31] - - - - - - - - offset_cnt 0x00030002 1 RO uint32 b[27:0] - - - - REG_EPCS 1 1 REG addr 0x00038000 1 WO uint32 b[31:0] - - - - - - - - rden 0x00038001 1 WO uint32 b[0:0] - - - - - - - - read_bit 0x00038002 1 WO uint32 b[0:0] - - - - - - - - write_bit 0x00038003 1 WO uint32 b[0:0] - - - - - - - - sector_erase 0x00038004 1 WO uint32 b[0:0] - - - - - - - - busy 0x00038005 1 RO uint32 b[0:0] - - - - - - - - unprotect 0x00038006 1 WO uint32 b[31:0] - - - - REG_DPMM_CTRL 1 1 REG rd_usedw 0x00040000 1 RO uint32 b[31:0] - - - - REG_DPMM_DATA 1 1 FIFO data 0x00040400 1 RO uint32 b[31:0] - - - - REG_MMDP_CTRL 1 1 REG wr_usedw 0x00048000 1 RO uint32 b[31:0] - - - - - - - - wr_availw 0x00048001 1 RO uint32 b[31:0] - - - - REG_MMDP_DATA 1 1 FIFO data 0x00048400 1 WO uint32 b[31:0] - - - - REG_REMU 1 1 REG reconfigure 0x00050000 1 WO uint32 b[31:0] - - - - - - - - param 0x00050001 1 WO uint32 b[2:0] - - - - - - - - read_param 0x00050002 1 WO uint32 b[0:0] - - - - - - - - write_param 0x00050003 1 WO uint32 b[0:0] - - - - - - - - data_out 0x00050004 1 RO uint32 b[31:0] - - - - - - - - data_in 0x00050005 1 WO uint32 b[31:0] - - - - - - - - busy 0x00050006 1 RO uint32 b[0:0] - - - - REG_SDP_INFO 1 1 REG block_period 0x00058000 1 RO uint32 b[15:0] - - - - - - - - beam_repositioning_flag 0x00058001 1 RW uint32 b[0:0] - - - - - - - - fsub_type 0x00058002 1 RO uint32 b[0:0] - - - - - - - - f_adc 0x00058003 1 RO uint32 b[0:0] - - - - - - - - nyquist_zone_index 0x00058004 1 RW uint32 b[1:0] - - - - - - - - observation_id 0x00058005 1 RW uint32 b[31:0] - - - - - - - - antenna_band_index 0x00058006 1 RW uint32 b[0:0] - - - - - - - - station_id 0x00058007 1 RW uint32 b[15:0] - - - - REG_RING_INFO 1 1 REG use_cable_to_previous_rn 0x00060000 1 RW uint32 b[0:0] - - - - - - - - use_cable_to_next_rn 0x00060001 1 RW uint32 b[0:0] - - - - - - - - n_rn 0x00060002 1 RW uint32 b[7:0] - - - - - - - - o_rn 0x00060003 1 RW uint32 b[7:0] - - - - PIO_JESD_CTRL 1 1 REG enable 0x00068000 1 RW uint32 b[30:0] - - - - - - - - reset 0x00068000 1 RW uint32 b[31:31] - - - - JESD204B 1 12 REG rx_lane_ctrl_common 0x00070000 1 RW uint32 b[2:0] - - 256 - - - - - rx_lane_ctrl_0 0x00070001 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_1 0x00070002 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_2 0x00070003 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_3 0x00070004 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_4 0x00070005 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_5 0x00070006 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_6 0x00070007 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_7 0x00070008 1 RW uint32 b[2:0] - - - - - - - - rx_dll_ctrl 0x00070014 1 RW uint32 b[16:0] - - - - - - - - rx_syncn_sysref_ctrl 0x00070015 1 RW uint32 b[24:0] - - - - - - - - rx_csr_sysref_always_on 0x00070015 1 RW uint32 b[1:1] - - - - - - - - rx_csr_rbd_offset 0x00070015 1 RW uint32 b[10:3] - - - - - - - - rx_csr_lmfc_offset 0x00070015 1 RW uint32 b[19:12] - - - - - - - - rx_err0 0x00070018 1 RW uint32 b[8:0] - - - - - - - - rx_err1 0x00070019 1 RW uint32 b[9:0] - - - - - - - - csr_dev_syncn 0x00070020 1 RO uint32 b[0:0] - - - - - - - - csr_rbd_count 0x00070020 1 RO uint32 b[10:3] - - - - - - - - rx_status1 0x00070021 1 RW uint32 b[23:0] - - - - - - - - rx_status2 0x00070022 1 RW uint32 b[23:0] - - - - - - - - rx_status3 0x00070023 1 RW uint32 b[7:0] - - - - - - - - rx_ilas_csr_l 0x00070025 1 RW uint32 b[4:0] - - - - - - - - rx_ilas_csr_f 0x00070025 1 RW uint32 b[15:8] - - - - - - - - rx_ilas_csr_k 0x00070025 1 RW uint32 b[20:16] - - - - - - - - rx_ilas_csr_m 0x00070025 1 RW uint32 b[31:24] - - - - - - - - rx_ilas_csr_n 0x00070026 1 RW uint32 b[4:0] - - - - - - - - rx_ilas_csr_cs 0x00070026 1 RW uint32 b[7:6] - - - - - - - - rx_ilas_csr_np 0x00070026 1 RW uint32 b[12:8] - - - - - - - - rx_ilas_csr_subclassv 0x00070026 1 RW uint32 b[15:13] - - - - - - - - rx_ilas_csr_s 0x00070026 1 RW uint32 b[20:16] - - - - - - - - rx_ilas_csr_jesdv 0x00070026 1 RW uint32 b[23:21] - - - - - - - - rx_ilas_csr_cf 0x00070026 1 RW uint32 b[28:24] - - - - - - - - rx_ilas_csr_hd 0x00070026 1 RW uint32 b[31:31] - - - - - - - - rx_status4 0x0007003c 1 RW uint32 b[15:0] - - - - - - - - rx_status5 0x0007003d 1 RW uint32 b[15:0] - - - - - - - - rx_status6 0x0007003e 1 RW uint32 b[23:0] - - - - - - - - rx_status7 0x0007003f 1 RO uint32 b[31:0] - - - - REG_DP_SHIFTRAM 1 12 REG shift 0x00078000 1 RW uint32 b[11:0] - - 2 - REG_BSN_SOURCE_V2 1 1 REG dp_on 0x00080000 1 RW uint32 b[0:0] - - - - - - - - dp_on_pps 0x00080000 1 RW uint32 b[1:1] - - - - - - - - nof_clk_per_sync 0x00080001 1 RW uint32 b[31:0] - - - - - - - - bsn_init 0x00080002 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x00080003 - - - b[31:0] b[63:32] - - - - - - - bsn_time_offset 0x00080004 1 RW uint32 b[9:0] - - - - REG_BSN_SCHEDULER 1 1 REG scheduled_bsn 0x00088000 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x00088001 - - - b[31:0] b[63:32] - - - REG_BSN_MONITOR_INPUT 1 1 REG xon_stable 0x00090000 1 RO uint32 b[0:0] - - - - - - - - ready_stable 0x00090000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00090000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00090001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00090002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00090003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00090004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00090005 1 RO uint32 b[31:0] - - - - - - - - bsn_first 0x00090006 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00090007 - - - b[31:0] b[63:32] - - - - - - - bsn_first_cycle_cnt 0x00090008 1 RO uint32 b[31:0] - - - - REG_WG 1 12 REG mode 0x00098000 1 RW uint32 b[7:0] - - 4 - - - - - nof_samples 0x00098000 1 RW uint32 b[31:16] - - - - - - - - phase 0x00098001 1 RW uint32 b[15:0] - - - - - - - - freq 0x00098002 1 RW uint32 b[30:0] - - - - - - - - ampl 0x00098003 1 RW uint32 b[16:0] - - - - RAM_WG 1 12 RAM data 0x0009c000 1024 RW uint32 b[17:0] - - 1024 - RAM_ST_HISTOGRAM 1 12 RAM data 0x000a0000 512 RW uint32 b[31:0] b[27:0] - 512 - REG_ADUH_MONITOR 1 12 REG mean_sum 0x000a8000 1 RO int64 b[31:0] b[31:0] - 4 - - - - - - 0x000a8001 - - - b[31:0] b[63:32] - - - - - - - power_sum 0x000a8002 1 RO int64 b[31:0] b[31:0] - - - - - - - - 0x000a8003 - - - b[31:0] b[63:32] - - - REG_DIAG_DATA_BUFFER_BSN 1 12 REG sync_cnt 0x000b0000 1 RO uint32 b[31:0] - - 2 - - - - - word_cnt 0x000b0001 1 RO uint32 b[31:0] - - - - RAM_DIAG_DATA_BUFFER_BSN 1 12 RAM data 0x000b4000 1024 RW uint32 b[31:0] b[15:0] - 1024 - REG_SI 1 1 REG enable 0x000b8000 1 RW uint32 b[0:0] - - - - RAM_FIL_COEFS 1 16 RAM data 0x000c0000 1024 RW uint32 b[15:0] - - 1024 - RAM_EQUALIZER_GAINS 1 6 RAM data 0x000c8000 1024 RW cint16_ir b[31:0] - - 1024 - REG_DP_SELECTOR 1 1 REG input_select 0x000d0000 1 RW uint32 b[0:0] - - - - RAM_ST_SST 1 6 RAM data 0x000d8000 1024 RW uint64 b[31:0] b[31:0] - 2048 - - - - - - 0x000d8001 - - - b[21:0] b[53:32] - - - REG_STAT_ENABLE_SST 1 1 REG enable 0x000e0000 1 RW uint32 b[0:0] - - - - REG_STAT_HDR_DAT_SST 1 1 REG bsn 0x000e8000 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x000e8001 - - - b[31:0] b[63:32] - - - - - - - disturb_block_period 0x000e8002 1 RW uint32 b[15:0] - - - - - - - - disturb_nof_statistics_per_packet 0x000e8003 1 RW uint32 b[15:0] - - - - - - - - disturb_nof_bytes_per_statistic 0x000e8004 1 RW uint32 b[7:0] - - - - - - - - disturb_nof_signal_inputs 0x000e8005 1 RW uint32 b[7:0] - - - - - - - - disturb_data_id 0x000e8006 1 RW uint32 b[31:0] - - - - - - - - disturb_data_id_sst_signal_input_index 0x000e8006 1 RW uint32 b[7:0] - - - - - - - - disturb_data_id_sst_reserved 0x000e8006 1 RW uint32 b[31:8] - - - - - - - - disturb_integration_interval 0x000e8007 1 RW uint32 b[23:0] - - - - - - - - disturb_reserved 0x000e8008 1 RW uint32 b[7:0] - - - - - - - - disturb_source_info_gn_index 0x000e8009 1 RW uint32 b[4:0] - - - - - - - - disturb_source_info_reserved 0x000e800a 1 RW uint32 b[7:5] - - - - - - - - disturb_source_info_weighted_subbands_flag 0x000e800b 1 RW uint32 b[8:8] - - - - - - - - disturb_source_info_beam_repositioning_flag 0x000e800c 1 RW uint32 b[9:9] - - - - - - - - disturb_source_info_payload_error 0x000e800d 1 RW uint32 b[10:10] - - - - - - - - disturb_source_info_fsub_type 0x000e800e 1 RW uint32 b[11:11] - - - - - - - - disturb_source_info_f_adc 0x000e800f 1 RW uint32 b[12:12] - - - - - - - - disturb_source_info_nyquist_zone_index 0x000e8010 1 RW uint32 b[14:13] - - - - - - - - disturb_source_info_antenna_band_index 0x000e8011 1 RW uint32 b[15:15] - - - - - - - - disturb_station_id 0x000e8012 1 RW uint32 b[15:0] - - - - - - - - disturb_observation_id 0x000e8013 1 RW uint32 b[31:0] - - - - - - - - disturb_version_id 0x000e8014 1 RO uint32 b[7:0] - - - - - - - - disturb_marker 0x000e8015 1 RO uint32 b[7:0] - - - - - - - - udp_checksum 0x000e8016 1 RW uint32 b[15:0] - - - - - - - - udp_length 0x000e8017 1 RW uint32 b[15:0] - - - - - - - - udp_destination_port 0x000e8018 1 RW uint32 b[15:0] - - - - - - - - udp_source_port 0x000e8019 1 RW uint32 b[15:0] - - - - - - - - ip_destination_address 0x000e801a 1 RW uint32 b[31:0] - - - - - - - - ip_source_address 0x000e801b 1 RW uint32 b[31:0] - - - - - - - - ip_header_checksum 0x000e801c 1 RW uint32 b[15:0] - - - - - - - - ip_protocol 0x000e801d 1 RW uint32 b[7:0] - - - - - - - - ip_time_to_live 0x000e801e 1 RW uint32 b[7:0] - - - - - - - - ip_fragment_offset 0x000e801f 1 RW uint32 b[12:0] - - - - - - - - ip_flags 0x000e8020 1 RW uint32 b[2:0] - - - - - - - - ip_identification 0x000e8021 1 RW uint32 b[15:0] - - - - - - - - ip_total_length 0x000e8022 1 RW uint32 b[15:0] - - - - - - - - ip_services 0x000e8023 1 RW uint32 b[7:0] - - - - - - - - ip_header_length 0x000e8024 1 RW uint32 b[3:0] - - - - - - - - ip_version 0x000e8025 1 RW uint32 b[3:0] - - - - - - - - eth_type 0x000e8026 1 RO uint32 b[15:0] - - - - - - - - eth_source_mac 0x000e8027 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x000e8028 - - - b[15:0] b[47:32] - - - - - - - eth_destination_mac 0x000e8029 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x000e802a - - - b[15:0] b[47:32] - - - - - - - word_align 0x000e802b 1 RW uint32 b[15:0] - - - - REG_BSN_MONITOR_V2_SST_OFFLOAD 1 1 REG xon_stable 0x000f0000 1 RO uint32 b[0:0] - - - - - - - - ready_stable 0x000f0000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x000f0000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x000f0001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x000f0002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x000f0003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x000f0004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x000f0005 1 RO uint32 b[31:0] - - - - - - - - latency 0x000f0008 1 RO uint32 b[31:0] - - - - REG_BSN_SYNC_SCHEDULER_XSUB 1 1 REG ctrl_enable 0x000f8000 1 RW uint32 b[0:0] - - - - - - - - ctrl_interval_size 0x000f8001 1 RW uint32 b[30:0] - - - - - - - - ctrl_start_bsn 0x000f8002 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x000f8003 - - - b[31:0] b[63:32] - - - - - - - mon_current_input_bsn 0x000f8004 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x000f8005 - - - b[31:0] b[63:32] - - - - - - - mon_input_bsn_at_sync 0x000f8006 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x000f8007 - - - b[31:0] b[63:32] - - - - - - - mon_output_enable 0x000f8008 1 RO uint32 b[0:0] - - - - - - - - mon_output_sync_bsn 0x000f8009 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x000f800a - - - b[31:0] b[63:32] - - - - - - - block_size 0x000f800b 1 RO uint32 b[31:0] - - - - RAM_ST_XSQ 1 9 RAM data 0x00100000 1008 RW cint64_ir b[31:0] b[31:0] - 4096 - - - - - - 0x00100001 - - - b[31:0] b[63:32] - - - - - - - - 0x00100002 - - - b[31:0] b[95:64] - - - - - - - - 0x00100003 - - - b[31:0] b[127:96] - - - REG_CROSSLETS_INFO 1 1 REG offset 0x00110000 15 RW uint32 b[31:0] - - - - - - - - step 0x0011000f 1 RW uint32 b[31:0] - - - - REG_NOF_CROSSLETS 1 1 REG nof_crosslets 0x00118000 1 RW uint32 b[31:0] - - - - - - - - unused 0x00118001 1 RW uint32 b[31:0] - - - - REG_STAT_ENABLE_XST 1 1 REG enable 0x00120000 1 RW uint32 b[0:0] - - - - REG_STAT_HDR_DAT_XST 1 1 REG bsn 0x00128000 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x00128001 - - - b[31:0] b[63:32] - - - - - - - block_period 0x00128002 1 RW uint32 b[15:0] - - - - - - - - nof_statistics_per_packet 0x00128003 1 RW uint32 b[15:0] - - - - - - - - nof_bytes_per_statistic 0x00128004 1 RW uint32 b[7:0] - - - - - - - - nof_signal_inputs 0x00128005 1 RW uint32 b[7:0] - - - - - - - - disturb_data_id 0x00128006 1 RW uint32 b[31:0] - - - - - - - - disturb_data_id_xst_signal_input_b_index 0x00128006 1 RW uint32 b[7:0] - - - - - - - - disturb_data_id_xst_signal_input_a_index 0x00128006 1 RW uint32 b[15:8] - - - - - - - - disturb_data_id_xst_subband_index 0x00128006 1 RW uint32 b[24:16] - - - - - - - - disturb_data_id_xst_reserved 0x00128006 1 RW uint32 b[31:25] - - - - - - - - disturb_integration_interval 0x00128007 1 RW uint32 b[23:0] - - - - - - - - disturb_reserved 0x00128008 1 RW uint32 b[7:0] - - - - - - - - disturb_source_info_gn_index 0x00128009 1 RW uint32 b[4:0] - - - - - - - - disturb_source_info_reserved 0x0012800a 1 RW uint32 b[7:5] - - - - - - - - disturb_source_info_weighted_subbands_flag 0x0012800b 1 RW uint32 b[8:8] - - - - - - - - disturb_source_info_beam_repositioning_flag 0x0012800c 1 RW uint32 b[9:9] - - - - - - - - disturb_source_info_payload_error 0x0012800d 1 RW uint32 b[10:10] - - - - - - - - disturb_source_info_fsub_type 0x0012800e 1 RW uint32 b[11:11] - - - - - - - - disturb_source_info_f_adc 0x0012800f 1 RW uint32 b[12:12] - - - - - - - - disturb_source_info_nyquist_zone_index 0x00128010 1 RW uint32 b[14:13] - - - - - - - - disturb_source_info_antenna_band_index 0x00128011 1 RW uint32 b[15:15] - - - - - - - - disturb_station_id 0x00128012 1 RW uint32 b[15:0] - - - - - - - - disturb_observation_id 0x00128013 1 RW uint32 b[31:0] - - - - - - - - disturb_version_id 0x00128014 1 RO uint32 b[7:0] - - - - - - - - disturb_marker 0x00128015 1 RO uint32 b[7:0] - - - - - - - - udp_checksum 0x00128016 1 RW uint32 b[15:0] - - - - - - - - udp_length 0x00128017 1 RW uint32 b[15:0] - - - - - - - - udp_destination_port 0x00128018 1 RW uint32 b[15:0] - - - - - - - - udp_source_port 0x00128019 1 RW uint32 b[15:0] - - - - - - - - ip_destination_address 0x0012801a 1 RW uint32 b[31:0] - - - - - - - - ip_source_address 0x0012801b 1 RW uint32 b[31:0] - - - - - - - - ip_header_checksum 0x0012801c 1 RW uint32 b[15:0] - - - - - - - - ip_protocol 0x0012801d 1 RW uint32 b[7:0] - - - - - - - - ip_time_to_live 0x0012801e 1 RW uint32 b[7:0] - - - - - - - - ip_fragment_offset 0x0012801f 1 RW uint32 b[12:0] - - - - - - - - ip_flags 0x00128020 1 RW uint32 b[2:0] - - - - - - - - ip_identification 0x00128021 1 RW uint32 b[15:0] - - - - - - - - ip_total_length 0x00128022 1 RW uint32 b[15:0] - - - - - - - - ip_services 0x00128023 1 RW uint32 b[7:0] - - - - - - - - ip_header_length 0x00128024 1 RW uint32 b[3:0] - - - - - - - - ip_version 0x00128025 1 RW uint32 b[3:0] - - - - - - - - eth_type 0x00128026 1 RO uint32 b[15:0] - - - - - - - - eth_source_mac 0x00128027 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00128028 - - - b[15:0] b[47:32] - - - - - - - eth_destination_mac 0x00128029 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x0012802a - - - b[15:0] b[47:32] - - - - - - - word_align 0x0012802b 1 RW uint32 b[15:0] - - - - REG_BSN_ALIGN_V2_XSUB 1 9 REG enable 0x00130000 1 RW uint32 b[0:0] - - 2 - - - - - replaced_pkt_cnt 0x00130001 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_RX_ALIGN_XSUB 1 9 REG xon_stable 0x00138000 1 RO uint32 b[0:0] - - 8 - - - - - ready_stable 0x00138000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00138000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00138001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00138002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00138003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00138004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00138005 1 RO uint32 b[31:0] - - - - - - - - latency 0x00138008 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_ALIGNED_XSUB 1 1 REG xon_stable 0x00140000 1 RO uint32 b[0:0] - - - - - - - - ready_stable 0x00140000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00140000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00140001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00140002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00140003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00140004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00140005 1 RO uint32 b[31:0] - - - - - - - - latency 0x00140008 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_XST_OFFLOAD 1 1 REG xon_stable 0x00148000 1 RO uint32 b[0:0] - - - - - - - - ready_stable 0x00148000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00148000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00148001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00148002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00148003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00148004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00148005 1 RO uint32 b[31:0] - - - - - - - - latency 0x00148008 1 RO uint32 b[31:0] - - - - REG_RING_LANE_INFO_XST 1 1 REG lane_direction 0x00150000 1 RO uint32 b[0:0] - - - - - - - - transport_nof_hops 0x00150001 1 RW uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_RING_RX_XST 1 16 REG xon_stable 0x00158000 1 RO uint32 b[0:0] - - 8 - - - - - ready_stable 0x00158000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00158000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00158001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00158002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00158003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00158004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00158005 1 RO uint32 b[31:0] - - - - - - - - latency 0x00158008 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_RING_TX_XST 1 16 REG xon_stable 0x00160000 1 RO uint32 b[0:0] - - 8 - - - - - ready_stable 0x00160000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00160000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00160001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00160002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00160003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00160004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00160005 1 RO uint32 b[31:0] - - - - - - - - latency 0x00160008 1 RO uint32 b[31:0] - - - - REG_DP_BLOCK_VALIDATE_ERR_XST 1 1 REG err_count_index 0x00168000 8 RO uint32 b[31:0] - - - - - - - - total_discarded_blocks 0x00168008 1 RO uint32 b[31:0] - - - - - - - - total_block_count 0x00168009 1 RO uint32 b[31:0] - - - - - - - - clear 0x0016800a 1 RW uint32 b[31:0] - - - - REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC_XST 1 1 REG nof_sync_discarded 0x00170000 1 RO uint32 b[31:0] - - - - - - - - nof_sync 0x00170001 1 RO uint32 b[31:0] - - - - - - - - clear 0x00170002 1 RW uint32 b[31:0] - - - - REG_TR_10GBE_MAC 1 3 REG rx_transfer_control 0x00178000 1 RW uint32 b[0:0] - - 1 - - - - - rx_transfer_status 0x00178001 1 RO uint32 b[0:0] - - - - - - - - tx_transfer_control 0x00178002 1 RW uint32 b[0:0] - - - - - - - - rx_padcrc_control 0x00178040 1 RW uint32 b[1:0] - - - - - - - - rx_crccheck_control 0x00178080 1 RW uint32 b[1:0] - - - - - - - - rx_pktovrflow_error 0x001780c0 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x001780c1 - - - b[31:0] b[31:0] - - - - - - - rx_pktovrflow_etherstatsdropevents 0x001780c2 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x001780c3 - - - b[31:0] b[31:0] - - - - - - - rx_lane_decoder_preamble_control 0x00178100 1 RW uint32 b[0:0] - - - - - - - - rx_preamble_inserter_control 0x00178140 1 RW uint32 b[0:0] - - - - - - - - rx_frame_control 0x00178800 1 RW uint32 b[19:0] - - - - - - - - rx_frame_maxlength 0x00178801 1 RW uint32 b[15:0] - - - - - - - - rx_frame_addr0 0x00178802 1 RW uint32 b[15:0] - - - - - - - - rx_frame_addr1 0x00178803 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr0_0 0x00178804 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr0_1 0x00178805 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr1_0 0x00178806 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr1_1 0x00178807 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr2_0 0x00178808 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr2_1 0x00178809 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr3_0 0x0017880a 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr3_1 0x0017880b 1 RW uint32 b[15:0] - - - - - - - - rx_pfc_control 0x00178818 1 RW uint32 b[16:0] - - - - - - - - rx_stats_clr 0x00178c00 1 RW uint32 b[0:0] - - - - - - - - rx_stats_framesok 0x00178c02 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c03 - - - b[31:0] b[31:0] - - - - - - - rx_stats_frameserr 0x00178c04 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c05 - - - b[31:0] b[31:0] - - - - - - - rx_stats_framescrcerr 0x00178c06 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c07 - - - b[31:0] b[31:0] - - - - - - - rx_stats_octetsok 0x00178c08 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c09 - - - b[31:0] b[31:0] - - - - - - - rx_stats_pausemacctrl_frames 0x00178c0a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c0b - - - b[31:0] b[31:0] - - - - - - - rx_stats_iferrors 0x00178c0c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c0d - - - b[31:0] b[31:0] - - - - - - - rx_stats_unicast_framesok 0x00178c0e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c0f - - - b[31:0] b[31:0] - - - - - - - rx_stats_unicast_frameserr 0x00178c10 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c11 - - - b[31:0] b[31:0] - - - - - - - rx_stats_multicastframesok 0x00178c12 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c13 - - - b[31:0] b[31:0] - - - - - - - rx_stats_multicast_frameserr 0x00178c14 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c15 - - - b[31:0] b[31:0] - - - - - - - rx_stats_broadcastframesok 0x00178c16 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c17 - - - b[31:0] b[31:0] - - - - - - - rx_stats_broadcast_frameserr 0x00178c18 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c19 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstatsoctets 0x00178c1a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c1b - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstatspkts 0x00178c1c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c1d - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_undersizepkts 0x00178c1e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c1f - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_oversizepkts 0x00178c20 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c21 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts64octets 0x00178c22 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c23 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts65to127octets 0x00178c24 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c25 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts128to255octets 0x00178c26 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c27 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts256to511octets 0x00178c28 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c29 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts512to1023octets 0x00178c2a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c2b - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstat_pkts1024to1518octets 0x00178c2c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c2d - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts1519toxoctets 0x00178c2e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c2f - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_fragments 0x00178c30 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c31 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_jabbers 0x00178c32 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c33 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstatscrcerr 0x00178c34 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c35 - - - b[31:0] b[31:0] - - - - - - - rx_stats_unicastmacctrlframes 0x00178c36 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c37 - - - b[31:0] b[31:0] - - - - - - - rx_stats_multicastmac_ctrlframes 0x00178c38 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c39 - - - b[31:0] b[31:0] - - - - - - - rx_stats_broadcastmac_ctrlframes 0x00178c3a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c3b - - - b[31:0] b[31:0] - - - - - - - rx_stats_pfcmacctrlframes 0x00178c3c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00178c3d - - - b[31:0] b[31:0] - - - - - - - tx_transfer_status 0x00179001 1 RO uint32 b[0:0] - - - - - - - - tx_padins_control 0x00179040 1 RW uint32 b[0:0] - - - - - - - - tx_crcins_control 0x00179080 1 RW uint32 b[1:0] - - - - - - - - tx_pktunderflow_error 0x001790c0 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x001790c1 - - - b[31:0] b[31:0] - - - - - - - tx_preamble_control 0x00179100 1 RW uint32 b[0:0] - - - - - - - - tx_pauseframe_control 0x00179140 1 RW uint32 b[1:0] - - - - - - - - tx_pauseframe_quanta 0x00179141 1 RW uint32 b[15:0] - - - - - - - - tx_pauseframe_enable 0x00179142 1 RW uint32 b[0:0] - - - - - - - - pfc_pause_quanta_0 0x00179180 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_1 0x00179181 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_2 0x00179182 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_3 0x00179183 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_4 0x00179184 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_5 0x00179185 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_6 0x00179186 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_7 0x00179187 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_0 0x00179190 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_1 0x00179191 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_2 0x00179192 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_3 0x00179193 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_4 0x00179194 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_5 0x00179195 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_6 0x00179196 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_7 0x00179197 1 RW uint32 b[31:0] - - - - - - - - tx_pfc_priority_enable 0x001791a0 1 RW uint32 b[7:0] - - - - - - - - tx_addrins_control 0x00179200 1 RW uint32 b[0:0] - - - - - - - - tx_addrins_macaddr0 0x00179201 1 RW uint32 b[31:0] - - - - - - - - tx_addrins_macaddr1 0x00179202 1 RW uint32 b[15:0] - - - - - - - - tx_frame_maxlength 0x00179801 1 RW uint32 b[15:0] - - - - - - - - tx_stats_clr 0x00179c00 1 RW uint32 b[0:0] - - - - - - - - tx_stats_framesok 0x00179c02 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c03 - - - b[31:0] b[31:0] - - - - - - - tx_stats_frameserr 0x00179c04 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c05 - - - b[31:0] b[31:0] - - - - - - - tx_stats_framescrcerr 0x00179c06 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c07 - - - b[31:0] b[31:0] - - - - - - - tx_stats_octetsok 0x00179c08 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c09 - - - b[31:0] b[31:0] - - - - - - - tx_stats_pausemacctrl_frames 0x00179c0a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c0b - - - b[31:0] b[31:0] - - - - - - - tx_stats_iferrors 0x00179c0c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c0d - - - b[31:0] b[31:0] - - - - - - - tx_stats_unicast_framesok 0x00179c0e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c0f - - - b[31:0] b[31:0] - - - - - - - tx_stats_unicast_frameserr 0x00179c10 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c11 - - - b[31:0] b[31:0] - - - - - - - tx_stats_multicastframesok 0x00179c12 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c13 - - - b[31:0] b[31:0] - - - - - - - tx_stats_multicast_frameserr 0x00179c14 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c15 - - - b[31:0] b[31:0] - - - - - - - tx_stats_broadcastframesok 0x00179c16 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c17 - - - b[31:0] b[31:0] - - - - - - - tx_stats_broadcast_frameserr 0x00179c18 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c19 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstatsoctets 0x00179c1a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c1b - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstatspkts 0x00179c1c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c1d - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_undersizepkts 0x00179c1e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c1f - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_oversizepkts 0x00179c20 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c21 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts64octets 0x00179c22 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c23 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts65to127octets 0x00179c24 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c25 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts128to255octets 0x00179c26 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c27 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts256to511octets 0x00179c28 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c29 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts512to1023octets 0x00179c2a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c2b - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstat_pkts1024to1518octets 0x00179c2c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c2d - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts1519toxoctets 0x00179c2e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c2f - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_fragments 0x00179c30 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c31 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_jabbers 0x00179c32 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c33 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstatscrcerr 0x00179c34 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c35 - - - b[31:0] b[31:0] - - - - - - - tx_stats_unicastmacctrlframes 0x00179c36 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c37 - - - b[31:0] b[31:0] - - - - - - - tx_stats_multicastmac_ctrlframes 0x00179c38 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c39 - - - b[31:0] b[31:0] - - - - - - - tx_stats_broadcastmac_ctrlframes 0x00179c3a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c3b - - - b[31:0] b[31:0] - - - - - - - tx_stats_pfcmacctrlframes 0x00179c3c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00179c3d - - - b[31:0] b[31:0] - - - REG_TR_10GBE_ETH10G 1 3 REG tx_snk_out_xon 0x00180000 1 RO uint32 b[0:0] - - 1 - - - - - xgmii_tx_ready 0x00180000 1 RO uint32 b[1:1] - - - - - - - - xgmii_link_status 0x00180000 1 RO uint32 b[3:2] - - - - RAM_SS_SS_WIDE 2 6 RAM data 0x00188000 976 RW uint32 b[9:0] - 8192 1024 - RAM_BF_WEIGHTS 2 12 RAM data 0x00190000 976 RW cint16_ir b[31:0] - 16384 1024 - REG_BSN_ALIGN_V2_BF 2 2 REG enable 0x00198000 1 RW uint32 b[0:0] - 1 2 - - - - - replaced_pkt_cnt 0x00198001 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_RX_ALIGN_BF 2 2 REG xon_stable 0x001a0000 1 RO uint32 b[0:0] - 1 8 - - - - - ready_stable 0x001a0000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x001a0000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x001a0001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x001a0002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x001a0003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x001a0004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x001a0005 1 RO uint32 b[31:0] - - - - - - - - latency 0x001a0008 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_ALIGNED_BF 2 1 REG xon_stable 0x001a8000 1 RO uint32 b[0:0] - 1 8 - - - - - ready_stable 0x001a8000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x001a8000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x001a8001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x001a8002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x001a8003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x001a8004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x001a8005 1 RO uint32 b[31:0] - - - - - - - - latency 0x001a8008 1 RO uint32 b[31:0] - - - - REG_RING_LANE_INFO_BF 2 1 REG lane_direction 0x001b0000 1 RO uint32 b[0:0] - 1 2 - - - - - transport_nof_hops 0x001b0001 1 RW uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_RING_RX_BF 2 16 REG xon_stable 0x001b8000 1 RO uint32 b[0:0] - 1 8 - - - - - ready_stable 0x001b8000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x001b8000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x001b8001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x001b8002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x001b8003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x001b8004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x001b8005 1 RO uint32 b[31:0] - - - - - - - - latency 0x001b8008 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_RING_TX_BF 2 16 REG xon_stable 0x001c0000 1 RO uint32 b[0:0] - 1 8 - - - - - ready_stable 0x001c0000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x001c0000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x001c0001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x001c0002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x001c0003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x001c0004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x001c0005 1 RO uint32 b[31:0] - - - - - - - - latency 0x001c0008 1 RO uint32 b[31:0] - - - - REG_DP_BLOCK_VALIDATE_ERR_BF 2 1 REG err_count_index 0x001c8000 8 RO uint32 b[31:0] - 1 16 - - - - - total_discarded_blocks 0x001c8008 1 RO uint32 b[31:0] - - - - - - - - total_block_count 0x001c8009 1 RO uint32 b[31:0] - - - - - - - - clear 0x001c800a 1 RW uint32 b[31:0] - - - - REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC_BF 2 1 REG nof_sync_discarded 0x001d0000 1 RO uint32 b[31:0] - 1 4 - - - - - nof_sync 0x001d0001 1 RO uint32 b[31:0] - - - - - - - - clear 0x001d0002 1 RW uint32 b[31:0] - - - - REG_BF_SCALE 2 1 REG scale 0x001d8000 1 RW uint32 b[15:0] - 2 2 - - - - - unused 0x001d8001 1 RW uint32 b[31:0] - - - - REG_HDR_DAT 2 1 REG bsn 0x001e0000 1 RW uint64 b[31:0] b[31:0] 64 64 - - - - - - 0x001e0001 - - - b[31:0] b[63:32] - - - - - - - disturb_block_period 0x001e0002 1 RW uint32 b[15:0] - - - - - - - - disturb_nof_beamlets_per_block 0x001e0003 1 RW uint32 b[15:0] - - - - - - - - disturb_nof_blocks_per_packet 0x001e0004 1 RW uint32 b[7:0] - - - - - - - - disturb_beamlet_index 0x001e0005 1 RW uint32 b[15:0] - - - - - - - - disturb_beamlet_scale 0x001e0006 1 RW uint32 b[15:0] - - - - - - - - disturb_reserved 0x001e0007 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x001e0008 - - - b[7:0] b[39:32] - - - - - - - disturb_source_info_gn_index 0x001e0009 1 RW uint32 b[4:0] - - - - - - - - disturb_source_info_beamlet_width 0x001e000a 1 RW uint32 b[7:5] - - - - - - - - disturb_source_info_repositioning_flag 0x001e000b 1 RW uint32 b[9:9] - - - - - - - - disturb_source_info_payload_error 0x001e000c 1 RW uint32 b[10:10] - - - - - - - - disturb_source_info_fsub_type 0x001e000d 1 RW uint32 b[11:11] - - - - - - - - disturb_source_info_f_adc 0x001e000e 1 RW uint32 b[12:12] - - - - - - - - disturb_source_info_nyquist_zone_index 0x001e000f 1 RW uint32 b[14:13] - - - - - - - - disturb_source_info_antenna_band_index 0x001e0010 1 RW uint32 b[15:15] - - - - - - - - disturb_station_id 0x001e0011 1 RW uint32 b[15:0] - - - - - - - - disturb_observation_id 0x001e0012 1 RW uint32 b[31:0] - - - - - - - - disturb_version_id 0x001e0013 1 RO uint32 b[7:0] - - - - - - - - disturb_marker 0x001e0014 1 RO uint32 b[7:0] - - - - - - - - udp_checksum 0x001e0015 1 RW uint32 b[15:0] - - - - - - - - udp_length 0x001e0016 1 RW uint32 b[15:0] - - - - - - - - udp_destination_port 0x001e0017 1 RW uint32 b[15:0] - - - - - - - - udp_source_port 0x001e0018 1 RW uint32 b[15:0] - - - - - - - - ip_destination_address 0x001e0019 1 RW uint32 b[31:0] - - - - - - - - ip_source_address 0x001e001a 1 RW uint32 b[31:0] - - - - - - - - ip_header_checksum 0x001e001b 1 RW uint32 b[15:0] - - - - - - - - ip_protocol 0x001e001c 1 RW uint32 b[7:0] - - - - - - - - ip_time_to_live 0x001e001d 1 RW uint32 b[7:0] - - - - - - - - ip_fragment_offset 0x001e001e 1 RW uint32 b[12:0] - - - - - - - - ip_flags 0x001e001f 1 RW uint32 b[2:0] - - - - - - - - ip_identification 0x001e0020 1 RW uint32 b[15:0] - - - - - - - - ip_total_length 0x001e0021 1 RW uint32 b[15:0] - - - - - - - - ip_services 0x001e0022 1 RW uint32 b[7:0] - - - - - - - - ip_header_length 0x001e0023 1 RW uint32 b[3:0] - - - - - - - - ip_version 0x001e0024 1 RW uint32 b[3:0] - - - - - - - - eth_type 0x001e0025 1 RO uint32 b[15:0] - - - - - - - - eth_source_mac 0x001e0026 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x001e0027 - - - b[15:0] b[47:32] - - - - - - - eth_destination_mac 0x001e0028 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x001e0029 - - - b[15:0] b[47:32] - - - REG_DP_XONOFF 2 1 REG enable_stream 0x001e8000 1 RW uint32 b[0:0] - 2 2 - RAM_ST_BST 2 1 RAM data 0x001f0000 976 RW uint64 b[31:0] b[31:0] 2048 2048 - - - - - - 0x001f0001 - - - b[21:0] b[53:32] - - - REG_STAT_ENABLE_BST 2 1 REG enable 0x001f8000 1 RW uint32 b[0:0] - 2 2 - REG_STAT_HDR_DAT_BST 2 1 REG bsn 0x00200000 1 RW uint64 b[31:0] b[31:0] 64 64 - - - - - - 0x00200001 - - - b[31:0] b[63:32] - - - - - - - block_period 0x00200002 1 RW uint32 b[15:0] - - - - - - - - nof_statistics_per_packet 0x00200003 1 RW uint32 b[15:0] - - - - - - - - nof_bytes_per_statistic 0x00200004 1 RW uint32 b[7:0] - - - - - - - - nof_signal_inputs 0x00200005 1 RW uint32 b[7:0] - - - - - - - - disturb_data_id 0x00200006 1 RW uint32 b[31:0] - - - - - - - - disturb_data_id_bst_beamlet_index 0x00200006 1 RW uint32 b[15:0] - - - - - - - - disturb_data_id_bst_reserved 0x00200006 1 RW uint32 b[31:16] - - - - - - - - disturb_integration_interval 0x00200007 1 RW uint32 b[23:0] - - - - - - - - disturb_reserved 0x00200008 1 RW uint32 b[7:0] - - - - - - - - disturb_source_info_gn_index 0x00200009 1 RW uint32 b[4:0] - - - - - - - - disturb_source_info_reserved 0x0020000a 1 RW uint32 b[7:5] - - - - - - - - disturb_source_info_weighted_subbands_flag 0x0020000b 1 RW uint32 b[8:8] - - - - - - - - disturb_source_info_beam_repositioning_flag 0x0020000c 1 RW uint32 b[9:9] - - - - - - - - disturb_source_info_payload_error 0x0020000d 1 RW uint32 b[10:10] - - - - - - - - disturb_source_info_fsub_type 0x0020000e 1 RW uint32 b[11:11] - - - - - - - - disturb_source_info_f_adc 0x0020000f 1 RW uint32 b[12:12] - - - - - - - - disturb_source_info_nyquist_zone_index 0x00200010 1 RW uint32 b[14:13] - - - - - - - - disturb_source_info_antenna_band_index 0x00200011 1 RW uint32 b[15:15] - - - - - - - - disturb_station_id 0x00200012 1 RW uint32 b[15:0] - - - - - - - - disturb_observation_id 0x00200013 1 RW uint32 b[31:0] - - - - - - - - disturb_version_id 0x00200014 1 RO uint32 b[7:0] - - - - - - - - disturb_marker 0x00200015 1 RO uint32 b[7:0] - - - - - - - - udp_checksum 0x00200016 1 RW uint32 b[15:0] - - - - - - - - udp_length 0x00200017 1 RW uint32 b[15:0] - - - - - - - - udp_destination_port 0x00200018 1 RW uint32 b[15:0] - - - - - - - - udp_source_port 0x00200019 1 RW uint32 b[15:0] - - - - - - - - ip_destination_address 0x0020001a 1 RW uint32 b[31:0] - - - - - - - - ip_source_address 0x0020001b 1 RW uint32 b[31:0] - - - - - - - - ip_header_checksum 0x0020001c 1 RW uint32 b[15:0] - - - - - - - - ip_protocol 0x0020001d 1 RW uint32 b[7:0] - - - - - - - - ip_time_to_live 0x0020001e 1 RW uint32 b[7:0] - - - - - - - - ip_fragment_offset 0x0020001f 1 RW uint32 b[12:0] - - - - - - - - ip_flags 0x00200020 1 RW uint32 b[2:0] - - - - - - - - ip_identification 0x00200021 1 RW uint32 b[15:0] - - - - - - - - ip_total_length 0x00200022 1 RW uint32 b[15:0] - - - - - - - - ip_services 0x00200023 1 RW uint32 b[7:0] - - - - - - - - ip_header_length 0x00200024 1 RW uint32 b[3:0] - - - - - - - - ip_version 0x00200025 1 RW uint32 b[3:0] - - - - - - - - eth_type 0x00200026 1 RO uint32 b[15:0] - - - - - - - - eth_source_mac 0x00200027 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00200028 - - - b[15:0] b[47:32] - - - - - - - eth_destination_mac 0x00200029 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x0020002a - - - b[15:0] b[47:32] - - - - - - - word_align 0x0020002b 1 RW uint32 b[15:0] - - - - REG_BSN_MONITOR_V2_BST_OFFLOAD 2 1 REG xon_stable 0x00208000 1 RO uint32 b[0:0] - 1 8 - - - - - ready_stable 0x00208000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00208000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00208001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00208002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00208003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00208004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00208005 1 RO uint32 b[31:0] - - - - - - - - latency 0x00208008 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_BEAMLET_OUTPUT 2 1 REG xon_stable 0x00210000 1 RO uint32 b[0:0] - 1 8 - - - - - ready_stable 0x00210000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00210000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00210001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00210002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00210003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00210004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00210005 1 RO uint32 b[31:0] - - - - - - - - latency 0x00210008 1 RO uint32 b[31:0] - - - - REG_NW_10GBE_MAC 1 1 REG rx_transfer_control 0x00218000 1 RW uint32 b[0:0] - - - - - - - - rx_transfer_status 0x00218001 1 RO uint32 b[0:0] - - - - - - - - tx_transfer_control 0x00218002 1 RW uint32 b[0:0] - - - - - - - - rx_padcrc_control 0x00218040 1 RW uint32 b[1:0] - - - - - - - - rx_crccheck_control 0x00218080 1 RW uint32 b[1:0] - - - - - - - - rx_pktovrflow_error 0x002180c0 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x002180c1 - - - b[31:0] b[31:0] - - - - - - - rx_pktovrflow_etherstatsdropevents 0x002180c2 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x002180c3 - - - b[31:0] b[31:0] - - - - - - - rx_lane_decoder_preamble_control 0x00218100 1 RW uint32 b[0:0] - - - - - - - - rx_preamble_inserter_control 0x00218140 1 RW uint32 b[0:0] - - - - - - - - rx_frame_control 0x00218800 1 RW uint32 b[19:0] - - - - - - - - rx_frame_maxlength 0x00218801 1 RW uint32 b[15:0] - - - - - - - - rx_frame_addr0 0x00218802 1 RW uint32 b[15:0] - - - - - - - - rx_frame_addr1 0x00218803 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr0_0 0x00218804 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr0_1 0x00218805 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr1_0 0x00218806 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr1_1 0x00218807 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr2_0 0x00218808 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr2_1 0x00218809 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr3_0 0x0021880a 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr3_1 0x0021880b 1 RW uint32 b[15:0] - - - - - - - - rx_pfc_control 0x00218818 1 RW uint32 b[16:0] - - - - - - - - rx_stats_clr 0x00218c00 1 RW uint32 b[0:0] - - - - - - - - rx_stats_framesok 0x00218c02 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c03 - - - b[31:0] b[31:0] - - - - - - - rx_stats_frameserr 0x00218c04 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c05 - - - b[31:0] b[31:0] - - - - - - - rx_stats_framescrcerr 0x00218c06 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c07 - - - b[31:0] b[31:0] - - - - - - - rx_stats_octetsok 0x00218c08 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c09 - - - b[31:0] b[31:0] - - - - - - - rx_stats_pausemacctrl_frames 0x00218c0a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c0b - - - b[31:0] b[31:0] - - - - - - - rx_stats_iferrors 0x00218c0c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c0d - - - b[31:0] b[31:0] - - - - - - - rx_stats_unicast_framesok 0x00218c0e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c0f - - - b[31:0] b[31:0] - - - - - - - rx_stats_unicast_frameserr 0x00218c10 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c11 - - - b[31:0] b[31:0] - - - - - - - rx_stats_multicastframesok 0x00218c12 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c13 - - - b[31:0] b[31:0] - - - - - - - rx_stats_multicast_frameserr 0x00218c14 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c15 - - - b[31:0] b[31:0] - - - - - - - rx_stats_broadcastframesok 0x00218c16 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c17 - - - b[31:0] b[31:0] - - - - - - - rx_stats_broadcast_frameserr 0x00218c18 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c19 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstatsoctets 0x00218c1a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c1b - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstatspkts 0x00218c1c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c1d - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_undersizepkts 0x00218c1e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c1f - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_oversizepkts 0x00218c20 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c21 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts64octets 0x00218c22 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c23 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts65to127octets 0x00218c24 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c25 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts128to255octets 0x00218c26 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c27 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts256to511octets 0x00218c28 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c29 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts512to1023octets 0x00218c2a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c2b - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstat_pkts1024to1518octets 0x00218c2c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c2d - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts1519toxoctets 0x00218c2e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c2f - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_fragments 0x00218c30 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c31 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_jabbers 0x00218c32 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c33 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstatscrcerr 0x00218c34 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c35 - - - b[31:0] b[31:0] - - - - - - - rx_stats_unicastmacctrlframes 0x00218c36 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c37 - - - b[31:0] b[31:0] - - - - - - - rx_stats_multicastmac_ctrlframes 0x00218c38 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c39 - - - b[31:0] b[31:0] - - - - - - - rx_stats_broadcastmac_ctrlframes 0x00218c3a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c3b - - - b[31:0] b[31:0] - - - - - - - rx_stats_pfcmacctrlframes 0x00218c3c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00218c3d - - - b[31:0] b[31:0] - - - - - - - tx_transfer_status 0x00219001 1 RO uint32 b[0:0] - - - - - - - - tx_padins_control 0x00219040 1 RW uint32 b[0:0] - - - - - - - - tx_crcins_control 0x00219080 1 RW uint32 b[1:0] - - - - - - - - tx_pktunderflow_error 0x002190c0 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x002190c1 - - - b[31:0] b[31:0] - - - - - - - tx_preamble_control 0x00219100 1 RW uint32 b[0:0] - - - - - - - - tx_pauseframe_control 0x00219140 1 RW uint32 b[1:0] - - - - - - - - tx_pauseframe_quanta 0x00219141 1 RW uint32 b[15:0] - - - - - - - - tx_pauseframe_enable 0x00219142 1 RW uint32 b[0:0] - - - - - - - - pfc_pause_quanta_0 0x00219180 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_1 0x00219181 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_2 0x00219182 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_3 0x00219183 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_4 0x00219184 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_5 0x00219185 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_6 0x00219186 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_7 0x00219187 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_0 0x00219190 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_1 0x00219191 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_2 0x00219192 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_3 0x00219193 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_4 0x00219194 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_5 0x00219195 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_6 0x00219196 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_7 0x00219197 1 RW uint32 b[31:0] - - - - - - - - tx_pfc_priority_enable 0x002191a0 1 RW uint32 b[7:0] - - - - - - - - tx_addrins_control 0x00219200 1 RW uint32 b[0:0] - - - - - - - - tx_addrins_macaddr0 0x00219201 1 RW uint32 b[31:0] - - - - - - - - tx_addrins_macaddr1 0x00219202 1 RW uint32 b[15:0] - - - - - - - - tx_frame_maxlength 0x00219801 1 RW uint32 b[15:0] - - - - - - - - tx_stats_clr 0x00219c00 1 RW uint32 b[0:0] - - - - - - - - tx_stats_framesok 0x00219c02 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c03 - - - b[31:0] b[31:0] - - - - - - - tx_stats_frameserr 0x00219c04 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c05 - - - b[31:0] b[31:0] - - - - - - - tx_stats_framescrcerr 0x00219c06 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c07 - - - b[31:0] b[31:0] - - - - - - - tx_stats_octetsok 0x00219c08 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c09 - - - b[31:0] b[31:0] - - - - - - - tx_stats_pausemacctrl_frames 0x00219c0a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c0b - - - b[31:0] b[31:0] - - - - - - - tx_stats_iferrors 0x00219c0c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c0d - - - b[31:0] b[31:0] - - - - - - - tx_stats_unicast_framesok 0x00219c0e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c0f - - - b[31:0] b[31:0] - - - - - - - tx_stats_unicast_frameserr 0x00219c10 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c11 - - - b[31:0] b[31:0] - - - - - - - tx_stats_multicastframesok 0x00219c12 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c13 - - - b[31:0] b[31:0] - - - - - - - tx_stats_multicast_frameserr 0x00219c14 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c15 - - - b[31:0] b[31:0] - - - - - - - tx_stats_broadcastframesok 0x00219c16 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c17 - - - b[31:0] b[31:0] - - - - - - - tx_stats_broadcast_frameserr 0x00219c18 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c19 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstatsoctets 0x00219c1a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c1b - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstatspkts 0x00219c1c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c1d - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_undersizepkts 0x00219c1e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c1f - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_oversizepkts 0x00219c20 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c21 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts64octets 0x00219c22 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c23 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts65to127octets 0x00219c24 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c25 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts128to255octets 0x00219c26 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c27 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts256to511octets 0x00219c28 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c29 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts512to1023octets 0x00219c2a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c2b - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstat_pkts1024to1518octets 0x00219c2c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c2d - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts1519toxoctets 0x00219c2e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c2f - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_fragments 0x00219c30 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c31 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_jabbers 0x00219c32 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c33 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstatscrcerr 0x00219c34 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c35 - - - b[31:0] b[31:0] - - - - - - - tx_stats_unicastmacctrlframes 0x00219c36 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c37 - - - b[31:0] b[31:0] - - - - - - - tx_stats_multicastmac_ctrlframes 0x00219c38 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c39 - - - b[31:0] b[31:0] - - - - - - - tx_stats_broadcastmac_ctrlframes 0x00219c3a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c3b - - - b[31:0] b[31:0] - - - - - - - tx_stats_pfcmacctrlframes 0x00219c3c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00219c3d - - - b[31:0] b[31:0] - - - REG_NW_10GBE_ETH10G 1 1 REG tx_snk_out_xon 0x00220000 1 RO uint32 b[0:0] - - - - - - - - xgmii_tx_ready 0x00220000 1 RO uint32 b[1:1] - - - - - - - - xgmii_link_status 0x00220000 1 RO uint32 b[3:2] - - - \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.mmap.qsys.gold b/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.mmap.qsys.gold deleted file mode 100644 index 5e76872ad26be9b9acea846a8a3d97cfdcce71ca..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.mmap.qsys.gold +++ /dev/null @@ -1,860 +0,0 @@ -fpga_name = disturb2_unb2b_station -number_of_columns = 13 -# There can be multiple lines with a single key. The host should ignore unknown keys. -# The lines with columns follow after the number_of_columns keys. The host should ignore -# the extra columns in case the mmap contains more columns than the host expects. -# -# col 1: mm_port_name, if - then it is part of previous MM port. -# col 2: number of peripherals, if - then it is part of previous peripheral. -# col 3: number of mm_ports, if - then it is part of previous MM port. -# col 4: mm_port_type, if - then it is part of previous MM port. -# col 5: field_name -# col 6: field start address (in MM words) -# col 7: number of fields, if - then it is part of previous field_name. -# col 8: field access_mode, if - then it is part of previous field_name. -# col 9: field radix, if - then it is part of previous field_name. -# col 10: field mm_mask -# col 11: field user_mask, if - then it is same as mm_mask -# col 12: mm_peripheral_span (in MM words), if - then the span is not used or already defined on first line of MM port -# col 13: mm_port_span (in MM words), if - then the span is not used or already defined on first line of MM port -# -# col1 col2 col3 col4 col5 col6 col7 col8 col9 col10 col11 col12 col13 -# ---------------------------------------- ---- ---- ----- ---------------------------------------- ---------- ------ ----- ----------- ---------- ---------- ----- ----- - ROM_SYSTEM_INFO 1 1 RAM data 0x00004000 32768 RO char8 b[31:0] b[7:0] - - - PIO_SYSTEM_INFO 1 1 REG info 0x00000000 1 RO uint32 b[31:0] - - - - - - - - info_gn_index 0x00000000 1 RO uint32 b[7:0] - - - - - - - - info_hw_version 0x00000000 1 RO uint32 b[9:8] - - - - - - - - info_cs_sim 0x00000000 1 RO uint32 b[10:10] - - - - - - - - info_fw_version_major 0x00000000 1 RO uint32 b[19:16] - - - - - - - - info_fw_version_minor 0x00000000 1 RO uint32 b[23:20] - - - - - - - - info_rom_version 0x00000000 1 RO uint32 b[26:24] - - - - - - - - info_technology 0x00000000 1 RO uint32 b[31:27] - - - - - - - - use_phy 0x00000001 1 RO uint32 b[7:0] - - - - - - - - design_name 0x00000002 52 RO char8 b[31:0] b[7:0] - - - - - - - stamp_date 0x0000000f 1 RO uint32 b[31:0] - - - - - - - - stamp_time 0x00000010 1 RO uint32 b[31:0] - - - - - - - - stamp_commit 0x00000011 3 RO uint32 b[31:0] - - - - - - - - design_note 0x00000014 52 RO char8 b[31:0] b[7:0] - - - REG_WDI 1 1 REG wdi_override 0x00000c00 1 WO uint32 b[31:0] - - - - REG_FPGA_TEMP_SENS 1 1 REG temp 0x00043498 1 RO uint32 b[31:0] - - - - REG_FPGA_VOLTAGE_SENS 1 1 REG voltages 0x00043450 6 RO uint32 b[31:0] - - - - RAM_SCRAP 1 1 RAM data 0x00000200 512 RW uint32 b[31:0] - - - - AVS_ETH_0_TSE 1 1 REG status 0x00000400 1024 RO uint32 b[31:0] - - - - AVS_ETH_0_REG 1 1 REG status 0x000433e0 12 RO uint32 b[31:0] - - - - AVS_ETH_0_RAM 1 1 RAM data 0x00000800 1024 RW uint32 b[31:0] - - - - PIO_PPS 1 1 REG capture_cnt 0x000434c8 1 RO uint32 b[29:0] - - - - - - - - stable 0x000434c8 1 RO uint32 b[30:30] - - - - - - - - toggle 0x000434c8 1 RO uint32 b[31:31] - - - - - - - - expected_cnt 0x000434c9 1 RW uint32 b[27:0] - - - - - - - - edge 0x000434c9 1 RW uint32 b[31:31] - - - - - - - - offset_cnt 0x000434ca 1 RO uint32 b[27:0] - - - - REG_EPCS 1 1 REG addr 0x000434a0 1 WO uint32 b[31:0] - - - - - - - - rden 0x000434a1 1 WO uint32 b[0:0] - - - - - - - - read_bit 0x000434a2 1 WO uint32 b[0:0] - - - - - - - - write_bit 0x000434a3 1 WO uint32 b[0:0] - - - - - - - - sector_erase 0x000434a4 1 WO uint32 b[0:0] - - - - - - - - busy 0x000434a5 1 RO uint32 b[0:0] - - - - - - - - unprotect 0x000434a6 1 WO uint32 b[31:0] - - - - REG_DPMM_CTRL 1 1 REG rd_usedw 0x000434e2 1 RO uint32 b[31:0] - - - - REG_DPMM_DATA 1 1 FIFO data 0x000434e0 1 RO uint32 b[31:0] - - - - REG_MMDP_CTRL 1 1 REG wr_usedw 0x000434de 1 RO uint32 b[31:0] - - - - - - - - wr_availw 0x000434df 1 RO uint32 b[31:0] - - - - REG_MMDP_DATA 1 1 FIFO data 0x000434dc 1 WO uint32 b[31:0] - - - - REG_REMU 1 1 REG reconfigure 0x000434a8 1 WO uint32 b[31:0] - - - - - - - - param 0x000434a9 1 WO uint32 b[2:0] - - - - - - - - read_param 0x000434aa 1 WO uint32 b[0:0] - - - - - - - - write_param 0x000434ab 1 WO uint32 b[0:0] - - - - - - - - data_out 0x000434ac 1 RO uint32 b[31:0] - - - - - - - - data_in 0x000434ad 1 WO uint32 b[31:0] - - - - - - - - busy 0x000434ae 1 RO uint32 b[0:0] - - - - REG_SDP_INFO 1 1 REG block_period 0x00043440 1 RO uint32 b[15:0] - - - - - - - - beam_repositioning_flag 0x00043441 1 RW uint32 b[0:0] - - - - - - - - fsub_type 0x00043442 1 RO uint32 b[0:0] - - - - - - - - f_adc 0x00043443 1 RO uint32 b[0:0] - - - - - - - - nyquist_zone_index 0x00043444 1 RW uint32 b[1:0] - - - - - - - - observation_id 0x00043445 1 RW uint32 b[31:0] - - - - - - - - antenna_band_index 0x00043446 1 RW uint32 b[0:0] - - - - - - - - station_id 0x00043447 1 RW uint32 b[15:0] - - - - REG_RING_INFO 1 1 REG use_cable_to_previous_rn 0x000434b4 1 RW uint32 b[0:0] - - - - - - - - use_cable_to_next_rn 0x000434b5 1 RW uint32 b[0:0] - - - - - - - - n_rn 0x000434b6 1 RW uint32 b[7:0] - - - - - - - - o_rn 0x000434b7 1 RW uint32 b[7:0] - - - - PIO_JESD_CTRL 1 1 REG enable 0x000434d2 1 RW uint32 b[30:0] - - - - - - - - reset 0x000434d2 1 RW uint32 b[31:31] - - - - JESD204B 1 12 REG rx_lane_ctrl_common 0x00042000 1 RW uint32 b[2:0] - - 256 - - - - - rx_lane_ctrl_0 0x00042001 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_1 0x00042002 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_2 0x00042003 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_3 0x00042004 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_4 0x00042005 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_5 0x00042006 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_6 0x00042007 1 RW uint32 b[2:0] - - - - - - - - rx_lane_ctrl_7 0x00042008 1 RW uint32 b[2:0] - - - - - - - - rx_dll_ctrl 0x00042014 1 RW uint32 b[16:0] - - - - - - - - rx_syncn_sysref_ctrl 0x00042015 1 RW uint32 b[24:0] - - - - - - - - rx_csr_sysref_always_on 0x00042015 1 RW uint32 b[1:1] - - - - - - - - rx_csr_rbd_offset 0x00042015 1 RW uint32 b[10:3] - - - - - - - - rx_csr_lmfc_offset 0x00042015 1 RW uint32 b[19:12] - - - - - - - - rx_err0 0x00042018 1 RW uint32 b[8:0] - - - - - - - - rx_err1 0x00042019 1 RW uint32 b[9:0] - - - - - - - - csr_dev_syncn 0x00042020 1 RO uint32 b[0:0] - - - - - - - - csr_rbd_count 0x00042020 1 RO uint32 b[10:3] - - - - - - - - rx_status1 0x00042021 1 RW uint32 b[23:0] - - - - - - - - rx_status2 0x00042022 1 RW uint32 b[23:0] - - - - - - - - rx_status3 0x00042023 1 RW uint32 b[7:0] - - - - - - - - rx_ilas_csr_l 0x00042025 1 RW uint32 b[4:0] - - - - - - - - rx_ilas_csr_f 0x00042025 1 RW uint32 b[15:8] - - - - - - - - rx_ilas_csr_k 0x00042025 1 RW uint32 b[20:16] - - - - - - - - rx_ilas_csr_m 0x00042025 1 RW uint32 b[31:24] - - - - - - - - rx_ilas_csr_n 0x00042026 1 RW uint32 b[4:0] - - - - - - - - rx_ilas_csr_cs 0x00042026 1 RW uint32 b[7:6] - - - - - - - - rx_ilas_csr_np 0x00042026 1 RW uint32 b[12:8] - - - - - - - - rx_ilas_csr_subclassv 0x00042026 1 RW uint32 b[15:13] - - - - - - - - rx_ilas_csr_s 0x00042026 1 RW uint32 b[20:16] - - - - - - - - rx_ilas_csr_jesdv 0x00042026 1 RW uint32 b[23:21] - - - - - - - - rx_ilas_csr_cf 0x00042026 1 RW uint32 b[28:24] - - - - - - - - rx_ilas_csr_hd 0x00042026 1 RW uint32 b[31:31] - - - - - - - - rx_status4 0x0004203c 1 RW uint32 b[15:0] - - - - - - - - rx_status5 0x0004203d 1 RW uint32 b[15:0] - - - - - - - - rx_status6 0x0004203e 1 RW uint32 b[23:0] - - - - - - - - rx_status7 0x0004203f 1 RO uint32 b[31:0] - - - - REG_DP_SHIFTRAM 1 12 REG shift 0x000433c0 1 RW uint32 b[11:0] - - 2 - REG_BSN_SOURCE_V2 1 1 REG dp_on 0x00043490 1 RW uint32 b[0:0] - - - - - - - - dp_on_pps 0x00043490 1 RW uint32 b[1:1] - - - - - - - - nof_clk_per_sync 0x00043491 1 RW uint32 b[31:0] - - - - - - - - bsn_init 0x00043492 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x00043493 - - - b[31:0] b[63:32] - - - - - - - bsn_time_offset 0x00043494 1 RW uint32 b[9:0] - - - - REG_BSN_SCHEDULER 1 1 REG scheduled_bsn 0x000434d8 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x000434d9 - - - b[31:0] b[63:32] - - - REG_BSN_MONITOR_INPUT 1 1 REG xon_stable 0x00043000 1 RO uint32 b[0:0] - - - - - - - - ready_stable 0x00043000 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00043000 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00043001 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00043002 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00043003 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00043004 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00043005 1 RO uint32 b[31:0] - - - - - - - - bsn_first 0x00043006 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00043007 - - - b[31:0] b[63:32] - - - - - - - bsn_first_cycle_cnt 0x00043008 1 RO uint32 b[31:0] - - - - REG_WG 1 12 REG mode 0x00043280 1 RW uint32 b[7:0] - - 4 - - - - - nof_samples 0x00043280 1 RW uint32 b[31:16] - - - - - - - - phase 0x00043281 1 RW uint32 b[15:0] - - - - - - - - freq 0x00043282 1 RW uint32 b[30:0] - - - - - - - - ampl 0x00043283 1 RW uint32 b[16:0] - - - - RAM_WG 1 12 RAM data 0x00034000 1024 RW uint32 b[17:0] - - 1024 - RAM_ST_HISTOGRAM 1 12 RAM data 0x00002000 512 RW uint32 b[31:0] b[27:0] - 512 - REG_ADUH_MONITOR 1 12 REG mean_sum 0x000432c0 1 RO int64 b[31:0] b[31:0] - 4 - - - - - - 0x000432c1 - - - b[31:0] b[63:32] - - - - - - - power_sum 0x000432c2 1 RO int64 b[31:0] b[31:0] - - - - - - - - 0x000432c3 - - - b[31:0] b[63:32] - - - REG_DIAG_DATA_BUFFER_BSN 1 12 REG sync_cnt 0x000433a0 1 RO uint32 b[31:0] - - 2 - - - - - word_cnt 0x000433a1 1 RO uint32 b[31:0] - - - - RAM_DIAG_DATA_BUFFER_BSN 1 12 RAM data 0x00200000 1024 RW uint32 b[31:0] b[15:0] - 1024 - REG_SI 1 1 REG enable 0x000434da 1 RW uint32 b[0:0] - - - - RAM_FIL_COEFS 1 16 RAM data 0x00038000 1024 RW uint32 b[15:0] - - 1024 - RAM_EQUALIZER_GAINS 1 6 RAM data 0x00040000 1024 RW cint16_ir b[31:0] - - 1024 - REG_DP_SELECTOR 1 1 REG input_select 0x000434d6 1 RW uint32 b[0:0] - - - - RAM_ST_SST 1 6 RAM data 0x0003c000 1024 RW uint64 b[31:0] b[31:0] - 2048 - - - - - - 0x0003c001 - - - b[21:0] b[53:32] - - - REG_STAT_ENABLE_SST 1 1 REG enable 0x000434d0 1 RW uint32 b[0:0] - - - - REG_STAT_HDR_DAT_SST 1 1 REG bsn 0x00000c40 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x00000c41 - - - b[31:0] b[63:32] - - - - - - - disturb_block_period 0x00000c42 1 RW uint32 b[15:0] - - - - - - - - disturb_nof_statistics_per_packet 0x00000c43 1 RW uint32 b[15:0] - - - - - - - - disturb_nof_bytes_per_statistic 0x00000c44 1 RW uint32 b[7:0] - - - - - - - - disturb_nof_signal_inputs 0x00000c45 1 RW uint32 b[7:0] - - - - - - - - disturb_data_id 0x00000c46 1 RW uint32 b[31:0] - - - - - - - - disturb_data_id_sst_signal_input_index 0x00000c46 1 RW uint32 b[7:0] - - - - - - - - disturb_data_id_sst_reserved 0x00000c46 1 RW uint32 b[31:8] - - - - - - - - disturb_integration_interval 0x00000c47 1 RW uint32 b[23:0] - - - - - - - - disturb_reserved 0x00000c48 1 RW uint32 b[7:0] - - - - - - - - disturb_source_info_gn_index 0x00000c49 1 RW uint32 b[4:0] - - - - - - - - disturb_source_info_reserved 0x00000c4a 1 RW uint32 b[7:5] - - - - - - - - disturb_source_info_weighted_subbands_flag 0x00000c4b 1 RW uint32 b[8:8] - - - - - - - - disturb_source_info_beam_repositioning_flag 0x00000c4c 1 RW uint32 b[9:9] - - - - - - - - disturb_source_info_payload_error 0x00000c4d 1 RW uint32 b[10:10] - - - - - - - - disturb_source_info_fsub_type 0x00000c4e 1 RW uint32 b[11:11] - - - - - - - - disturb_source_info_f_adc 0x00000c4f 1 RW uint32 b[12:12] - - - - - - - - disturb_source_info_nyquist_zone_index 0x00000c50 1 RW uint32 b[14:13] - - - - - - - - disturb_source_info_antenna_band_index 0x00000c51 1 RW uint32 b[15:15] - - - - - - - - disturb_station_id 0x00000c52 1 RW uint32 b[15:0] - - - - - - - - disturb_observation_id 0x00000c53 1 RW uint32 b[31:0] - - - - - - - - disturb_version_id 0x00000c54 1 RO uint32 b[7:0] - - - - - - - - disturb_marker 0x00000c55 1 RO uint32 b[7:0] - - - - - - - - udp_checksum 0x00000c56 1 RW uint32 b[15:0] - - - - - - - - udp_length 0x00000c57 1 RW uint32 b[15:0] - - - - - - - - udp_destination_port 0x00000c58 1 RW uint32 b[15:0] - - - - - - - - udp_source_port 0x00000c59 1 RW uint32 b[15:0] - - - - - - - - ip_destination_address 0x00000c5a 1 RW uint32 b[31:0] - - - - - - - - ip_source_address 0x00000c5b 1 RW uint32 b[31:0] - - - - - - - - ip_header_checksum 0x00000c5c 1 RW uint32 b[15:0] - - - - - - - - ip_protocol 0x00000c5d 1 RW uint32 b[7:0] - - - - - - - - ip_time_to_live 0x00000c5e 1 RW uint32 b[7:0] - - - - - - - - ip_fragment_offset 0x00000c5f 1 RW uint32 b[12:0] - - - - - - - - ip_flags 0x00000c60 1 RW uint32 b[2:0] - - - - - - - - ip_identification 0x00000c61 1 RW uint32 b[15:0] - - - - - - - - ip_total_length 0x00000c62 1 RW uint32 b[15:0] - - - - - - - - ip_services 0x00000c63 1 RW uint32 b[7:0] - - - - - - - - ip_header_length 0x00000c64 1 RW uint32 b[3:0] - - - - - - - - ip_version 0x00000c65 1 RW uint32 b[3:0] - - - - - - - - eth_type 0x00000c66 1 RO uint32 b[15:0] - - - - - - - - eth_source_mac 0x00000c67 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00000c68 - - - b[15:0] b[47:32] - - - - - - - eth_destination_mac 0x00000c69 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x00000c6a - - - b[15:0] b[47:32] - - - - - - - word_align 0x00000c6b 1 RW uint32 b[15:0] - - - - REG_BSN_MONITOR_V2_SST_OFFLOAD 1 1 REG xon_stable 0x00043470 1 RO uint32 b[0:0] - - - - - - - - ready_stable 0x00043470 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00043470 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00043471 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00043472 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00043473 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00043474 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00043475 1 RO uint32 b[31:0] - - - - - - - - latency 0x00043478 1 RO uint32 b[31:0] - - - - REG_BSN_SYNC_SCHEDULER_XSUB 1 1 REG ctrl_enable 0x00043420 1 RW uint32 b[0:0] - - - - - - - - ctrl_interval_size 0x00043421 1 RW uint32 b[30:0] - - - - - - - - ctrl_start_bsn 0x00043422 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x00043423 - - - b[31:0] b[63:32] - - - - - - - mon_current_input_bsn 0x00043424 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00043425 - - - b[31:0] b[63:32] - - - - - - - mon_input_bsn_at_sync 0x00043426 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00043427 - - - b[31:0] b[63:32] - - - - - - - mon_output_enable 0x00043428 1 RO uint32 b[0:0] - - - - - - - - mon_output_sync_bsn 0x00043429 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x0004342a - - - b[31:0] b[63:32] - - - - - - - block_size 0x0004342b 1 RO uint32 b[31:0] - - - - RAM_ST_XSQ 1 9 RAM data 0x00010000 1008 RW cint64_ir b[31:0] b[31:0] - 4096 - - - - - - 0x00010001 - - - b[31:0] b[63:32] - - - - - - - - 0x00010002 - - - b[31:0] b[95:64] - - - - - - - - 0x00010003 - - - b[31:0] b[127:96] - - - REG_CROSSLETS_INFO 1 1 REG offset 0x00043430 15 RW uint32 b[31:0] - - - - - - - - step 0x0004343f 1 RW uint32 b[31:0] - - - - REG_NOF_CROSSLETS 1 1 REG nof_crosslets 0x000434cc 1 RW uint32 b[31:0] - - - - - - - - unused 0x000434cd 1 RW uint32 b[31:0] - - - - REG_STAT_ENABLE_XST 1 1 REG enable 0x000434ce 1 RW uint32 b[0:0] - - - - REG_STAT_HDR_DAT_XST 1 1 REG bsn 0x00000040 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x00000041 - - - b[31:0] b[63:32] - - - - - - - block_period 0x00000042 1 RW uint32 b[15:0] - - - - - - - - nof_statistics_per_packet 0x00000043 1 RW uint32 b[15:0] - - - - - - - - nof_bytes_per_statistic 0x00000044 1 RW uint32 b[7:0] - - - - - - - - nof_signal_inputs 0x00000045 1 RW uint32 b[7:0] - - - - - - - - disturb_data_id 0x00000046 1 RW uint32 b[31:0] - - - - - - - - disturb_data_id_xst_signal_input_b_index 0x00000046 1 RW uint32 b[7:0] - - - - - - - - disturb_data_id_xst_signal_input_a_index 0x00000046 1 RW uint32 b[15:8] - - - - - - - - disturb_data_id_xst_subband_index 0x00000046 1 RW uint32 b[24:16] - - - - - - - - disturb_data_id_xst_reserved 0x00000046 1 RW uint32 b[31:25] - - - - - - - - disturb_integration_interval 0x00000047 1 RW uint32 b[23:0] - - - - - - - - disturb_reserved 0x00000048 1 RW uint32 b[7:0] - - - - - - - - disturb_source_info_gn_index 0x00000049 1 RW uint32 b[4:0] - - - - - - - - disturb_source_info_reserved 0x0000004a 1 RW uint32 b[7:5] - - - - - - - - disturb_source_info_weighted_subbands_flag 0x0000004b 1 RW uint32 b[8:8] - - - - - - - - disturb_source_info_beam_repositioning_flag 0x0000004c 1 RW uint32 b[9:9] - - - - - - - - disturb_source_info_payload_error 0x0000004d 1 RW uint32 b[10:10] - - - - - - - - disturb_source_info_fsub_type 0x0000004e 1 RW uint32 b[11:11] - - - - - - - - disturb_source_info_f_adc 0x0000004f 1 RW uint32 b[12:12] - - - - - - - - disturb_source_info_nyquist_zone_index 0x00000050 1 RW uint32 b[14:13] - - - - - - - - disturb_source_info_antenna_band_index 0x00000051 1 RW uint32 b[15:15] - - - - - - - - disturb_station_id 0x00000052 1 RW uint32 b[15:0] - - - - - - - - disturb_observation_id 0x00000053 1 RW uint32 b[31:0] - - - - - - - - disturb_version_id 0x00000054 1 RO uint32 b[7:0] - - - - - - - - disturb_marker 0x00000055 1 RO uint32 b[7:0] - - - - - - - - udp_checksum 0x00000056 1 RW uint32 b[15:0] - - - - - - - - udp_length 0x00000057 1 RW uint32 b[15:0] - - - - - - - - udp_destination_port 0x00000058 1 RW uint32 b[15:0] - - - - - - - - udp_source_port 0x00000059 1 RW uint32 b[15:0] - - - - - - - - ip_destination_address 0x0000005a 1 RW uint32 b[31:0] - - - - - - - - ip_source_address 0x0000005b 1 RW uint32 b[31:0] - - - - - - - - ip_header_checksum 0x0000005c 1 RW uint32 b[15:0] - - - - - - - - ip_protocol 0x0000005d 1 RW uint32 b[7:0] - - - - - - - - ip_time_to_live 0x0000005e 1 RW uint32 b[7:0] - - - - - - - - ip_fragment_offset 0x0000005f 1 RW uint32 b[12:0] - - - - - - - - ip_flags 0x00000060 1 RW uint32 b[2:0] - - - - - - - - ip_identification 0x00000061 1 RW uint32 b[15:0] - - - - - - - - ip_total_length 0x00000062 1 RW uint32 b[15:0] - - - - - - - - ip_services 0x00000063 1 RW uint32 b[7:0] - - - - - - - - ip_header_length 0x00000064 1 RW uint32 b[3:0] - - - - - - - - ip_version 0x00000065 1 RW uint32 b[3:0] - - - - - - - - eth_type 0x00000066 1 RO uint32 b[15:0] - - - - - - - - eth_source_mac 0x00000067 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00000068 - - - b[15:0] b[47:32] - - - - - - - eth_destination_mac 0x00000069 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x0000006a - - - b[15:0] b[47:32] - - - - - - - word_align 0x0000006b 1 RW uint32 b[15:0] - - - - REG_BSN_ALIGN_V2_XSUB 1 9 REG enable 0x00043380 1 RW uint32 b[0:0] - - 2 - - - - - replaced_pkt_cnt 0x00043381 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_RX_ALIGN_XSUB 1 9 REG xon_stable 0x00043100 1 RO uint32 b[0:0] - - 8 - - - - - ready_stable 0x00043100 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00043100 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00043101 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00043102 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00043103 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00043104 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00043105 1 RO uint32 b[31:0] - - - - - - - - latency 0x00043108 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_ALIGNED_XSUB 1 1 REG xon_stable 0x00043488 1 RO uint32 b[0:0] - - - - - - - - ready_stable 0x00043488 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00043488 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00043489 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x0004348a - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x0004348b 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x0004348c 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x0004348d 1 RO uint32 b[31:0] - - - - - - - - latency 0x00043490 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_XST_OFFLOAD 1 1 REG xon_stable 0x00043480 1 RO uint32 b[0:0] - - - - - - - - ready_stable 0x00043480 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00043480 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00043481 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00043482 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00043483 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00043484 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00043485 1 RO uint32 b[31:0] - - - - - - - - latency 0x00043488 1 RO uint32 b[31:0] - - - - REG_RING_LANE_INFO_XST 1 1 REG lane_direction 0x00000c02 1 RO uint32 b[0:0] - - - - - - - - transport_nof_hops 0x00000c03 1 RW uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_RING_RX_XST 1 16 REG xon_stable 0x00000c80 1 RO uint32 b[0:0] - - 8 - - - - - ready_stable 0x00000c80 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00000c80 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00000c81 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00000c82 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00000c83 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00000c84 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00000c85 1 RO uint32 b[31:0] - - - - - - - - latency 0x00000c88 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_RING_TX_XST 1 16 REG xon_stable 0x00000080 1 RO uint32 b[0:0] - - 8 - - - - - ready_stable 0x00000080 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00000080 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00000081 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00000082 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00000083 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00000084 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00000085 1 RO uint32 b[31:0] - - - - - - - - latency 0x00000088 1 RO uint32 b[31:0] - - - - REG_DP_BLOCK_VALIDATE_ERR_XST 1 1 REG err_count_index 0x00043410 8 RO uint32 b[31:0] - - - - - - - - total_discarded_blocks 0x00043418 1 RO uint32 b[31:0] - - - - - - - - total_block_count 0x00043419 1 RO uint32 b[31:0] - - - - - - - - clear 0x0004341a 1 RW uint32 b[31:0] - - - - REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC_XST 1 1 REG nof_sync_discarded 0x000434b8 1 RO uint32 b[31:0] - - - - - - - - nof_sync 0x000434b9 1 RO uint32 b[31:0] - - - - - - - - clear 0x000434ba 1 RW uint32 b[31:0] - - - - REG_TR_10GBE_MAC 1 3 REG rx_transfer_control 0x00020000 1 RW uint32 b[0:0] - - 1 - - - - - rx_transfer_status 0x00020001 1 RO uint32 b[0:0] - - - - - - - - tx_transfer_control 0x00020002 1 RW uint32 b[0:0] - - - - - - - - rx_padcrc_control 0x00020040 1 RW uint32 b[1:0] - - - - - - - - rx_crccheck_control 0x00020080 1 RW uint32 b[1:0] - - - - - - - - rx_pktovrflow_error 0x000200c0 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x000200c1 - - - b[31:0] b[31:0] - - - - - - - rx_pktovrflow_etherstatsdropevents 0x000200c2 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x000200c3 - - - b[31:0] b[31:0] - - - - - - - rx_lane_decoder_preamble_control 0x00020100 1 RW uint32 b[0:0] - - - - - - - - rx_preamble_inserter_control 0x00020140 1 RW uint32 b[0:0] - - - - - - - - rx_frame_control 0x00020800 1 RW uint32 b[19:0] - - - - - - - - rx_frame_maxlength 0x00020801 1 RW uint32 b[15:0] - - - - - - - - rx_frame_addr0 0x00020802 1 RW uint32 b[15:0] - - - - - - - - rx_frame_addr1 0x00020803 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr0_0 0x00020804 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr0_1 0x00020805 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr1_0 0x00020806 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr1_1 0x00020807 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr2_0 0x00020808 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr2_1 0x00020809 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr3_0 0x0002080a 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr3_1 0x0002080b 1 RW uint32 b[15:0] - - - - - - - - rx_pfc_control 0x00020818 1 RW uint32 b[16:0] - - - - - - - - rx_stats_clr 0x00020c00 1 RW uint32 b[0:0] - - - - - - - - rx_stats_framesok 0x00020c02 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c03 - - - b[31:0] b[31:0] - - - - - - - rx_stats_frameserr 0x00020c04 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c05 - - - b[31:0] b[31:0] - - - - - - - rx_stats_framescrcerr 0x00020c06 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c07 - - - b[31:0] b[31:0] - - - - - - - rx_stats_octetsok 0x00020c08 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c09 - - - b[31:0] b[31:0] - - - - - - - rx_stats_pausemacctrl_frames 0x00020c0a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c0b - - - b[31:0] b[31:0] - - - - - - - rx_stats_iferrors 0x00020c0c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c0d - - - b[31:0] b[31:0] - - - - - - - rx_stats_unicast_framesok 0x00020c0e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c0f - - - b[31:0] b[31:0] - - - - - - - rx_stats_unicast_frameserr 0x00020c10 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c11 - - - b[31:0] b[31:0] - - - - - - - rx_stats_multicastframesok 0x00020c12 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c13 - - - b[31:0] b[31:0] - - - - - - - rx_stats_multicast_frameserr 0x00020c14 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c15 - - - b[31:0] b[31:0] - - - - - - - rx_stats_broadcastframesok 0x00020c16 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c17 - - - b[31:0] b[31:0] - - - - - - - rx_stats_broadcast_frameserr 0x00020c18 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c19 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstatsoctets 0x00020c1a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c1b - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstatspkts 0x00020c1c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c1d - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_undersizepkts 0x00020c1e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c1f - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_oversizepkts 0x00020c20 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c21 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts64octets 0x00020c22 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c23 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts65to127octets 0x00020c24 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c25 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts128to255octets 0x00020c26 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c27 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts256to511octets 0x00020c28 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c29 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts512to1023octets 0x00020c2a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c2b - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstat_pkts1024to1518octets 0x00020c2c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c2d - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts1519toxoctets 0x00020c2e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c2f - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_fragments 0x00020c30 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c31 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_jabbers 0x00020c32 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c33 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstatscrcerr 0x00020c34 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c35 - - - b[31:0] b[31:0] - - - - - - - rx_stats_unicastmacctrlframes 0x00020c36 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c37 - - - b[31:0] b[31:0] - - - - - - - rx_stats_multicastmac_ctrlframes 0x00020c38 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c39 - - - b[31:0] b[31:0] - - - - - - - rx_stats_broadcastmac_ctrlframes 0x00020c3a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c3b - - - b[31:0] b[31:0] - - - - - - - rx_stats_pfcmacctrlframes 0x00020c3c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00020c3d - - - b[31:0] b[31:0] - - - - - - - tx_transfer_status 0x00021001 1 RO uint32 b[0:0] - - - - - - - - tx_padins_control 0x00021040 1 RW uint32 b[0:0] - - - - - - - - tx_crcins_control 0x00021080 1 RW uint32 b[1:0] - - - - - - - - tx_pktunderflow_error 0x000210c0 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x000210c1 - - - b[31:0] b[31:0] - - - - - - - tx_preamble_control 0x00021100 1 RW uint32 b[0:0] - - - - - - - - tx_pauseframe_control 0x00021140 1 RW uint32 b[1:0] - - - - - - - - tx_pauseframe_quanta 0x00021141 1 RW uint32 b[15:0] - - - - - - - - tx_pauseframe_enable 0x00021142 1 RW uint32 b[0:0] - - - - - - - - pfc_pause_quanta_0 0x00021180 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_1 0x00021181 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_2 0x00021182 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_3 0x00021183 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_4 0x00021184 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_5 0x00021185 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_6 0x00021186 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_7 0x00021187 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_0 0x00021190 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_1 0x00021191 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_2 0x00021192 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_3 0x00021193 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_4 0x00021194 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_5 0x00021195 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_6 0x00021196 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_7 0x00021197 1 RW uint32 b[31:0] - - - - - - - - tx_pfc_priority_enable 0x000211a0 1 RW uint32 b[7:0] - - - - - - - - tx_addrins_control 0x00021200 1 RW uint32 b[0:0] - - - - - - - - tx_addrins_macaddr0 0x00021201 1 RW uint32 b[31:0] - - - - - - - - tx_addrins_macaddr1 0x00021202 1 RW uint32 b[15:0] - - - - - - - - tx_frame_maxlength 0x00021801 1 RW uint32 b[15:0] - - - - - - - - tx_stats_clr 0x00021c00 1 RW uint32 b[0:0] - - - - - - - - tx_stats_framesok 0x00021c02 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c03 - - - b[31:0] b[31:0] - - - - - - - tx_stats_frameserr 0x00021c04 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c05 - - - b[31:0] b[31:0] - - - - - - - tx_stats_framescrcerr 0x00021c06 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c07 - - - b[31:0] b[31:0] - - - - - - - tx_stats_octetsok 0x00021c08 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c09 - - - b[31:0] b[31:0] - - - - - - - tx_stats_pausemacctrl_frames 0x00021c0a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c0b - - - b[31:0] b[31:0] - - - - - - - tx_stats_iferrors 0x00021c0c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c0d - - - b[31:0] b[31:0] - - - - - - - tx_stats_unicast_framesok 0x00021c0e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c0f - - - b[31:0] b[31:0] - - - - - - - tx_stats_unicast_frameserr 0x00021c10 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c11 - - - b[31:0] b[31:0] - - - - - - - tx_stats_multicastframesok 0x00021c12 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c13 - - - b[31:0] b[31:0] - - - - - - - tx_stats_multicast_frameserr 0x00021c14 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c15 - - - b[31:0] b[31:0] - - - - - - - tx_stats_broadcastframesok 0x00021c16 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c17 - - - b[31:0] b[31:0] - - - - - - - tx_stats_broadcast_frameserr 0x00021c18 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c19 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstatsoctets 0x00021c1a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c1b - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstatspkts 0x00021c1c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c1d - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_undersizepkts 0x00021c1e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c1f - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_oversizepkts 0x00021c20 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c21 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts64octets 0x00021c22 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c23 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts65to127octets 0x00021c24 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c25 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts128to255octets 0x00021c26 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c27 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts256to511octets 0x00021c28 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c29 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts512to1023octets 0x00021c2a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c2b - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstat_pkts1024to1518octets 0x00021c2c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c2d - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts1519toxoctets 0x00021c2e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c2f - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_fragments 0x00021c30 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c31 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_jabbers 0x00021c32 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c33 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstatscrcerr 0x00021c34 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c35 - - - b[31:0] b[31:0] - - - - - - - tx_stats_unicastmacctrlframes 0x00021c36 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c37 - - - b[31:0] b[31:0] - - - - - - - tx_stats_multicastmac_ctrlframes 0x00021c38 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c39 - - - b[31:0] b[31:0] - - - - - - - tx_stats_broadcastmac_ctrlframes 0x00021c3a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c3b - - - b[31:0] b[31:0] - - - - - - - tx_stats_pfcmacctrlframes 0x00021c3c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00021c3d - - - b[31:0] b[31:0] - - - REG_TR_10GBE_ETH10G 1 3 REG tx_snk_out_xon 0x00043478 1 RO uint32 b[0:0] - - 1 - - - - - xgmii_tx_ready 0x00043478 1 RO uint32 b[1:1] - - - - - - - - xgmii_link_status 0x00043478 1 RO uint32 b[3:2] - - - - RAM_SS_SS_WIDE 2 6 RAM data 0x00030000 976 RW uint32 b[9:0] - 8192 1024 - RAM_BF_WEIGHTS 2 12 RAM data 0x00028000 976 RW cint16_ir b[31:0] - 16384 1024 - REG_BSN_ALIGN_V2_BF 2 2 REG enable 0x00043460 1 RW uint32 b[0:0] - 1 2 - - - - - replaced_pkt_cnt 0x00043461 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_RX_ALIGN_BF 2 2 REG xon_stable 0x00000c20 1 RO uint32 b[0:0] - 1 8 - - - - - ready_stable 0x00000c20 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00000c20 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00000c21 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00000c22 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00000c23 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00000c24 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00000c25 1 RO uint32 b[31:0] - - - - - - - - latency 0x00000c28 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_ALIGNED_BF 2 1 REG xon_stable 0x00000c10 1 RO uint32 b[0:0] - 1 8 - - - - - ready_stable 0x00000c10 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00000c10 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00000c11 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00000c12 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00000c13 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00000c14 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00000c15 1 RO uint32 b[31:0] - - - - - - - - latency 0x00000c18 1 RO uint32 b[31:0] - - - - REG_RING_LANE_INFO_BF 2 1 REG lane_direction 0x00000c04 1 RO uint32 b[0:0] - 1 2 - - - - - transport_nof_hops 0x00000c05 1 RW uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_RING_RX_BF 2 16 REG xon_stable 0x00000d00 1 RO uint32 b[0:0] - 1 8 - - - - - ready_stable 0x00000d00 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00000d00 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00000d01 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00000d02 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00000d03 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00000d04 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00000d05 1 RO uint32 b[31:0] - - - - - - - - latency 0x00000d08 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_RING_TX_BF 2 16 REG xon_stable 0x00000100 1 RO uint32 b[0:0] - 1 8 - - - - - ready_stable 0x00000100 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00000100 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00000101 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00000102 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00000103 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00000104 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00000105 1 RO uint32 b[31:0] - - - - - - - - latency 0x00000108 1 RO uint32 b[31:0] - - - - REG_DP_BLOCK_VALIDATE_ERR_BF 2 1 REG err_count_index 0x00000020 8 RO uint32 b[31:0] - 1 16 - - - - - total_discarded_blocks 0x00000028 1 RO uint32 b[31:0] - - - - - - - - total_block_count 0x00000029 1 RO uint32 b[31:0] - - - - - - - - clear 0x0000002a 1 RW uint32 b[31:0] - - - - REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC_BF 2 1 REG nof_sync_discarded 0x00000c08 1 RO uint32 b[31:0] - 1 4 - - - - - nof_sync 0x00000c09 1 RO uint32 b[31:0] - - - - - - - - clear 0x00000c0a 1 RW uint32 b[31:0] - - - - REG_BF_SCALE 2 1 REG scale 0x000434c4 1 RW uint32 b[15:0] - 2 2 - - - - - unused 0x000434c5 1 RW uint32 b[31:0] - - - - REG_HDR_DAT 2 1 REG bsn 0x00043200 1 RW uint64 b[31:0] b[31:0] 64 64 - - - - - - 0x00043201 - - - b[31:0] b[63:32] - - - - - - - disturb_block_period 0x00043202 1 RW uint32 b[15:0] - - - - - - - - disturb_nof_beamlets_per_block 0x00043203 1 RW uint32 b[15:0] - - - - - - - - disturb_nof_blocks_per_packet 0x00043204 1 RW uint32 b[7:0] - - - - - - - - disturb_beamlet_index 0x00043205 1 RW uint32 b[15:0] - - - - - - - - disturb_beamlet_scale 0x00043206 1 RW uint32 b[15:0] - - - - - - - - disturb_reserved 0x00043207 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x00043208 - - - b[7:0] b[39:32] - - - - - - - disturb_source_info_gn_index 0x00043209 1 RW uint32 b[4:0] - - - - - - - - disturb_source_info_beamlet_width 0x0004320a 1 RW uint32 b[7:5] - - - - - - - - disturb_source_info_repositioning_flag 0x0004320b 1 RW uint32 b[9:9] - - - - - - - - disturb_source_info_payload_error 0x0004320c 1 RW uint32 b[10:10] - - - - - - - - disturb_source_info_fsub_type 0x0004320d 1 RW uint32 b[11:11] - - - - - - - - disturb_source_info_f_adc 0x0004320e 1 RW uint32 b[12:12] - - - - - - - - disturb_source_info_nyquist_zone_index 0x0004320f 1 RW uint32 b[14:13] - - - - - - - - disturb_source_info_antenna_band_index 0x00043210 1 RW uint32 b[15:15] - - - - - - - - disturb_station_id 0x00043211 1 RW uint32 b[15:0] - - - - - - - - disturb_observation_id 0x00043212 1 RW uint32 b[31:0] - - - - - - - - disturb_version_id 0x00043213 1 RO uint32 b[7:0] - - - - - - - - disturb_marker 0x00043214 1 RO uint32 b[7:0] - - - - - - - - udp_checksum 0x00043215 1 RW uint32 b[15:0] - - - - - - - - udp_length 0x00043216 1 RW uint32 b[15:0] - - - - - - - - udp_destination_port 0x00043217 1 RW uint32 b[15:0] - - - - - - - - udp_source_port 0x00043218 1 RW uint32 b[15:0] - - - - - - - - ip_destination_address 0x00043219 1 RW uint32 b[31:0] - - - - - - - - ip_source_address 0x0004321a 1 RW uint32 b[31:0] - - - - - - - - ip_header_checksum 0x0004321b 1 RW uint32 b[15:0] - - - - - - - - ip_protocol 0x0004321c 1 RW uint32 b[7:0] - - - - - - - - ip_time_to_live 0x0004321d 1 RW uint32 b[7:0] - - - - - - - - ip_fragment_offset 0x0004321e 1 RW uint32 b[12:0] - - - - - - - - ip_flags 0x0004321f 1 RW uint32 b[2:0] - - - - - - - - ip_identification 0x00043220 1 RW uint32 b[15:0] - - - - - - - - ip_total_length 0x00043221 1 RW uint32 b[15:0] - - - - - - - - ip_services 0x00043222 1 RW uint32 b[7:0] - - - - - - - - ip_header_length 0x00043223 1 RW uint32 b[3:0] - - - - - - - - ip_version 0x00043224 1 RW uint32 b[3:0] - - - - - - - - eth_type 0x00043225 1 RO uint32 b[15:0] - - - - - - - - eth_source_mac 0x00043226 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00043227 - - - b[15:0] b[47:32] - - - - - - - eth_destination_mac 0x00043228 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x00043229 - - - b[15:0] b[47:32] - - - REG_DP_XONOFF 2 1 REG enable_stream 0x000434c0 1 RW uint32 b[0:0] - 2 2 - RAM_ST_BST 2 1 RAM data 0x00001000 976 RW uint64 b[31:0] b[31:0] 2048 2048 - - - - - - 0x00001001 - - - b[21:0] b[53:32] - - - REG_STAT_ENABLE_BST 2 1 REG enable 0x000434bc 1 RW uint32 b[0:0] - 2 2 - REG_STAT_HDR_DAT_BST 2 1 REG bsn 0x00043180 1 RW uint64 b[31:0] b[31:0] 64 64 - - - - - - 0x00043181 - - - b[31:0] b[63:32] - - - - - - - block_period 0x00043182 1 RW uint32 b[15:0] - - - - - - - - nof_statistics_per_packet 0x00043183 1 RW uint32 b[15:0] - - - - - - - - nof_bytes_per_statistic 0x00043184 1 RW uint32 b[7:0] - - - - - - - - nof_signal_inputs 0x00043185 1 RW uint32 b[7:0] - - - - - - - - disturb_data_id 0x00043186 1 RW uint32 b[31:0] - - - - - - - - disturb_data_id_bst_beamlet_index 0x00043186 1 RW uint32 b[15:0] - - - - - - - - disturb_data_id_bst_reserved 0x00043186 1 RW uint32 b[31:16] - - - - - - - - disturb_integration_interval 0x00043187 1 RW uint32 b[23:0] - - - - - - - - disturb_reserved 0x00043188 1 RW uint32 b[7:0] - - - - - - - - disturb_source_info_gn_index 0x00043189 1 RW uint32 b[4:0] - - - - - - - - disturb_source_info_reserved 0x0004318a 1 RW uint32 b[7:5] - - - - - - - - disturb_source_info_weighted_subbands_flag 0x0004318b 1 RW uint32 b[8:8] - - - - - - - - disturb_source_info_beam_repositioning_flag 0x0004318c 1 RW uint32 b[9:9] - - - - - - - - disturb_source_info_payload_error 0x0004318d 1 RW uint32 b[10:10] - - - - - - - - disturb_source_info_fsub_type 0x0004318e 1 RW uint32 b[11:11] - - - - - - - - disturb_source_info_f_adc 0x0004318f 1 RW uint32 b[12:12] - - - - - - - - disturb_source_info_nyquist_zone_index 0x00043190 1 RW uint32 b[14:13] - - - - - - - - disturb_source_info_antenna_band_index 0x00043191 1 RW uint32 b[15:15] - - - - - - - - disturb_station_id 0x00043192 1 RW uint32 b[15:0] - - - - - - - - disturb_observation_id 0x00043193 1 RW uint32 b[31:0] - - - - - - - - disturb_version_id 0x00043194 1 RO uint32 b[7:0] - - - - - - - - disturb_marker 0x00043195 1 RO uint32 b[7:0] - - - - - - - - udp_checksum 0x00043196 1 RW uint32 b[15:0] - - - - - - - - udp_length 0x00043197 1 RW uint32 b[15:0] - - - - - - - - udp_destination_port 0x00043198 1 RW uint32 b[15:0] - - - - - - - - udp_source_port 0x00043199 1 RW uint32 b[15:0] - - - - - - - - ip_destination_address 0x0004319a 1 RW uint32 b[31:0] - - - - - - - - ip_source_address 0x0004319b 1 RW uint32 b[31:0] - - - - - - - - ip_header_checksum 0x0004319c 1 RW uint32 b[15:0] - - - - - - - - ip_protocol 0x0004319d 1 RW uint32 b[7:0] - - - - - - - - ip_time_to_live 0x0004319e 1 RW uint32 b[7:0] - - - - - - - - ip_fragment_offset 0x0004319f 1 RW uint32 b[12:0] - - - - - - - - ip_flags 0x000431a0 1 RW uint32 b[2:0] - - - - - - - - ip_identification 0x000431a1 1 RW uint32 b[15:0] - - - - - - - - ip_total_length 0x000431a2 1 RW uint32 b[15:0] - - - - - - - - ip_services 0x000431a3 1 RW uint32 b[7:0] - - - - - - - - ip_header_length 0x000431a4 1 RW uint32 b[3:0] - - - - - - - - ip_version 0x000431a5 1 RW uint32 b[3:0] - - - - - - - - eth_type 0x000431a6 1 RO uint32 b[15:0] - - - - - - - - eth_source_mac 0x000431a7 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x000431a8 - - - b[15:0] b[47:32] - - - - - - - eth_destination_mac 0x000431a9 1 RW uint64 b[31:0] b[31:0] - - - - - - - - 0x000431aa - - - b[15:0] b[47:32] - - - - - - - word_align 0x000431ab 1 RW uint32 b[15:0] - - - - REG_BSN_MONITOR_V2_BST_OFFLOAD 2 1 REG xon_stable 0x00043400 1 RO uint32 b[0:0] - 1 8 - - - - - ready_stable 0x00043400 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x00043400 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x00043401 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x00043402 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x00043403 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x00043404 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x00043405 1 RO uint32 b[31:0] - - - - - - - - latency 0x00043408 1 RO uint32 b[31:0] - - - - REG_BSN_MONITOR_V2_BEAMLET_OUTPUT 2 1 REG xon_stable 0x000433f0 1 RO uint32 b[0:0] - 1 8 - - - - - ready_stable 0x000433f0 1 RO uint32 b[1:1] - - - - - - - - sync_timeout 0x000433f0 1 RO uint32 b[2:2] - - - - - - - - bsn_at_sync 0x000433f1 1 RO uint64 b[31:0] b[31:0] - - - - - - - - 0x000433f2 - - - b[31:0] b[63:32] - - - - - - - nof_sop 0x000433f3 1 RO uint32 b[31:0] - - - - - - - - nof_valid 0x000433f4 1 RO uint32 b[31:0] - - - - - - - - nof_err 0x000433f5 1 RO uint32 b[31:0] - - - - - - - - latency 0x000433f8 1 RO uint32 b[31:0] - - - - REG_NW_10GBE_MAC 1 1 REG rx_transfer_control 0x00006000 1 RW uint32 b[0:0] - - - - - - - - rx_transfer_status 0x00006001 1 RO uint32 b[0:0] - - - - - - - - tx_transfer_control 0x00006002 1 RW uint32 b[0:0] - - - - - - - - rx_padcrc_control 0x00006040 1 RW uint32 b[1:0] - - - - - - - - rx_crccheck_control 0x00006080 1 RW uint32 b[1:0] - - - - - - - - rx_pktovrflow_error 0x000060c0 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x000060c1 - - - b[31:0] b[31:0] - - - - - - - rx_pktovrflow_etherstatsdropevents 0x000060c2 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x000060c3 - - - b[31:0] b[31:0] - - - - - - - rx_lane_decoder_preamble_control 0x00006100 1 RW uint32 b[0:0] - - - - - - - - rx_preamble_inserter_control 0x00006140 1 RW uint32 b[0:0] - - - - - - - - rx_frame_control 0x00006800 1 RW uint32 b[19:0] - - - - - - - - rx_frame_maxlength 0x00006801 1 RW uint32 b[15:0] - - - - - - - - rx_frame_addr0 0x00006802 1 RW uint32 b[15:0] - - - - - - - - rx_frame_addr1 0x00006803 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr0_0 0x00006804 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr0_1 0x00006805 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr1_0 0x00006806 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr1_1 0x00006807 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr2_0 0x00006808 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr2_1 0x00006809 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr3_0 0x0000680a 1 RW uint32 b[15:0] - - - - - - - - rx_frame_spaddr3_1 0x0000680b 1 RW uint32 b[15:0] - - - - - - - - rx_pfc_control 0x00006818 1 RW uint32 b[16:0] - - - - - - - - rx_stats_clr 0x00006c00 1 RW uint32 b[0:0] - - - - - - - - rx_stats_framesok 0x00006c02 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c03 - - - b[31:0] b[31:0] - - - - - - - rx_stats_frameserr 0x00006c04 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c05 - - - b[31:0] b[31:0] - - - - - - - rx_stats_framescrcerr 0x00006c06 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c07 - - - b[31:0] b[31:0] - - - - - - - rx_stats_octetsok 0x00006c08 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c09 - - - b[31:0] b[31:0] - - - - - - - rx_stats_pausemacctrl_frames 0x00006c0a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c0b - - - b[31:0] b[31:0] - - - - - - - rx_stats_iferrors 0x00006c0c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c0d - - - b[31:0] b[31:0] - - - - - - - rx_stats_unicast_framesok 0x00006c0e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c0f - - - b[31:0] b[31:0] - - - - - - - rx_stats_unicast_frameserr 0x00006c10 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c11 - - - b[31:0] b[31:0] - - - - - - - rx_stats_multicastframesok 0x00006c12 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c13 - - - b[31:0] b[31:0] - - - - - - - rx_stats_multicast_frameserr 0x00006c14 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c15 - - - b[31:0] b[31:0] - - - - - - - rx_stats_broadcastframesok 0x00006c16 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c17 - - - b[31:0] b[31:0] - - - - - - - rx_stats_broadcast_frameserr 0x00006c18 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c19 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstatsoctets 0x00006c1a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c1b - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstatspkts 0x00006c1c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c1d - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_undersizepkts 0x00006c1e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c1f - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_oversizepkts 0x00006c20 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c21 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts64octets 0x00006c22 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c23 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts65to127octets 0x00006c24 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c25 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts128to255octets 0x00006c26 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c27 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts256to511octets 0x00006c28 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c29 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts512to1023octets 0x00006c2a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c2b - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstat_pkts1024to1518octets 0x00006c2c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c2d - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_pkts1519toxoctets 0x00006c2e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c2f - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_fragments 0x00006c30 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c31 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstats_jabbers 0x00006c32 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c33 - - - b[31:0] b[31:0] - - - - - - - rx_stats_etherstatscrcerr 0x00006c34 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c35 - - - b[31:0] b[31:0] - - - - - - - rx_stats_unicastmacctrlframes 0x00006c36 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c37 - - - b[31:0] b[31:0] - - - - - - - rx_stats_multicastmac_ctrlframes 0x00006c38 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c39 - - - b[31:0] b[31:0] - - - - - - - rx_stats_broadcastmac_ctrlframes 0x00006c3a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c3b - - - b[31:0] b[31:0] - - - - - - - rx_stats_pfcmacctrlframes 0x00006c3c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00006c3d - - - b[31:0] b[31:0] - - - - - - - tx_transfer_status 0x00007001 1 RO uint32 b[0:0] - - - - - - - - tx_padins_control 0x00007040 1 RW uint32 b[0:0] - - - - - - - - tx_crcins_control 0x00007080 1 RW uint32 b[1:0] - - - - - - - - tx_pktunderflow_error 0x000070c0 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x000070c1 - - - b[31:0] b[31:0] - - - - - - - tx_preamble_control 0x00007100 1 RW uint32 b[0:0] - - - - - - - - tx_pauseframe_control 0x00007140 1 RW uint32 b[1:0] - - - - - - - - tx_pauseframe_quanta 0x00007141 1 RW uint32 b[15:0] - - - - - - - - tx_pauseframe_enable 0x00007142 1 RW uint32 b[0:0] - - - - - - - - pfc_pause_quanta_0 0x00007180 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_1 0x00007181 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_2 0x00007182 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_3 0x00007183 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_4 0x00007184 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_5 0x00007185 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_6 0x00007186 1 RW uint32 b[31:0] - - - - - - - - pfc_pause_quanta_7 0x00007187 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_0 0x00007190 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_1 0x00007191 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_2 0x00007192 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_3 0x00007193 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_4 0x00007194 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_5 0x00007195 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_6 0x00007196 1 RW uint32 b[31:0] - - - - - - - - pfc_holdoff_quanta_7 0x00007197 1 RW uint32 b[31:0] - - - - - - - - tx_pfc_priority_enable 0x000071a0 1 RW uint32 b[7:0] - - - - - - - - tx_addrins_control 0x00007200 1 RW uint32 b[0:0] - - - - - - - - tx_addrins_macaddr0 0x00007201 1 RW uint32 b[31:0] - - - - - - - - tx_addrins_macaddr1 0x00007202 1 RW uint32 b[15:0] - - - - - - - - tx_frame_maxlength 0x00007801 1 RW uint32 b[15:0] - - - - - - - - tx_stats_clr 0x00007c00 1 RW uint32 b[0:0] - - - - - - - - tx_stats_framesok 0x00007c02 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c03 - - - b[31:0] b[31:0] - - - - - - - tx_stats_frameserr 0x00007c04 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c05 - - - b[31:0] b[31:0] - - - - - - - tx_stats_framescrcerr 0x00007c06 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c07 - - - b[31:0] b[31:0] - - - - - - - tx_stats_octetsok 0x00007c08 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c09 - - - b[31:0] b[31:0] - - - - - - - tx_stats_pausemacctrl_frames 0x00007c0a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c0b - - - b[31:0] b[31:0] - - - - - - - tx_stats_iferrors 0x00007c0c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c0d - - - b[31:0] b[31:0] - - - - - - - tx_stats_unicast_framesok 0x00007c0e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c0f - - - b[31:0] b[31:0] - - - - - - - tx_stats_unicast_frameserr 0x00007c10 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c11 - - - b[31:0] b[31:0] - - - - - - - tx_stats_multicastframesok 0x00007c12 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c13 - - - b[31:0] b[31:0] - - - - - - - tx_stats_multicast_frameserr 0x00007c14 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c15 - - - b[31:0] b[31:0] - - - - - - - tx_stats_broadcastframesok 0x00007c16 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c17 - - - b[31:0] b[31:0] - - - - - - - tx_stats_broadcast_frameserr 0x00007c18 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c19 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstatsoctets 0x00007c1a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c1b - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstatspkts 0x00007c1c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c1d - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_undersizepkts 0x00007c1e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c1f - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_oversizepkts 0x00007c20 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c21 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts64octets 0x00007c22 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c23 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts65to127octets 0x00007c24 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c25 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts128to255octets 0x00007c26 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c27 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts256to511octets 0x00007c28 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c29 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts512to1023octets 0x00007c2a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c2b - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstat_pkts1024to1518octets 0x00007c2c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c2d - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_pkts1519toxoctets 0x00007c2e 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c2f - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_fragments 0x00007c30 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c31 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstats_jabbers 0x00007c32 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c33 - - - b[31:0] b[31:0] - - - - - - - tx_stats_etherstatscrcerr 0x00007c34 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c35 - - - b[31:0] b[31:0] - - - - - - - tx_stats_unicastmacctrlframes 0x00007c36 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c37 - - - b[31:0] b[31:0] - - - - - - - tx_stats_multicastmac_ctrlframes 0x00007c38 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c39 - - - b[31:0] b[31:0] - - - - - - - tx_stats_broadcastmac_ctrlframes 0x00007c3a 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c3b - - - b[31:0] b[31:0] - - - - - - - tx_stats_pfcmacctrlframes 0x00007c3c 1 RO uint64 b[3:0] b[35:32] - - - - - - - - 0x00007c3d - - - b[31:0] b[31:0] - - - REG_NW_10GBE_ETH10G 1 1 REG tx_snk_out_xon 0x000434d4 1 RO uint32 b[0:0] - - - - - - - - xgmii_tx_ready 0x000434d4 1 RO uint32 b[1:1] - - - - - - - - xgmii_link_status 0x000434d4 1 RO uint32 b[3:2] - - - \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/hdllib.cfg b/applications/disturb2/designs/disturb2_unb2b_station/hdllib.cfg deleted file mode 100644 index cc428fe19053c8e917b9297da710be5d4863eae9..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/hdllib.cfg +++ /dev/null @@ -1,36 +0,0 @@ -hdl_lib_name = disturb2_unb2b_station -hdl_library_clause_name = disturb2_unb2b_station_lib -hdl_lib_uses_synth = common technology mm unb2b_board dp eth tech_tse tech_pll nw_10GbE diagnostics diag aduh wpfb tech_jesd204b disturb -hdl_lib_uses_sim = -hdl_lib_technology = ip_arria10_e1sg -hdl_lib_include_ip = - ip_arria10_e1sg_mac_10g - ip_arria10_e1sg_pll_xgmii_mac_clocks - ip_arria10_e1sg_transceiver_pll_10g - ip_arria10_e1sg_phy_10gbase_r - ip_arria10_e1sg_phy_10gbase_r_12 - ip_arria10_e1sg_transceiver_reset_controller_1 - ip_arria10_e1sg_transceiver_reset_controller_12 - -synth_files = - src/vhdl/qsys_disturb2_unb2b_station_pkg.vhd - src/vhdl/disturb2_unb2b_station_pkg.vhd - src/vhdl/mmm_disturb2_unb2b_station.vhd - src/vhdl/disturb2_unb2b_station.vhd - -test_bench_files = - tb/vhdl/tb_disturb2_unb2b_station.vhd - -regression_test_vhdl = - tb/vhdl/tb_disturb2_unb2b_station.vhd - -[modelsim_project_file] -modelsim_copy_files = - src/data data -#### Overwrite hex files with sim data as hex file formatting is byte addressed in Modelsim instead of word addressed like in Quartus. - tb/data data - -[quartus_project_file] -quartus_copy_files = - quartus . - diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station.sdc b/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station.sdc deleted file mode 100644 index ce015b6d6219689533c9506e2b06f5c25c83e9ef..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station.sdc +++ /dev/null @@ -1,104 +0,0 @@ -############################################################################### -# -# Copyright (C) 2018 -# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> -# JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/> -# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands -# -# This program is free software: you can redistribute it and/or modify -# it under the terms of the GNU General Public License as published by -# the Free Software Foundation, either version 3 of the License, or -# (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program. If not, see <http://www.gnu.org/licenses/>. -# -############################################################################### - -# Constrain the input I/O path -#set_input_delay -clock [get_clocks {u_ctrl|\gen_dp_clk_hardware:gen_pll:u_unb2b_board_clk200_pll|\gen_st_fractional_pll:u_st_fractional_pll|\gen_ip_arria10_e1sg:u0|xcvr_fpll_a10_0|outclk0}] -max 3 [all_inputs] -#set_input_delay -clock [get_clocks {u_ctrl|\gen_dp_clk_hardware:gen_pll:u_unb2b_board_clk200_pll|\gen_st_fractional_pll:u_st_fractional_pll|\gen_ip_arria10_e1sg:u0|xcvr_fpll_a10_0|outclk0}] -min 2 [all_inputs] -# Constrain the output I/O path -#set_output_delay -clock [get_clocks {u_ctrl|\gen_dp_clk_hardware:gen_pll:u_unb2b_board_clk200_pll|\gen_st_fractional_pll:u_st_fractional_pll|\gen_ip_arria10_e1sg:u0|xcvr_fpll_a10_0|outclk0}] -max 3 [all_inputs] -#set_output_delay -clock [get_clocks {u_ctrl|\gen_dp_clk_hardware:gen_pll:u_unb2b_board_clk200_pll|\gen_st_fractional_pll:u_st_fractional_pll|\gen_ip_arria10_e1sg:u0|xcvr_fpll_a10_0|outclk0}] -min 2 [all_inputs] - - -# False path the PPS to DDIO: -#set_input_delay -clock [get_clocks {u_ctrl|\gen_dp_clk_hardware:gen_pll:u_unb2b_board_clk200_pll|\gen_st_fractional_pll:u_st_fractional_pll|\gen_ip_arria10_e1sg:u0|xcvr_fpll_a10_0|outclk0}] 3 [get_ports {PPS}] -#set_false_path -from {PPS} -to {ctrl_unb2b_board:u_ctrl|mms_ppsh:u_mms_ppsh|ppsh:u_ppsh|common_ddio_in:u_in|tech_iobuf_ddio_in:u_ddio_in|ip_arria10_e1sg_ddio_in:\gen_ip_arria10_e1sg:u0|ip_arria10_e1sg_ddio_in_1:\gen_w:0:u_ip_arria10_e1sg_ddio_in_1|ip_arria10_e1sg_ddio_in_1_altera_gpio_151_ia6gnqq:ip_arria10_ddio_in_1|altera_gpio:core|altera_gpio_one_bit:gpio_one_bit.i_loop[0].altera_gpio_bit_i|input_path.in_path_fr.buffer_data_in_fr_ddio~ddio_in_fr}; set_false_path -from {PPS} -to {ctrl_unb2b_board:u_ctrl|mms_ppsh:u_mms_ppsh|ppsh:u_ppsh|common_ddio_in:u_in|tech_iobuf_ddio_in:u_ddio_in|ip_arria10_e1sg_ddio_in:\gen_ip_arria10_e1sg:u0|ip_arria10_e1sg_ddio_in_1:\gen_w:0:u_ip_arria10_e1sg_ddio_in_1|ip_arria10_e1sg_ddio_in_1_altera_gpio_151_ia6gnqq:ip_arria10_ddio_in_1|altera_gpio:core|altera_gpio_one_bit:gpio_one_bit.i_loop[0].altera_gpio_bit_i|input_path.in_path_fr.buffer_data_in_fr_ddio~ddio_in_fr} - - -#set_false_path -from [get_ports {PPS}] -to [get_clocks {u_ctrl|\gen_dp_clk_hardware:gen_pll:u_unb2b_board_clk200_pll|\gen_st_fractional_pll:u_st_fractional_pll|\gen_ip_arria10_e1sg:u0|xcvr_fpll_a10_0|outclk0}] - -#set_input_delay -min -clock [get_clocks {u_ctrl|\gen_dp_clk_hardware:gen_pll:u_unb2b_board_clk200_pll|\gen_st_fractional_pll:u_st_fractional_pll|\gen_ip_arria10_e1sg:u0|xcvr_fpll_a10_0|outclk0}] 2 [get_ports {ctrl_unb2b_board:u_ctrl|mms_ppsh:u_mms_ppsh|ppsh:u_ppsh|pps_ext_cap}] -#set_input_delay -max -clock [get_clocks {u_ctrl|\gen_dp_clk_hardware:gen_pll:u_unb2b_board_clk200_pll|\gen_st_fractional_pll:u_st_fractional_pll|\gen_ip_arria10_e1sg:u0|xcvr_fpll_a10_0|outclk0}] 4 [get_ports {ctrl_unb2b_board:u_ctrl|mms_ppsh:u_mms_ppsh|ppsh:u_ppsh|pps_ext_cap}] - -#set_false_path -from {PPS} -to {ctrl_unb2b_board:u_ctrl|mms_ppsh:u_mms_ppsh|ppsh:u_ppsh|common_ddio_in:u_in|tech_iobuf_ddio_in:u_ddio_in|ip_arria10_e1sg_ddio_in:\gen_ip_arria10_e1sg:u0|ip_arria10_e1sg_ddio_in_1:\gen_w:0:u_ip_arria10_e1sg_ddio_in_1|ip_arria10_e1sg_ddio_in_1_altera_gpio_151_ia6gnqq:ip_arria10_ddio_in_1|altera_gpio:core|altera_gpio_one_bit:gpio_one_bit.i_loop[0].altera_gpio_bit_i|input_path.in_path_fr.buffer_data_in_fr_ddio*} - - - -set_time_format -unit ns -decimal_places 3 - -create_clock -period 125Mhz [get_ports {ETH_CLK}] -create_clock -period 200Mhz [get_ports {CLK}] -create_clock -period 100Mhz [get_ports {CLKUSR}] -create_clock -period 644.53125Mhz [get_ports {SA_CLK}] -create_clock -period 644.53125Mhz [get_ports {SB_CLK}] -create_clock -period 200MHz -name {BCK_REF_CLK} { BCK_REF_CLK } - -# Create altera reserved tck to solve unconstrained clock warning. -create_clock -period "100.000 ns" -name {altera_reserved_tck} {altera_reserved_tck} - -derive_pll_clocks -derive_clock_uncertainty - -set_clock_groups -asynchronous -group {CLK} -set_clock_groups -asynchronous -group {BCK_REF_CLK} -set_clock_groups -asynchronous -group {CLK_USR} -set_clock_groups -asynchronous -group {CLKUSR} -set_clock_groups -asynchronous -group {SA_CLK} -set_clock_groups -asynchronous -group {SB_CLK} -# Do not put ETH_CLK in this list, otherwise the Triple Speed Ethernet does not work - -# Altera temp sense clock -set_clock_groups -asynchronous -group [get_clocks altera_ts_clk] - -# ALtera JTAG clock -set_clock_groups -asynchronous -group [get_clocks altera_reserved_tck] - -# IOPLL outputs (which have global names defined in the IP qsys settings) -set_clock_groups -asynchronous -group [get_clocks pll_clk20] -set_clock_groups -asynchronous -group [get_clocks pll_clk50] -set_clock_groups -asynchronous -group [get_clocks pll_clk100] -set_clock_groups -asynchronous -group [get_clocks pll_clk125] -set_clock_groups -asynchronous -group [get_clocks pll_clk200] -set_clock_groups -asynchronous -group [get_clocks pll_clk200p] -set_clock_groups -asynchronous -group [get_clocks pll_clk400] - - -# FPLL outputs -#set_clock_groups -asynchronous -group [get_clocks {*xcvr_fpll_a10_0|outclk0}] -#set_clock_groups -asynchronous -group [get_clocks {*mac_clock*xcvr_fpll_a10_0|outclk0}] -#set_clock_groups -asynchronous -group [get_clocks {*dp_clk*xcvr_fpll_a10_0|outclk0}] -#set_clock_groups -asynchronous -group [get_clocks {*xcvr_fpll_a10_0|outclk1}] -set_clock_groups -asynchronous -group [get_clocks {*_board_clk125_pll|*xcvr_fpll_a10_0|outclk2}] -set_clock_groups -asynchronous -group [get_clocks {*_board_clk125_pll|*xcvr_fpll_a10_0|outclk3}] - -set_clock_groups -asynchronous -group [get_clocks {*xcvr_native_a10_0|g_xcvr_native_insts[*]|rx_pma_clk}] - -#set_false_path -from {*u_rst200|u_async|din_meta[2]} -to {*FIFOram*} - -#set_clock_groups -asynchronous \ -#-group [get_clocks {inst2|xcvr_4ch_native_phy_inst|xcvr_native_a10_0|g_xcvr_native_insts[?]|rx_pma_clk}] \ -#-group [get_clocks {inst2|xcvr_pll_inst|xcvr_fpll_a10_0|tx_bonding_clocks[0]}] - -# false paths added for the jesd interface as these clocks are independent. -set_false_path -from [get_clocks {*xcvr_fpll_a10_0|outclk2}] -to [get_clocks {*iopll_0|link_clk}] -set_false_path -from [get_clocks {*iopll_0|link_clk}] -to [get_clocks {*xcvr_fpll_a10_0|outclk2}] -set_false_path -from [get_clocks {*xcvr_fpll_a10_0|outclk2}] -to [get_clocks {*iopll_0|frame_clk}] -set_false_path -from [get_clocks {*iopll_0|frame_clk}] -to [get_clocks {*xcvr_fpll_a10_0|outclk2}] diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_beamlets_pins.tcl b/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_beamlets_pins.tcl deleted file mode 100644 index c154beb86c5b3d3352bd65adc62e83311d02f6d9..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_beamlets_pins.tcl +++ /dev/null @@ -1,43 +0,0 @@ -############################################################################### -# -# Copyright (C) 2022 -# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> -# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands -# -# This program is free software: you can redistribute it and/or modify -# it under the terms of the GNU General Public License as published by -# the Free Software Foundation, either version 3 of the License, or -# (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program. If not, see <http://www.gnu.org/licenses/>. -# -############################################################################### -# -### QSFP_1_0 For BF -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_8 -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_5 -to QSFP_1_RX[0] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to QSFP_1_RX[0] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to QSFP_1_TX[0] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to QSFP_1_TX[0] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to QSFP_1_TX[0] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to QSFP_1_TX[0] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to QSFP_1_TX[0] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to QSFP_1_TX[0] diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_jesd_pins.tcl b/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_jesd_pins.tcl deleted file mode 100644 index cc6ee17b2bfac613b91c40f8f5a4ba3641fc1665..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_jesd_pins.tcl +++ /dev/null @@ -1,444 +0,0 @@ -############################################################################### -# -# Copyright (C) 2014 -# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> -# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands -# -# This program is free software: you can redistribute it and/or modify -# it under the terms of the GNU General Public License as published by -# the Free Software Foundation, either version 3 of the License, or -# (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program. If not, see <http://www.gnu.org/licenses/>. -# -############################################################################### -#===================== -# JESD pins -# ==================== -# Pins needed for the 12 channel JESD204B interface to the ADCs -set_instance_assignment -name IO_STANDARD LVDS -to JESD204B_SYSREF -set_instance_assignment -name IO_STANDARD LVDS -to "JESD204B_SYSREF(n)" -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[3] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[4] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[5] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[6] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[7] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[8] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[9] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[10] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[11] - -#set_location_assignment PIN_B9 -to BCK_RX[0] -set_location_assignment PIN_D9 -to BCK_RX[1] -set_location_assignment PIN_C11 -to BCK_RX[2] -set_location_assignment PIN_F9 -to BCK_RX[3] -set_location_assignment PIN_C7 -to BCK_RX[4] -set_location_assignment PIN_E11 -to BCK_RX[5] -set_location_assignment PIN_E7 -to BCK_RX[6] -set_location_assignment PIN_D5 -to BCK_RX[7] -set_location_assignment PIN_G7 -to BCK_RX[8] -set_location_assignment PIN_F5 -to BCK_RX[9] -set_location_assignment PIN_J7 -to BCK_RX[10] -set_location_assignment PIN_H5 -to BCK_RX[11] -set_location_assignment PIN_L7 -to BCK_RX[12] -set_location_assignment PIN_K5 -to BCK_RX[13] -set_location_assignment PIN_N7 -to BCK_RX[14] -set_location_assignment PIN_M5 -to BCK_RX[15] -set_location_assignment PIN_R7 -to BCK_RX[16] -set_location_assignment PIN_P5 -to BCK_RX[17] -set_location_assignment PIN_U7 -to BCK_RX[18] -set_location_assignment PIN_T5 -to BCK_RX[19] -set_location_assignment PIN_W7 -to BCK_RX[20] -set_location_assignment PIN_V5 -to BCK_RX[21] -set_location_assignment PIN_AA7 -to BCK_RX[22] -set_location_assignment PIN_Y5 -to BCK_RX[23] -set_location_assignment PIN_AC7 -to BCK_RX[24] -set_location_assignment PIN_AB5 -to BCK_RX[25] -set_location_assignment PIN_AE7 -to BCK_RX[26] -set_location_assignment PIN_AD5 -to BCK_RX[27] -set_location_assignment PIN_AG7 -to BCK_RX[28] -set_location_assignment PIN_AF5 -to BCK_RX[29] -set_location_assignment PIN_AJ7 -to BCK_RX[30] -set_location_assignment PIN_AH5 -to BCK_RX[31] -set_location_assignment PIN_AL7 -to BCK_RX[32] -set_location_assignment PIN_AK5 -to BCK_RX[33] -set_location_assignment PIN_AN7 -to BCK_RX[34] -set_location_assignment PIN_AM5 -to BCK_RX[35] -set_location_assignment PIN_AR7 -to BCK_RX[36] -set_location_assignment PIN_AP5 -to BCK_RX[37] -set_location_assignment PIN_AU7 -to BCK_RX[38] -set_location_assignment PIN_AT5 -to BCK_RX[39] -set_location_assignment PIN_AW7 -to BCK_RX[40] -set_location_assignment PIN_AV5 -to BCK_RX[41] -set_location_assignment PIN_BA7 -to BCK_RX[42] -set_location_assignment PIN_AY5 -to BCK_RX[43] -set_location_assignment PIN_BC7 -to BCK_RX[44] -set_location_assignment PIN_BB5 -to BCK_RX[45] -set_location_assignment PIN_AY9 -to BCK_RX[46] -set_location_assignment PIN_BB9 -to BCK_RX[47] - - -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[0] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[0] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[0] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[0] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[0] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[0] -# -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[1] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[1] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[1] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[1] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[1] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[1] -# -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[2] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[2] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[2] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[2] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[2] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[2] -# -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[3] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[3] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[3] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[3] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[3] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[3] -# -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[4] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[4] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[4] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[4] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[4] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[4] -# -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[5] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[5] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[5] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[5] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[5] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[5] -# -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[6] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[6] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[6] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[6] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[6] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[6] -# -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[7] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[7] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[7] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[7] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[7] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[7] -# -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[8] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[8] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[8] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[8] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[8] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[8] -# -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[9] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[9] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[9] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[9] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[9] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[9] -# -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[10] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[10] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[10] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[10] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[10] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[10] -# -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[11] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[11] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[11] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[11] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[11] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[11] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[12] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[12] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[12] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[12] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[12] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[12] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[13] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[13] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[13] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[13] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[13] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[13] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[14] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[14] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[14] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[14] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[14] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[14] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[15] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[15] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[15] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[15] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[15] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[15] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[16] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[16] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[16] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[16] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[16] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[16] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[17] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[17] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[17] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[17] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[17] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[17] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[18] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[18] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[18] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[18] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[18] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[18] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[19] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[19] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[19] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[19] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[19] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[19] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[20] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[20] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[20] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[20] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[20] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[20] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[21] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[21] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[21] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[21] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[21] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[21] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[22] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[22] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[22] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[22] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[22] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[22] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[23] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[23] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[23] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[23] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[23] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[23] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[24] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[24] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[24] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[24] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[24] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[24] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[25] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[25] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[25] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[25] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[25] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[25] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[26] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[26] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[26] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[26] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[26] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[26] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[27] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[27] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[27] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[27] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[27] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[27] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[28] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[28] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[28] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[28] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[28] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[28] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[29] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[29] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[29] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[29] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[29] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[29] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[30] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[30] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[30] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[30] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[30] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[30] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[31] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[31] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[31] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[31] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[31] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[31] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[32] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[32] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[32] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[32] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[32] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[32] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[33] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[33] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[33] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[33] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[33] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[33] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[34] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[34] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[34] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[34] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[34] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[34] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[35] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[35] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[35] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[35] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[35] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[35] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[36] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[36] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[36] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[36] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[36] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[36] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[37] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[37] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[37] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[37] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[37] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[37] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[38] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[38] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[38] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[38] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[38] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[38] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[39] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[39] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[39] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[39] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[39] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[39] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[40] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[40] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[40] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[40] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[40] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[40] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[41] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[41] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[41] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[41] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[41] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[41] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[42] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[42] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[42] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[42] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[42] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[42] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[43] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[43] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[43] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[43] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[43] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[43] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[44] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[44] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[44] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[44] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[44] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[44] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[45] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[45] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[45] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[45] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[45] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[45] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[46] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[46] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[46] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[46] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[46] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[46] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to BCK_TX[47] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to BCK_TX[47] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to BCK_TX[47] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to BCK_TX[47] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to BCK_TX[47] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to BCK_TX[47] - - -# Substitute new signal names from the jesd_simple design -#set_location_assignment PIN_BA7 -to BCK_RX[0] - -set_instance_assignment -name IO_STANDARD LVDS -to BCK_REF_CLK -set_instance_assignment -name IO_STANDARD LVDS -to "BCK_REF_CLK(n)" -set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to BCK_REF_CLK -set_location_assignment PIN_V9 -to BCK_REF_CLK -set_location_assignment PIN_V10 -to "BCK_REF_CLK(n)" - -set_location_assignment PIN_V12 -to JESD204B_SYSREF -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYSREF - -set_location_assignment PIN_U12 -to JESD204B_SYNC_N[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[0] -set_location_assignment PIN_U14 -to JESD204B_SYNC_N[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC_N[1] - - diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_pins.tcl b/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_pins.tcl deleted file mode 100644 index 736d08b812885002ead951ef1fd37bdfec638982..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_pins.tcl +++ /dev/null @@ -1,119 +0,0 @@ -############################################################################### -# -# Copyright (C) 2014 -# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> -# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands -# -# This program is free software: you can redistribute it and/or modify -# it under the terms of the GNU General Public License as published by -# the Free Software Foundation, either version 3 of the License, or -# (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program. If not, see <http://www.gnu.org/licenses/>. -# -############################################################################### - -source $::env(RADIOHDL_WORK)/boards/uniboard2b/libraries/unb2b_board/quartus/pinning/unb2b_minimal_pins.tcl -# unb2b_jesd204_pins contains undesired QSFP pinning -#source $::env(RADIOHDL_WORK)/boards/uniboard2b/libraries/unb2b_board/quartus/pinning/unb2b_jesd204b_pins.tcl -# -#===================== -# QSFP pins -# ==================== - -set_location_assignment PIN_AL32 -to CLKUSR - -set_location_assignment PIN_Y36 -to SA_CLK -set_instance_assignment -name IO_STANDARD LVDS -to SA_CLK -# internal termination should be enabled. -set_instance_assignment -name XCVR_A10_REFCLK_TERM_TRISTATE TRISTATE_OFF -to SA_CLK - -set_location_assignment PIN_AH9 -to SB_CLK -set_instance_assignment -name IO_STANDARD LVDS -to SB_CLK -# internal termination should be enabled. -set_instance_assignment -name XCVR_A10_REFCLK_TERM_TRISTATE TRISTATE_OFF -to SB_CLK - - -set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON - - -set_location_assignment PIN_AT31 -to QSFP_RST - -set_location_assignment PIN_AY33 -to QSFP_SCL[0] -set_location_assignment PIN_AY32 -to QSFP_SCL[1] -set_location_assignment PIN_AY30 -to QSFP_SCL[2] -set_location_assignment PIN_AN33 -to QSFP_SCL[3] -set_location_assignment PIN_AN31 -to QSFP_SCL[4] -set_location_assignment PIN_AJ33 -to QSFP_SCL[5] -set_location_assignment PIN_BA32 -to QSFP_SDA[0] -set_location_assignment PIN_BA31 -to QSFP_SDA[1] -set_location_assignment PIN_AP33 -to QSFP_SDA[2] -set_location_assignment PIN_AM33 -to QSFP_SDA[3] -set_location_assignment PIN_AK33 -to QSFP_SDA[4] -set_location_assignment PIN_AH32 -to QSFP_SDA[5] - -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[5] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[5] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[0] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[1] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[3] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[4] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[2] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[3] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[4] -set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_RST - -# QSFP_0_RX -set_location_assignment PIN_AN38 -to QSFP_0_RX[0] -set_location_assignment PIN_AM40 -to QSFP_0_RX[1] -set_location_assignment PIN_AK40 -to QSFP_0_RX[2] -set_location_assignment PIN_AJ38 -to QSFP_0_RX[3] - -# QSFP_0_TX -set_location_assignment PIN_AN42 -to QSFP_0_TX[0] -set_location_assignment PIN_AM44 -to QSFP_0_TX[1] -set_location_assignment PIN_AK44 -to QSFP_0_TX[2] -set_location_assignment PIN_AJ42 -to QSFP_0_TX[3] - - -### QSFP_1_RX -set_location_assignment PIN_AC38 -to QSFP_1_RX[0] -set_location_assignment PIN_AD40 -to QSFP_1_RX[1] -set_location_assignment PIN_AF40 -to QSFP_1_RX[2] -set_location_assignment PIN_AG38 -to QSFP_1_RX[3] - -### QSFP_1_TX -set_location_assignment PIN_AC42 -to QSFP_1_TX[0] -set_location_assignment PIN_AD44 -to QSFP_1_TX[1] -set_location_assignment PIN_AF44 -to QSFP_1_TX[2] -set_location_assignment PIN_AG42 -to QSFP_1_TX[3] - -# RING pinning location -set_location_assignment PIN_AP40 -to RING_0_RX[0] -set_location_assignment PIN_AR38 -to RING_0_RX[1] -set_location_assignment PIN_AT40 -to RING_0_RX[2] -set_location_assignment PIN_AU38 -to RING_0_RX[3] -set_location_assignment PIN_AP44 -to RING_0_TX[0] -set_location_assignment PIN_AR42 -to RING_0_TX[1] -set_location_assignment PIN_AT44 -to RING_0_TX[2] -set_location_assignment PIN_AU42 -to RING_0_TX[3] -set_location_assignment PIN_H40 -to RING_1_RX[0] -set_location_assignment PIN_J38 -to RING_1_RX[1] -set_location_assignment PIN_F40 -to RING_1_RX[2] -set_location_assignment PIN_G38 -to RING_1_RX[3] -set_location_assignment PIN_H44 -to RING_1_TX[0] -set_location_assignment PIN_J42 -to RING_1_TX[1] -set_location_assignment PIN_G42 -to RING_1_TX[2] -set_location_assignment PIN_F44 -to RING_1_TX[3] - - - diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_ring_pins.tcl b/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_ring_pins.tcl deleted file mode 100644 index 4501f2eb662068aa7a8d5bb523615787d5b4c6fe..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_ring_pins.tcl +++ /dev/null @@ -1,297 +0,0 @@ -############################################################################### -# -# Copyright (C) 2022 -# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> -# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands -# -# This program is free software: you can redistribute it and/or modify -# it under the terms of the GNU General Public License as published by -# the Free Software Foundation, either version 3 of the License, or -# (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program. If not, see <http://www.gnu.org/licenses/>. -# -############################################################################### -# -### QSFP_0_0 For ring -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_8 -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_5 -to QSFP_0_RX[0] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to QSFP_0_RX[0] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to QSFP_0_TX[0] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to QSFP_0_TX[0] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to QSFP_0_TX[0] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to QSFP_0_TX[0] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to QSFP_0_TX[0] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to QSFP_0_TX[0] - -### QSFP_0_1 For ring -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_8 -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_5 -to QSFP_0_RX[1] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to QSFP_0_RX[1] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to QSFP_0_TX[1] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to QSFP_0_TX[1] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to QSFP_0_TX[1] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to QSFP_0_TX[1] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to QSFP_0_TX[1] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to QSFP_0_TX[1] - -### QSFP_0_2 For ring -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_8 -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_5 -to QSFP_0_RX[2] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to QSFP_0_RX[2] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to QSFP_0_TX[2] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to QSFP_0_TX[2] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to QSFP_0_TX[2] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to QSFP_0_TX[2] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to QSFP_0_TX[2] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to QSFP_0_TX[2] - -### QSFP_0_3 For ring -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_8 -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_5 -to QSFP_0_RX[3] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to QSFP_0_RX[3] -# -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to QSFP_0_TX[3] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to QSFP_0_TX[3] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to QSFP_0_TX[3] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to QSFP_0_TX[3] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to QSFP_0_TX[3] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to QSFP_0_TX[3] - -#RING_0 RX assignments -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to RING_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to RING_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to RING_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to RING_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to RING_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to RING_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to RING_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to RING_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to RING_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_12 -to RING_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to RING_0_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_3 -to RING_0_RX[0] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_0_RX[0] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to RING_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to RING_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to RING_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to RING_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to RING_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to RING_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to RING_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to RING_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to RING_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_12 -to RING_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to RING_0_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_3 -to RING_0_RX[1] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_0_RX[1] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to RING_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to RING_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to RING_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to RING_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to RING_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to RING_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to RING_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to RING_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to RING_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_12 -to RING_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to RING_0_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_3 -to RING_0_RX[2] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_0_RX[2] - -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to RING_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to RING_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to RING_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to RING_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to RING_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to RING_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to RING_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to RING_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to RING_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_12 -to RING_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to RING_0_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_3 -to RING_0_RX[3] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_0_RX[3] - - -#RING_1 RX assignments -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to RING_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to RING_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to RING_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to RING_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to RING_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to RING_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to RING_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to RING_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to RING_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_12 -to RING_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to RING_1_RX[0] -set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_3 -to RING_1_RX[0] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_1_RX[0] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_1_RX[1] -set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to RING_1_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to RING_1_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to RING_1_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to RING_1_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to RING_1_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to RING_1_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to RING_1_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to RING_1_RX[1] -set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to RING_1_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_12 -to RING_1_RX[1] -set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to RING_1_RX[1] -set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_3 -to RING_1_RX[1] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_1_RX[1] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_1_RX[2] -set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to RING_1_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to RING_1_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to RING_1_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to RING_1_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to RING_1_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to RING_1_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to RING_1_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to RING_1_RX[2] -set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to RING_1_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_12 -to RING_1_RX[2] -set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to RING_1_RX[2] -set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_3 -to RING_1_RX[2] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_1_RX[2] - -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_1_RX[3] -#set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to RING_1_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP5 RADP_DFE_FXTAP5_0 -to RING_1_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP4 RADP_DFE_FXTAP4_0 -to RING_1_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 RADP_DFE_FXTAP1_0 -to RING_1_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP7 RADP_DFE_FXTAP7_0 -to RING_1_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP6 RADP_DFE_FXTAP6_0 -to RING_1_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP3 RADP_DFE_FXTAP3_0 -to RING_1_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP2 RADP_DFE_FXTAP2_0 -to RING_1_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE NON_S1_MODE -to RING_1_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S RADP_CTLE_ACGAIN_4S_12 -to RING_1_RX[3] -#set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM NO_DC_GAIN -to RING_1_RX[3] -#set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL RADP_VGA_SEL_3 -to RING_1_RX[3] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_1_RX[3] - - -#RING_0 TX assignments -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_0_TX[0] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to RING_0_TX[0] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_0_TX[0] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to RING_0_TX[0] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to RING_0_TX[0] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to RING_0_TX[0] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_0_TX[1] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to RING_0_TX[1] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_0_TX[1] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to RING_0_TX[1] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to RING_0_TX[1] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to RING_0_TX[1] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_0_TX[2] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to RING_0_TX[2] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_0_TX[2] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to RING_0_TX[2] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to RING_0_TX[2] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to RING_0_TX[2] - -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_0_TX[3] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to RING_0_TX[3] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_0_TX[3] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to RING_0_TX[3] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to RING_0_TX[3] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to RING_0_TX[3] - - -#RING_1 TX assignments -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_1_TX[0] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to RING_1_TX[0] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_1_TX[0] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to RING_1_TX[0] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to RING_1_TX[0] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to RING_1_TX[0] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_1_TX[1] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to RING_1_TX[1] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_1_TX[1] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to RING_1_TX[1] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to RING_1_TX[1] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to RING_1_TX[1] - -set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_1_TX[2] -set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to RING_1_TX[2] -set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_1_TX[2] -set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to RING_1_TX[2] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to RING_1_TX[2] -set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to RING_1_TX[2] - -#set_instance_assignment -name IO_STANDARD "HSSI DIFFERENTIAL I/O" -to RING_1_TX[3] -#set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL 30 -to RING_1_TX[3] -#set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to RING_1_TX[3] -#set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN ENABLE -to RING_1_TX[3] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP 0 -to RING_1_TX[3] -#set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_POS -to RING_1_TX[3] - - diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_common_mm_0.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_common_mm_0.ip deleted file mode 100644 index 9f3b374bc4341d879dcbd61a6bb3e7a6c56c47aa..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_common_mm_0.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_unb2c_minimal_avs_common_mm_0</spirit:library> - <spirit:name>avs_common_mm_0</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">16384</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>11</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>11</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_unb2c_minimal_avs_common_mm_0</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">12</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16384</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x4000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>14</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="avs_common_mm_0.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="avs_common_mm_0.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="avs_common_mm_0.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="avs_common_mm_0.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="avs_common_mm_0.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="avs_common_mm_0.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="avs_common_mm_0.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="avs_common_mm_0.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="avs_common_mm_0.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="avs_common_mm_0.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_common_mm_1.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_common_mm_1.ip deleted file mode 100644 index c7155e411e87a31691363c096d2991a1516daccb..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_common_mm_1.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_unb2c_minimal_avs_common_mm_1</spirit:library> - <spirit:name>avs_common_mm_1</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">524288</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>16</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>16</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_unb2c_minimal_avs_common_mm_1</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">17</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>17</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>17</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>524288</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>19</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="avs_common_mm_1.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="avs_common_mm_1.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="avs_common_mm_1.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="avs_common_mm_1.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="avs_common_mm_1.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="avs_common_mm_1.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="avs_common_mm_1.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="avs_common_mm_1.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="avs_common_mm_1.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="avs_common_mm_1.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_eth_0.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_eth_0.ip deleted file mode 100644 index 2e1f499c2005dded1df000872bb6799655ba5c48..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_eth_0.ip +++ /dev/null @@ -1,3746 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_avs_eth_0</spirit:library> - <spirit:name>avs_eth_0</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>interrupt</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="interrupt" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>irq</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>ins_interrupt_irq</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedAddressablePoint</spirit:name> - <spirit:displayName>Associated addressable interface</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_disturb2_unb2b_station_avs_eth_0.mms_reg</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">mm</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">mm_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedReceiverOffset</spirit:name> - <spirit:displayName>Bridged receiver offset</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bridgedReceiverOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToReceiver</spirit:name> - <spirit:displayName>Bridges to receiver</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToReceiver"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>irqScheme</spirit:name> - <spirit:displayName>Interrupt scheme</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="irqScheme">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>irq</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_irq_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mm</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_mm_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mm_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_mm_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">mm</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mms_ram</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_ram_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_ram_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_ram_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_ram_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_ram_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">4096</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">mm</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">mm_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mms_reg</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_reg_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_reg_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_reg_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_reg_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_reg_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">mm</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">mm_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mms_tse</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_tse_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_tse_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_tse_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_tse_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_tse_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>waitrequest</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>mms_tse_waitrequest</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">4096</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">mm</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">mm_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>ram_address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_ram_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>ram_read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_ram_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>ram_readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_ram_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>ram_write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_ram_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>ram_writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_ram_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reg_address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reg_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reg_read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reg_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reg_readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reg_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reg_write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reg_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reg_writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reg_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>tse_address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_tse_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>tse_read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_tse_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>tse_readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_tse_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>tse_waitrequest</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_tse_waitrequest_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>tse_write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_tse_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>tse_writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_tse_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs2_eth_coe</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_mm_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_mm_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_tse_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>9</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_tse_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_tse_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_tse_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_tse_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_tse_waitrequest</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_reg_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_reg_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_reg_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_reg_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_reg_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_ram_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>9</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_ram_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_ram_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_ram_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>mms_ram_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>ins_interrupt_irq</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_tse_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>9</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_tse_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_tse_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_tse_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_tse_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_tse_waitrequest_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reg_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reg_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reg_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reg_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reg_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_ram_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>9</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_ram_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_ram_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_ram_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_ram_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_irq_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_avs_eth_0</spirit:library> - <spirit:name>avs2_eth_coe</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters></spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>interrupt</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>ins_interrupt_irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>qsys_disturb2_unb2b_station_avs_eth_0.mms_reg</value> - </entry> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>associatedReset</key> - <value>mm_reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_irq_export</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mm</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_mm_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mm_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_mm_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mms_ram</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>mms_ram_address</name> - <role>address</role> - <direction>Input</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_ram_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_ram_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_ram_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_ram_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4096</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>associatedReset</key> - <value>mm_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>2</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mms_reg</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>mms_reg_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_reg_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_reg_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_reg_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_reg_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>associatedReset</key> - <value>mm_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mms_tse</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>mms_tse_address</name> - <role>address</role> - <direction>Input</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_tse_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_tse_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_tse_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_tse_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_tse_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4096</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>associatedReset</key> - <value>mm_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_waitrequest</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_waitrequest_export</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mms_ram</key> - <value> - <connectionPointName>mms_ram</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mms_ram' start='0x0' end='0x1000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>12</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>mms_reg</key> - <value> - <connectionPointName>mms_reg</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mms_reg' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>mms_tse</key> - <value> - <connectionPointName>mms_tse</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mms_tse' start='0x0' end='0x1000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>12</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="clk" altera:internal="avs_eth_0.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="interrupt" altera:internal="avs_eth_0.interrupt" altera:type="interrupt" altera:dir="end"> - <altera:port_mapping altera:name="ins_interrupt_irq" altera:internal="ins_interrupt_irq"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="irq" altera:internal="avs_eth_0.irq" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_irq_export" altera:internal="coe_irq_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mm" altera:internal="avs_eth_0.mm" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_mm_clk" altera:internal="csi_mm_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mm_reset" altera:internal="avs_eth_0.mm_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_mm_reset" altera:internal="csi_mm_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mms_ram" altera:internal="avs_eth_0.mms_ram" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="mms_ram_address" altera:internal="mms_ram_address"></altera:port_mapping> - <altera:port_mapping altera:name="mms_ram_read" altera:internal="mms_ram_read"></altera:port_mapping> - <altera:port_mapping altera:name="mms_ram_readdata" altera:internal="mms_ram_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="mms_ram_write" altera:internal="mms_ram_write"></altera:port_mapping> - <altera:port_mapping altera:name="mms_ram_writedata" altera:internal="mms_ram_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mms_reg" altera:internal="avs_eth_0.mms_reg" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="mms_reg_address" altera:internal="mms_reg_address"></altera:port_mapping> - <altera:port_mapping altera:name="mms_reg_read" altera:internal="mms_reg_read"></altera:port_mapping> - <altera:port_mapping altera:name="mms_reg_readdata" altera:internal="mms_reg_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="mms_reg_write" altera:internal="mms_reg_write"></altera:port_mapping> - <altera:port_mapping altera:name="mms_reg_writedata" altera:internal="mms_reg_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mms_tse" altera:internal="avs_eth_0.mms_tse" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="mms_tse_address" altera:internal="mms_tse_address"></altera:port_mapping> - <altera:port_mapping altera:name="mms_tse_read" altera:internal="mms_tse_read"></altera:port_mapping> - <altera:port_mapping altera:name="mms_tse_readdata" altera:internal="mms_tse_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="mms_tse_waitrequest" altera:internal="mms_tse_waitrequest"></altera:port_mapping> - <altera:port_mapping altera:name="mms_tse_write" altera:internal="mms_tse_write"></altera:port_mapping> - <altera:port_mapping altera:name="mms_tse_writedata" altera:internal="mms_tse_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="ram_address" altera:internal="avs_eth_0.ram_address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_ram_address_export" altera:internal="coe_ram_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="ram_read" altera:internal="avs_eth_0.ram_read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_ram_read_export" altera:internal="coe_ram_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="ram_readdata" altera:internal="avs_eth_0.ram_readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_ram_readdata_export" altera:internal="coe_ram_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="ram_write" altera:internal="avs_eth_0.ram_write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_ram_write_export" altera:internal="coe_ram_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="ram_writedata" altera:internal="avs_eth_0.ram_writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_ram_writedata_export" altera:internal="coe_ram_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reg_address" altera:internal="avs_eth_0.reg_address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reg_address_export" altera:internal="coe_reg_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reg_read" altera:internal="avs_eth_0.reg_read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reg_read_export" altera:internal="coe_reg_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reg_readdata" altera:internal="avs_eth_0.reg_readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reg_readdata_export" altera:internal="coe_reg_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reg_write" altera:internal="avs_eth_0.reg_write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reg_write_export" altera:internal="coe_reg_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reg_writedata" altera:internal="avs_eth_0.reg_writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reg_writedata_export" altera:internal="coe_reg_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="avs_eth_0.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="tse_address" altera:internal="avs_eth_0.tse_address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_tse_address_export" altera:internal="coe_tse_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="tse_read" altera:internal="avs_eth_0.tse_read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_tse_read_export" altera:internal="coe_tse_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="tse_readdata" altera:internal="avs_eth_0.tse_readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_tse_readdata_export" altera:internal="coe_tse_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="tse_waitrequest" altera:internal="avs_eth_0.tse_waitrequest" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_tse_waitrequest_export" altera:internal="coe_tse_waitrequest_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="tse_write" altera:internal="avs_eth_0.tse_write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_tse_write_export" altera:internal="coe_tse_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="tse_writedata" altera:internal="avs_eth_0.tse_writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_tse_writedata_export" altera:internal="coe_tse_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>true</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_clk_0.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_clk_0.ip deleted file mode 100644 index 91434d4bb404c2709a6e6600e001c45307f3bbd8..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_clk_0.ip +++ /dev/null @@ -1,506 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Altera Corporation</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_clk_0</spirit:library> - <spirit:name>clk_0</spirit:name> - <spirit:version>18.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>clk_out</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedDirectClock</spirit:name> - <spirit:displayName>Associated direct clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectClock">clk_in</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">100000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clockRateKnown</spirit:name> - <spirit:displayName>Clock rate known</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clockRateKnown">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk_in</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>in_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">100000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>qsys.ui.export_name</spirit:name> - <spirit:value spirit:format="string" spirit:id="qsys.ui.export_name">clk</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk_in_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset_n</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>qsys.ui.export_name</spirit:name> - <spirit:value spirit:format="string" spirit:id="qsys.ui.export_name">reset</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset_n</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_n_out</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedDirectReset</spirit:name> - <spirit:displayName>Associated direct reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectReset">clk_in_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedResetSinks</spirit:name> - <spirit:displayName>Associated reset sinks</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedResetSinks">clk_in_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>clock_source</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>in_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_n</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>clk_out</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_n_out</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>Altera Corporation</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_clk_0</spirit:library> - <spirit:name>clock_source</spirit:name> - <spirit:version>18.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockFrequency</spirit:name> - <spirit:displayName>Clock frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockFrequency">100000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clockFrequencyKnown</spirit:name> - <spirit:displayName>Clock frequency is known</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clockFrequencyKnown">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>inputClockFrequency</spirit:name> - <spirit:displayName>inputClockFrequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="inputClockFrequency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>resetSynchronousEdges</spirit:name> - <spirit:displayName>Reset synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="resetSynchronousEdges">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>clk_out</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - <value>clk_in</value> - </entry> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>true</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_in</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>in_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>clk</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_in_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>reset</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_reset</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_n_out</name> - <role>reset_n</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedDirectReset</key> - <value>clk_in_reset</value> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>clk_in_reset</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>clk_in</key> - <value> - <connectionPointName>clk_in</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>0</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="clk" altera:internal="clk_0.clk" altera:type="clock" altera:dir="start"> - <altera:port_mapping altera:name="clk_out" altera:internal="clk_out"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk_in" altera:internal="clk_0.clk_in" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="in_clk" altera:internal="in_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk_in_reset" altera:internal="clk_0.clk_in_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="reset_n" altera:internal="reset_n"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk_reset" altera:internal="clk_0.clk_reset" altera:type="reset" altera:dir="start"> - <altera:port_mapping altera:name="reset_n_out" altera:internal="reset_n_out"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_cpu_0.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_cpu_0.ip deleted file mode 100644 index 30d668a6e445da1912ea6da5f06ae7accb963564..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_cpu_0.ip +++ /dev/null @@ -1,3605 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_cpu_0</spirit:library> - <spirit:name>qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0</spirit:name> - <spirit:version>18.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>custom_instruction_master</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="nios_custom_instruction" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readra</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>dummy_ci_port</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>CIName</spirit:name> - <spirit:displayName>CIName</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="CIName"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressWidth</spirit:name> - <spirit:displayName>addressWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressWidth">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clockCycle</spirit:name> - <spirit:displayName>Clock cycles</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="clockCycle">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>enabled</spirit:name> - <spirit:displayName>enabled</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="enabled">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maxAddressWidth</spirit:name> - <spirit:displayName>maxAddressWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maxAddressWidth">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>opcodeExtension</spirit:name> - <spirit:displayName>opcodeExtension</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="opcodeExtension">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>sharedCombinationalAndMulticycle</spirit:name> - <spirit:displayName>sharedCombinationalAndMulticycle</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="sharedCombinationalAndMulticycle">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>data_master</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>d_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>byteenable</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>d_byteenable</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>d_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>d_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>waitrequest</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>d_waitrequest</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>d_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>d_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>debugaccess</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>debug_mem_slave_debugaccess_to_roms</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>adaptsTo</spirit:name> - <spirit:displayName>Adapts to</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="adaptsTo"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">SYMBOLS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dBSBigEndian</spirit:name> - <spirit:displayName>dBS big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="dBSBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>doStreamReads</spirit:name> - <spirit:displayName>Use flow control for read transfers</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="doStreamReads">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>doStreamWrites</spirit:name> - <spirit:displayName>Use flow control for write transfers</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="doStreamWrites">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isAsynchronous</spirit:name> - <spirit:displayName>Is asynchronous</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isAsynchronous">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Is big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isReadable</spirit:name> - <spirit:displayName>Is readable</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isReadable">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isWriteable</spirit:name> - <spirit:displayName>Is writeable</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isWriteable">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maxAddressWidth</spirit:name> - <spirit:displayName>Maximum address width</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maxAddressWidth">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>debug.providesServices</spirit:name> - <spirit:value spirit:format="string" spirit:id="debug.providesServices">master</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>debug_mem_slave</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>debug_mem_slave_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>byteenable</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>debug_mem_slave_byteenable</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>debugaccess</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>debug_mem_slave_debugaccess</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>debug_mem_slave_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>debug_mem_slave_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>waitrequest</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>debug_mem_slave_waitrequest</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>debug_mem_slave_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>debug_mem_slave_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">2048</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.hideDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.hideDevice">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>qsys.ui.connect</spirit:name> - <spirit:value spirit:format="string" spirit:id="qsys.ui.connect">instruction_master,data_master</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>debug_reset_request</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>debug_reset_request</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedDirectReset</spirit:name> - <spirit:displayName>Associated direct reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedResetSinks</spirit:name> - <spirit:displayName>Associated reset sinks</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedResetSinks">none</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>instruction_master</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>i_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>i_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>i_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>waitrequest</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>i_waitrequest</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>adaptsTo</spirit:name> - <spirit:displayName>Adapts to</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="adaptsTo"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">SYMBOLS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dBSBigEndian</spirit:name> - <spirit:displayName>dBS big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="dBSBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>doStreamReads</spirit:name> - <spirit:displayName>Use flow control for read transfers</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="doStreamReads">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>doStreamWrites</spirit:name> - <spirit:displayName>Use flow control for write transfers</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="doStreamWrites">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isAsynchronous</spirit:name> - <spirit:displayName>Is asynchronous</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isAsynchronous">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Is big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isReadable</spirit:name> - <spirit:displayName>Is readable</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isReadable">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isWriteable</spirit:name> - <spirit:displayName>Is writeable</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isWriteable">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maxAddressWidth</spirit:name> - <spirit:displayName>Maximum address width</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maxAddressWidth">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>irq</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="interrupt" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>irq</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>irq</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedAddressablePoint</spirit:name> - <spirit:displayName>Associated addressable interface</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_disturb2_unb2b_station_cpu_0.data_master</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>irqMap</spirit:name> - <spirit:displayName>IRQ Map</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="irqMap"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>irqScheme</spirit:name> - <spirit:displayName>Interrupt scheme</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="irqScheme">INDIVIDUAL_REQUESTS</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset_n</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset_req</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_req</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>altera_nios2_gen2</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>dummy_ci_port</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>d_address</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>23</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>d_byteenable</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>d_read</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>d_readdata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>d_waitrequest</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>d_write</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>d_writedata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>debug_mem_slave_debugaccess_to_roms</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>debug_mem_slave_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>8</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>debug_mem_slave_byteenable</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>debug_mem_slave_debugaccess</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>debug_mem_slave_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>debug_mem_slave_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>debug_mem_slave_waitrequest</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>debug_mem_slave_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>debug_mem_slave_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>debug_reset_request</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>i_address</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>17</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>i_read</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>i_readdata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>i_waitrequest</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>irq</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_n</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_req</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_cpu_0</spirit:library> - <spirit:name>altera_nios2_gen2</spirit:name> - <spirit:version>18.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>tmr_enabled</spirit:name> - <spirit:displayName>Nios II Triple Mode Redundancy</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="tmr_enabled">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_disable_tmr_inj</spirit:name> - <spirit:displayName>Disabled TMR Error Injection Port</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_disable_tmr_inj">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_showUnpublishedSettings</spirit:name> - <spirit:displayName>Show Unpublished Settings</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_showUnpublishedSettings">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_showInternalSettings</spirit:name> - <spirit:displayName>Show Internal Verification Settings</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_showInternalSettings">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_preciseIllegalMemAccessException</spirit:name> - <spirit:displayName>Misaligned memory access</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_preciseIllegalMemAccessException">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_exportPCB</spirit:name> - <spirit:displayName>setting_exportPCB</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_exportPCB">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_exportdebuginfo</spirit:name> - <spirit:displayName>Export Instruction Execution States</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_exportdebuginfo">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_clearXBitsLDNonBypass</spirit:name> - <spirit:displayName>Clear X data bits</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_clearXBitsLDNonBypass">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_bigEndian</spirit:name> - <spirit:displayName>setting_bigEndian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_bigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_export_large_RAMs</spirit:name> - <spirit:displayName>Export Large RAMs</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_export_large_RAMs">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_asic_enabled</spirit:name> - <spirit:displayName>ASIC enabled</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_asic_enabled">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>register_file_por</spirit:name> - <spirit:displayName>Register File POR</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="register_file_por">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_asic_synopsys_translate_on_off</spirit:name> - <spirit:displayName>ASIC Synopsys translate</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_asic_synopsys_translate_on_off">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_asic_third_party_synthesis</spirit:name> - <spirit:displayName>ASIC third party synthesis</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_asic_third_party_synthesis">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_asic_add_scan_mode_input</spirit:name> - <spirit:displayName>ASIC add scan mode input</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_asic_add_scan_mode_input">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_oci_version</spirit:name> - <spirit:displayName>Nios II OCI Version</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setting_oci_version">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_fast_register_read</spirit:name> - <spirit:displayName>Fast Register Read</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_fast_register_read">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_exportHostDebugPort</spirit:name> - <spirit:displayName>Export Debug Host Slave</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_exportHostDebugPort">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_oci_export_jtag_signals</spirit:name> - <spirit:displayName>Export JTAG signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_oci_export_jtag_signals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_avalonDebugPortPresent</spirit:name> - <spirit:displayName>Avalon Debug Port Present</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_avalonDebugPortPresent">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_alwaysEncrypt</spirit:name> - <spirit:displayName>Always encrypt</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_alwaysEncrypt">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>io_regionbase</spirit:name> - <spirit:displayName>Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="io_regionbase">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>io_regionsize</spirit:name> - <spirit:displayName>Size</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="io_regionsize">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_support31bitdcachebypass</spirit:name> - <spirit:displayName>Use most-significant address bit in processor to bypass data cache</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_support31bitdcachebypass">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_activateTrace</spirit:name> - <spirit:displayName>Generate trace file during RTL simulation</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_activateTrace">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_allow_break_inst</spirit:name> - <spirit:displayName>Allow Break instructions</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_allow_break_inst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_activateTestEndChecker</spirit:name> - <spirit:displayName>Activate test end checker</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_activateTestEndChecker">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_ecc_sim_test_ports</spirit:name> - <spirit:displayName>Enable ECC simulation test ports</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_ecc_sim_test_ports">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_disableocitrace</spirit:name> - <spirit:displayName>Disable comptr generation</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_disableocitrace">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_activateMonitors</spirit:name> - <spirit:displayName>Activate monitors</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_activateMonitors">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_HDLSimCachesCleared</spirit:name> - <spirit:displayName>HDL simulation caches cleared</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_HDLSimCachesCleared">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_HBreakTest</spirit:name> - <spirit:displayName>Add HBreak Request port</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_HBreakTest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_breakslaveoveride</spirit:name> - <spirit:displayName>Manually assign break slave</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_breakslaveoveride">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mpu_useLimit</spirit:name> - <spirit:displayName>Use Limit for region range</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="mpu_useLimit">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mpu_enabled</spirit:name> - <spirit:displayName>Include MPU</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="mpu_enabled">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mmu_enabled</spirit:name> - <spirit:displayName>Include MMU</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="mmu_enabled">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mmu_autoAssignTlbPtrSz</spirit:name> - <spirit:displayName>Optimize TLB entries base on device family</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="mmu_autoAssignTlbPtrSz">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>cpuReset</spirit:name> - <spirit:displayName>Include cpu_resetrequest and cpu_resettaken signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="cpuReset">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>resetrequest_enabled</spirit:name> - <spirit:displayName>Include reset_req signal for OCI RAM and Multi-Cycle Custom Instructions</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="resetrequest_enabled">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_removeRAMinit</spirit:name> - <spirit:displayName>Remove RAM Initialization</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_removeRAMinit">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_tmr_output_disable</spirit:name> - <spirit:displayName>Create a signal to disable TMR outputs</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_tmr_output_disable">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_shadowRegisterSets</spirit:name> - <spirit:displayName>Number of shadow register sets (0-63)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setting_shadowRegisterSets">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mpu_numOfInstRegion</spirit:name> - <spirit:displayName> Number of instruction regions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mpu_numOfInstRegion">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mpu_numOfDataRegion</spirit:name> - <spirit:displayName> Number of data regions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mpu_numOfDataRegion">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mmu_TLBMissExcOffset</spirit:name> - <spirit:displayName>Fast TLB Miss Exception vector offset</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mmu_TLBMissExcOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>resetOffset</spirit:name> - <spirit:displayName>Reset vector offset</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="resetOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>exceptionOffset</spirit:name> - <spirit:displayName>Exception vector offset</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="exceptionOffset">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>cpuID</spirit:name> - <spirit:displayName>CPUID control register value</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="cpuID">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>breakOffset</spirit:name> - <spirit:displayName>Break vector offset</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="breakOffset">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>userDefinedSettings</spirit:name> - <spirit:displayName>User Defined Settings</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="userDefinedSettings"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tracefilename</spirit:name> - <spirit:displayName>Trace File Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tracefilename"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>resetSlave</spirit:name> - <spirit:displayName>Reset vector memory</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="resetSlave">onchip_memory2_0.s1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mmu_TLBMissExcSlave</spirit:name> - <spirit:displayName>Fast TLB Miss Exception vector memory</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="mmu_TLBMissExcSlave">None</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>exceptionSlave</spirit:name> - <spirit:displayName>Exception vector memory</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="exceptionSlave">onchip_memory2_0.s1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>breakSlave</spirit:name> - <spirit:displayName>Break vector memory</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="breakSlave">None</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_interruptControllerType</spirit:name> - <spirit:displayName>Interrupt controller</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="setting_interruptControllerType">Internal</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_branchpredictiontype</spirit:name> - <spirit:displayName>Branch prediction type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="setting_branchpredictiontype">Dynamic</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_bhtPtrSz</spirit:name> - <spirit:displayName> Number of entries (2-bits wide)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setting_bhtPtrSz">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>cpuArchRev</spirit:name> - <spirit:displayName>Architecture Revision</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="cpuArchRev">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>stratix_dspblock_shift_mul</spirit:name> - <spirit:displayName>stratix_dspblock_shift_mul</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="stratix_dspblock_shift_mul">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>shifterType</spirit:name> - <spirit:displayName>shifterType</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="shifterType">medium_le_shift</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>multiplierType</spirit:name> - <spirit:displayName>multiplierType</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="multiplierType">no_mul</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mul_shift_choice</spirit:name> - <spirit:displayName>Multiply/Shift/Rotate Hardware</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mul_shift_choice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mul_32_impl</spirit:name> - <spirit:displayName>Multiply Implementation</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mul_32_impl">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mul_64_impl</spirit:name> - <spirit:displayName>Multiply Extended Implementation</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mul_64_impl">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>shift_rot_impl</spirit:name> - <spirit:displayName>Shift/Rotate Implementation</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="shift_rot_impl">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dividerType</spirit:name> - <spirit:displayName>Divide Hardware</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="dividerType">no_div</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mpu_minInstRegionSize</spirit:name> - <spirit:displayName> Minimum instruction region size</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mpu_minInstRegionSize">12</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mpu_minDataRegionSize</spirit:name> - <spirit:displayName> Minimum data region size</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mpu_minDataRegionSize">12</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mmu_uitlbNumEntries</spirit:name> - <spirit:displayName> Micro ITLB entries</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mmu_uitlbNumEntries">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mmu_udtlbNumEntries</spirit:name> - <spirit:displayName> Micro DTLB entries</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mmu_udtlbNumEntries">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mmu_tlbPtrSz</spirit:name> - <spirit:displayName> TLB entries</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mmu_tlbPtrSz">7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mmu_tlbNumWays</spirit:name> - <spirit:displayName> TLB Set-Associativity</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mmu_tlbNumWays">16</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mmu_processIDNumBits</spirit:name> - <spirit:displayName> Process ID (PID) bits</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mmu_processIDNumBits">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>impl</spirit:name> - <spirit:displayName>Nios II Core</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="impl">Tiny</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>icache_size</spirit:name> - <spirit:displayName>Size</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="icache_size">4096</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>fa_cache_line</spirit:name> - <spirit:displayName>Number of Cache Lines</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="fa_cache_line">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>fa_cache_linesize</spirit:name> - <spirit:displayName>Line Size</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="fa_cache_linesize">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>icache_tagramBlockType</spirit:name> - <spirit:displayName>Tag RAM block type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="icache_tagramBlockType">Automatic</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>icache_ramBlockType</spirit:name> - <spirit:displayName>Data RAM block type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="icache_ramBlockType">Automatic</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>icache_numTCIM</spirit:name> - <spirit:displayName>Number of tightly coupled instruction master ports</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="icache_numTCIM">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>icache_burstType</spirit:name> - <spirit:displayName>Add burstcount signal to instruction_master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="icache_burstType">None</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dcache_bursts</spirit:name> - <spirit:displayName>Add burstcount signal to data_master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="dcache_bursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dcache_victim_buf_impl</spirit:name> - <spirit:displayName>Victim buffer implementation</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="dcache_victim_buf_impl">ram</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dcache_size</spirit:name> - <spirit:displayName>Size</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dcache_size">2048</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dcache_tagramBlockType</spirit:name> - <spirit:displayName>Tag RAM block type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="dcache_tagramBlockType">Automatic</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dcache_ramBlockType</spirit:name> - <spirit:displayName>Data RAM block type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="dcache_ramBlockType">Automatic</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dcache_numTCDM</spirit:name> - <spirit:displayName>Number of tightly coupled data master ports</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dcache_numTCDM">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_exportvectors</spirit:name> - <spirit:displayName>Export Vectors</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_exportvectors">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_usedesignware</spirit:name> - <spirit:displayName>Use Designware Components</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_usedesignware">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_ecc_present</spirit:name> - <spirit:displayName>ECC Present</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_ecc_present">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_ic_ecc_present</spirit:name> - <spirit:displayName>Instruction Cache ECC Present</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_ic_ecc_present">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_rf_ecc_present</spirit:name> - <spirit:displayName>Register File ECC Present</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_rf_ecc_present">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_mmu_ecc_present</spirit:name> - <spirit:displayName>MMU ECC Present</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_mmu_ecc_present">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_dc_ecc_present</spirit:name> - <spirit:displayName>Data Cache ECC Present</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_dc_ecc_present">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_itcm_ecc_present</spirit:name> - <spirit:displayName>Instruction TCM ECC Present</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_itcm_ecc_present">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_dtcm_ecc_present</spirit:name> - <spirit:displayName>Data TCM ECC Present</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_dtcm_ecc_present">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>regfile_ramBlockType</spirit:name> - <spirit:displayName>RAM block type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="regfile_ramBlockType">Automatic</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ocimem_ramBlockType</spirit:name> - <spirit:displayName>RAM block type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ocimem_ramBlockType">Automatic</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ocimem_ramInit</spirit:name> - <spirit:displayName>Initialized OCI RAM</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="ocimem_ramInit">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mmu_ramBlockType</spirit:name> - <spirit:displayName> MMU RAM block type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="mmu_ramBlockType">Automatic</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bht_ramBlockType</spirit:name> - <spirit:displayName>BHT RAM Block Type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bht_ramBlockType">Automatic</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>cdx_enabled</spirit:name> - <spirit:displayName>CDX (Code Density eXtension) Instructions</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="cdx_enabled">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mpx_enabled</spirit:name> - <spirit:displayName>mpx_enabled</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="mpx_enabled">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_enabled</spirit:name> - <spirit:displayName>Include JTAG Debug</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="debug_enabled">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_triggerArming</spirit:name> - <spirit:displayName>Trigger Arming</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="debug_triggerArming">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_debugReqSignals</spirit:name> - <spirit:displayName>Include debugreq and debugack Signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="debug_debugReqSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_assignJtagInstanceID</spirit:name> - <spirit:displayName>Assign JTAG Instance ID for debug core manually</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="debug_assignJtagInstanceID">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_jtagInstanceID</spirit:name> - <spirit:displayName>JTAG Instance ID value</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="debug_jtagInstanceID">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_OCIOnchipTrace</spirit:name> - <spirit:displayName>Onchip Trace Frame Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="debug_OCIOnchipTrace">_128</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_hwbreakpoint</spirit:name> - <spirit:displayName>Hardware Breakpoints</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="debug_hwbreakpoint">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_datatrigger</spirit:name> - <spirit:displayName>Data Triggers</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="debug_datatrigger">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_traceType</spirit:name> - <spirit:displayName>Trace Types</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="debug_traceType">none</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_traceStorage</spirit:name> - <spirit:displayName>Trace Storage</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="debug_traceStorage">onchip_trace</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>master_addr_map</spirit:name> - <spirit:displayName>Manually Set Master Base Address and Size</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="master_addr_map">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>instruction_master_paddr_base</spirit:name> - <spirit:displayName>Instruction Master Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="instruction_master_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>instruction_master_paddr_size</spirit:name> - <spirit:displayName>Instruction Master Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="instruction_master_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>flash_instruction_master_paddr_base</spirit:name> - <spirit:displayName>Flash Instruction Master Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="flash_instruction_master_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>flash_instruction_master_paddr_size</spirit:name> - <spirit:displayName>Flash Instruction Master Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="flash_instruction_master_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>data_master_paddr_base</spirit:name> - <spirit:displayName>Data Master Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="data_master_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>data_master_paddr_size</spirit:name> - <spirit:displayName>Data Master Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="data_master_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_instruction_master_0_paddr_base</spirit:name> - <spirit:displayName>Tightly coupled Instruction Master 0 Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_0_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_instruction_master_0_paddr_size</spirit:name> - <spirit:displayName>Tightly coupled Instruction Master 0 Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_0_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_instruction_master_1_paddr_base</spirit:name> - <spirit:displayName>Tightly coupled Instruction Master 1 Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_1_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_instruction_master_1_paddr_size</spirit:name> - <spirit:displayName>Tightly coupled Instruction Master 1 Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_1_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_instruction_master_2_paddr_base</spirit:name> - <spirit:displayName>Tightly coupled Instruction Master 2 Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_2_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_instruction_master_2_paddr_size</spirit:name> - <spirit:displayName>Tightly coupled Instruction Master 2 Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_2_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_instruction_master_3_paddr_base</spirit:name> - <spirit:displayName>Tightly coupled Instruction Master 3 Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightly_coupled_instruction_master_3_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_instruction_master_3_paddr_size</spirit:name> - <spirit:displayName>Tightly coupled Instruction Master 3 Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightly_coupled_instruction_master_3_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_data_master_0_paddr_base</spirit:name> - <spirit:displayName>Tightly coupled Data Master 0 Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_0_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_data_master_0_paddr_size</spirit:name> - <spirit:displayName>Tightly coupled Data Master 0 Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_0_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_data_master_1_paddr_base</spirit:name> - <spirit:displayName>Tightly coupled Data Master 1 Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_1_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_data_master_1_paddr_size</spirit:name> - <spirit:displayName>Tightly coupled Data Master 1 Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_1_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_data_master_2_paddr_base</spirit:name> - <spirit:displayName>Tightly coupled Data Master 2 Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_2_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_data_master_2_paddr_size</spirit:name> - <spirit:displayName>Tightly coupled Data Master 2 Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_2_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_data_master_3_paddr_base</spirit:name> - <spirit:displayName>Tightly coupled Data Master 3 Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightly_coupled_data_master_3_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightly_coupled_data_master_3_paddr_size</spirit:name> - <spirit:displayName>Tightly coupled Data Master 3 Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightly_coupled_data_master_3_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>instruction_master_high_performance_paddr_base</spirit:name> - <spirit:displayName>Instruction Master High Performance Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="instruction_master_high_performance_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>instruction_master_high_performance_paddr_size</spirit:name> - <spirit:displayName>Instruction Master High Performance Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="instruction_master_high_performance_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>data_master_high_performance_paddr_base</spirit:name> - <spirit:displayName>Data Master High Performance Base Address</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="data_master_high_performance_paddr_base">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>data_master_high_performance_paddr_size</spirit:name> - <spirit:displayName>Data Master High Performance Size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="data_master_high_performance_paddr_size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>resetAbsoluteAddr</spirit:name> - <spirit:displayName>Reset vector</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="resetAbsoluteAddr">131072</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>exceptionAbsoluteAddr</spirit:name> - <spirit:displayName>Exception vector</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="exceptionAbsoluteAddr">131104</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>breakAbsoluteAddr</spirit:name> - <spirit:displayName>Break vector</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="breakAbsoluteAddr">14368</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mmu_TLBMissExcAbsAddr</spirit:name> - <spirit:displayName>Fast TLB Miss Exception vector</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="mmu_TLBMissExcAbsAddr">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dcache_bursts_derived</spirit:name> - <spirit:displayName>dcache_bursts_derived</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="dcache_bursts_derived">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dcache_size_derived</spirit:name> - <spirit:displayName>dcache_size_derived</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dcache_size_derived">2048</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>breakSlave_derived</spirit:name> - <spirit:displayName>breakSlave_derived</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="breakSlave_derived">cpu_0.debug_mem_slave</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dcache_lineSize_derived</spirit:name> - <spirit:displayName>dcache_lineSize_derived</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dcache_lineSize_derived">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_ioregionBypassDCache</spirit:name> - <spirit:displayName>setting_ioregionBypassDCache</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_ioregionBypassDCache">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setting_bit31BypassDCache</spirit:name> - <spirit:displayName>setting_bit31BypassDCache</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="setting_bit31BypassDCache">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>translate_on</spirit:name> - <spirit:displayName>translate_on</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="translate_on"> "synthesis translate_on" </spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>translate_off</spirit:name> - <spirit:displayName>translate_off</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="translate_off"> "synthesis translate_off" </spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_onchiptrace</spirit:name> - <spirit:displayName>debug_onchiptrace</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="debug_onchiptrace">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_offchiptrace</spirit:name> - <spirit:displayName>debug_offchiptrace</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="debug_offchiptrace">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_insttrace</spirit:name> - <spirit:displayName>debug_insttrace</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="debug_insttrace">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>debug_datatrace</spirit:name> - <spirit:displayName>debug_datatrace</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="debug_datatrace">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>instAddrWidth</spirit:name> - <spirit:displayName>instAddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="instAddrWidth">18</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>faAddrWidth</spirit:name> - <spirit:displayName>faAddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="faAddrWidth">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataAddrWidth</spirit:name> - <spirit:displayName>dataAddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataAddrWidth">24</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledDataMaster0AddrWidth</spirit:name> - <spirit:displayName>tightlyCoupledDataMaster0AddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster0AddrWidth">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledDataMaster1AddrWidth</spirit:name> - <spirit:displayName>tightlyCoupledDataMaster1AddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster1AddrWidth">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledDataMaster2AddrWidth</spirit:name> - <spirit:displayName>tightlyCoupledDataMaster2AddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster2AddrWidth">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledDataMaster3AddrWidth</spirit:name> - <spirit:displayName>tightlyCoupledDataMaster3AddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightlyCoupledDataMaster3AddrWidth">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledInstructionMaster0AddrWidth</spirit:name> - <spirit:displayName>tightlyCoupledInstructionMaster0AddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster0AddrWidth">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledInstructionMaster1AddrWidth</spirit:name> - <spirit:displayName>tightlyCoupledInstructionMaster1AddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster1AddrWidth">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledInstructionMaster2AddrWidth</spirit:name> - <spirit:displayName>tightlyCoupledInstructionMaster2AddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster2AddrWidth">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledInstructionMaster3AddrWidth</spirit:name> - <spirit:displayName>tightlyCoupledInstructionMaster3AddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="tightlyCoupledInstructionMaster3AddrWidth">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataMasterHighPerformanceAddrWidth</spirit:name> - <spirit:displayName>dataMasterHighPerformanceAddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataMasterHighPerformanceAddrWidth">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>instructionMasterHighPerformanceAddrWidth</spirit:name> - <spirit:displayName>instructionMasterHighPerformanceAddrWidth</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="instructionMasterHighPerformanceAddrWidth">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>instSlaveMapParam</spirit:name> - <spirit:displayName>instSlaveMapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="instSlaveMapParam"><![CDATA[<address-map><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>faSlaveMapParam</spirit:name> - <spirit:displayName>faSlaveMapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="faSlaveMapParam"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataSlaveMapParam</spirit:name> - <spirit:displayName>dataSlaveMapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x3400' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x134000' end='0x134400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x134400' end='0x134600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x134600' end='0x134800' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134800' end='0x134A00' datawidth='32' /><slave name='reg_wg.mem' start='0x134A00' end='0x134B00' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134B00' end='0x134C00' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x134C00' end='0x134D00' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x134D00' end='0x134E00' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134E00' end='0x134E80' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134E80' end='0x134F00' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134F00' end='0x134F80' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134F80' end='0x134FC0' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134FC0' end='0x135000' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x135000' end='0x135040' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x135040' end='0x135080' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x135080' end='0x1350C0' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x1350C0' end='0x135100' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x135100' end='0x135140' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x135140' end='0x135180' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x135180' end='0x1351A0' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x1351A0' end='0x1351C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x1351C0' end='0x1351E0' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x1351E0' end='0x135200' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x135200' end='0x135220' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x135220' end='0x135240' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x135240' end='0x135260' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x135260' end='0x135280' datawidth='32' /><slave name='reg_epcs.mem' start='0x135280' end='0x1352A0' datawidth='32' /><slave name='reg_remu.mem' start='0x1352A0' end='0x1352C0' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x1352C0' end='0x1352D0' datawidth='32' /><slave name='reg_ring_info.mem' start='0x1352D0' end='0x1352E0' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x1352E0' end='0x1352F0' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x1352F0' end='0x135300' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x135300' end='0x135310' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x135310' end='0x135320' datawidth='32' /><slave name='pio_pps.mem' start='0x135320' end='0x135330' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x135330' end='0x135338' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x135338' end='0x135340' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x135340' end='0x135348' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x135348' end='0x135350' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x135350' end='0x135358' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x135358' end='0x135360' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x135360' end='0x135368' datawidth='32' /><slave name='reg_si.mem' start='0x135368' end='0x135370' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x135370' end='0x135378' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x135378' end='0x135380' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x135380' end='0x135388' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x135388' end='0x135390' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x135390' end='0x135398' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledDataMaster0MapParam</spirit:name> - <spirit:displayName>tightlyCoupledDataMaster0MapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster0MapParam"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledDataMaster1MapParam</spirit:name> - <spirit:displayName>tightlyCoupledDataMaster1MapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster1MapParam"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledDataMaster2MapParam</spirit:name> - <spirit:displayName>tightlyCoupledDataMaster2MapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster2MapParam"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledDataMaster3MapParam</spirit:name> - <spirit:displayName>tightlyCoupledDataMaster3MapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightlyCoupledDataMaster3MapParam"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledInstructionMaster0MapParam</spirit:name> - <spirit:displayName>tightlyCoupledInstructionMaster0MapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster0MapParam"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledInstructionMaster1MapParam</spirit:name> - <spirit:displayName>tightlyCoupledInstructionMaster1MapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster1MapParam"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledInstructionMaster2MapParam</spirit:name> - <spirit:displayName>tightlyCoupledInstructionMaster2MapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster2MapParam"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>tightlyCoupledInstructionMaster3MapParam</spirit:name> - <spirit:displayName>tightlyCoupledInstructionMaster3MapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="tightlyCoupledInstructionMaster3MapParam"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataMasterHighPerformanceMapParam</spirit:name> - <spirit:displayName>dataMasterHighPerformanceMapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="dataMasterHighPerformanceMapParam"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>instructionMasterHighPerformanceMapParam</spirit:name> - <spirit:displayName>instructionMasterHighPerformanceMapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="instructionMasterHighPerformanceMapParam"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clockFrequency</spirit:name> - <spirit:displayName>clockFrequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockFrequency">100000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamilyName</spirit:name> - <spirit:displayName>deviceFamilyName</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamilyName">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>internalIrqMaskSystemInfo</spirit:name> - <spirit:displayName>internalIrqMaskSystemInfo</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="internalIrqMaskSystemInfo">7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>customInstSlavesSystemInfo</spirit:name> - <spirit:displayName>customInstSlavesSystemInfo</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>customInstSlavesSystemInfo_nios_a</spirit:name> - <spirit:displayName>customInstSlavesSystemInfo_nios_a</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo_nios_a"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>customInstSlavesSystemInfo_nios_b</spirit:name> - <spirit:displayName>customInstSlavesSystemInfo_nios_b</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo_nios_b"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>customInstSlavesSystemInfo_nios_c</spirit:name> - <spirit:displayName>customInstSlavesSystemInfo_nios_c</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="customInstSlavesSystemInfo_nios_c"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFeaturesSystemInfo</spirit:name> - <spirit:displayName>deviceFeaturesSystemInfo</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFeaturesSystemInfo">ADDRESS_STALL 0 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 0 ALLOW_DIFF_SUFFIX_MIGRATION 0 ASSERT_TIMING_ROUTING_DELAYS_HAS_ALL_EXPECTED_DATA 0 ASSERT_TIMING_ROUTING_DELAYS_NO_AUTOFILL 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DISABLE_CRC_ERROR_DETECTION 0 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_HIGH_SPEED_HSSI 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 0 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 0 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BCM_PIN_BASED_AIOT_SUPPORT 0 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 0 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 0 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 0 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 0 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIER_PARTIAL_RECONFIG_SUPPORT 1 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 0 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_BLOCK 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 1 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 0 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 0 HAS_MIN_TIMING_ANALYSIS_SUPPORT 0 HAS_MISSING_PAD_INFO 0 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 1 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 1 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_QHD_INCREMENTAL_TIMING_CLOSURE_SUPPORT 1 HAS_QHD_IP_REUSE_INTEGRATION_SUPPORT 1 HAS_QHD_PARTITIONS_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_REVC_IO 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 0 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMPLIFIED_PARTIAL_RECONFIG_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SIP_TILE_SUPPORT 0 HAS_SPEED_GRADE_OFFSET 1 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 0 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 1 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 1 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_DQS_IN_BUFFER_REDUCTION 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_REVE_SILICON 0 IS_SDM_LITE 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LUTRAM_DATA_IN_FF_MUST_BE_HIPI 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 1 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MAC_NEGATE_SUPPORT_DISABLED 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_CLOCK_REGION 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PCF 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 PINTABLE_OPTIONAL 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 0 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 0 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 0 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_PW0 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 1 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_MIN_CORNER_DMF_GENERATION 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 0 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_TIMING_CLOSURE_CORNERS 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORT_UIB 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 U2B2_SUPPORT_NOT_READY 0 USES_ACV_FOR_FLED 0 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 0 USES_DETAILED_REDTAX_WITH_DSPF_ROUTING_MODELS 0 USES_DEV 1 USES_DSPF_ROUTING_MODELS 0 USES_DSP_FROM_PREVIOUS_FAMILY 0 USES_ESTIMATED_TIMING 0 USES_EXTRACTION_CORNERS_WITH_DSPF_ROUTING_MODELS 0 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 1 USES_PARASITIC_LOADS_WITH_DSPF_ROUTING_MODELS 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 1 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 1 USES_RAM_FROM_PREVIOUS_FAMILY 0 USES_SECOND_GENERATION_PART_INFO 1 USES_SECOND_GENERATION_POWER_ANALYZER 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_TIMING_ROUTING_DELAYS 0 USES_U2B2_TIMING_MODELS 1 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 0 USE_ADVANCED_IO_TIMING_BY_DEFAULT 0 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SDM_CONFIGURATION 0 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 0 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WORKS_AROUND_MISSING_RED_FLAGS_IN_DSPF_ROUTING_MODELS 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_DEVICE</spirit:name> - <spirit:displayName>Auto DEVICE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_DEVICE_SPEEDGRADE</spirit:name> - <spirit:displayName>Auto DEVICE_SPEEDGRADE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE_SPEEDGRADE">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_CLK_CLOCK_DOMAIN</spirit:name> - <spirit:displayName>Auto CLOCK_DOMAIN</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="AUTO_CLK_CLOCK_DOMAIN">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_CLK_RESET_DOMAIN</spirit:name> - <spirit:displayName>Auto RESET_DOMAIN</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="AUTO_CLK_RESET_DOMAIN">1</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>debug.hostConnection</spirit:name> - <spirit:value spirit:format="string" spirit:id="debug.hostConnection">type jtag id 70:34|110:135</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.BIG_ENDIAN</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.BIG_ENDIAN">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.BREAK_ADDR</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.BREAK_ADDR">0x00003820</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.CPU_ARCH_NIOS2_R1</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_ARCH_NIOS2_R1"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.CPU_FREQ</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_FREQ">100000000u</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.CPU_ID_SIZE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_ID_SIZE">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.CPU_ID_VALUE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_ID_VALUE">0x00000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.CPU_IMPLEMENTATION</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CPU_IMPLEMENTATION">"tiny"</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.DATA_ADDR_WIDTH</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DATA_ADDR_WIDTH">24</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.DCACHE_LINE_SIZE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DCACHE_LINE_SIZE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.DCACHE_SIZE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DCACHE_SIZE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.EXCEPTION_ADDR</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.EXCEPTION_ADDR">0x00020020</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.FLASH_ACCELERATOR_LINES</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FLASH_ACCELERATOR_LINES">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.FLUSHDA_SUPPORTED</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FLUSHDA_SUPPORTED"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.HARDWARE_MULX_PRESENT</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HARDWARE_MULX_PRESENT">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.HAS_DEBUG_CORE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_DEBUG_CORE">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.HAS_DEBUG_STUB</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_DEBUG_STUB"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.HAS_JMPI_INSTRUCTION</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_JMPI_INSTRUCTION"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.ICACHE_LINE_SIZE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ICACHE_LINE_SIZE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.ICACHE_SIZE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ICACHE_SIZE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.INST_ADDR_WIDTH</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.INST_ADDR_WIDTH">18</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.OCI_VERSION</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.OCI_VERSION">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.RESET_ADDR</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.RESET_ADDR">0x00020000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.DataCacheVictimBufImpl</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.DataCacheVictimBufImpl">ram</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.HDLSimCachesCleared</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.HDLSimCachesCleared">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.breakOffset</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.breakOffset">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.breakSlave</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.breakSlave">cpu_0.debug_mem_slave</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.cpuArchitecture</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.cpuArchitecture">Nios II</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.exceptionOffset</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.exceptionOffset">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.exceptionSlave</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.exceptionSlave">onchip_memory2_0.s1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.resetOffset</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.resetOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.resetSlave</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.resetSlave">onchip_memory2_0.s1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.compatible</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.compatible">altr,nios2-1.1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.group</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.group">cpu</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.name</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.name">nios2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.params.altr,exception-addr</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,exception-addr">0x00020020</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.params.altr,implementation</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,implementation">"tiny"</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.params.altr,reset-addr</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,reset-addr">0x00020000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.params.clock-frequency</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.clock-frequency">100000000u</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.params.dcache-line-size</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.dcache-line-size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.params.dcache-size</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.dcache-size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.params.icache-line-size</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.icache-line-size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.params.icache-size</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.icache-size">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.vendor</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.vendor">altr</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>custom_instruction_master</name> - <type>nios_custom_instruction</type> - <isStart>true</isStart> - <ports> - <port> - <name>dummy_ci_port</name> - <role>readra</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>CIName</key> - <value></value> - </entry> - <entry> - <key>addressWidth</key> - <value>8</value> - </entry> - <entry> - <key>clockCycle</key> - <value>0</value> - </entry> - <entry> - <key>enabled</key> - <value>false</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>8</value> - </entry> - <entry> - <key>opcodeExtension</key> - <value>0</value> - </entry> - <entry> - <key>sharedCombinationalAndMulticycle</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>data_master</name> - <type>avalon</type> - <isStart>true</isStart> - <ports> - <port> - <name>d_address</name> - <role>address</role> - <direction>Output</direction> - <width>24</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>d_byteenable</name> - <role>byteenable</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>d_read</name> - <role>read</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>d_readdata</name> - <role>readdata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>d_waitrequest</name> - <role>waitrequest</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>d_write</name> - <role>write</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>d_writedata</name> - <role>writedata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_debugaccess_to_roms</name> - <role>debugaccess</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>debug.providesServices</key> - <value>master</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>adaptsTo</key> - </entry> - <entry> - <key>addressGroup</key> - <value>1</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>true</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>dBSBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>doStreamReads</key> - <value>false</value> - </entry> - <entry> - <key>doStreamWrites</key> - <value>false</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isAsynchronous</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isReadable</key> - <value>false</value> - </entry> - <entry> - <key>isWriteable</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>32</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>true</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>debug_mem_slave</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>debug_mem_slave_address</name> - <role>address</role> - <direction>Input</direction> - <width>9</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_byteenable</name> - <role>byteenable</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_debugaccess</name> - <role>debugaccess</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.hideDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - <entry> - <key>qsys.ui.connect</key> - <value>instruction_master,data_master</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>2048</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>true</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>true</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>debug_reset_request</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>debug_reset_request</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>none</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>instruction_master</name> - <type>avalon</type> - <isStart>true</isStart> - <ports> - <port> - <name>i_address</name> - <role>address</role> - <direction>Output</direction> - <width>18</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>i_read</name> - <role>read</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>i_readdata</name> - <role>readdata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>i_waitrequest</name> - <role>waitrequest</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>adaptsTo</key> - </entry> - <entry> - <key>addressGroup</key> - <value>1</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>true</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>dBSBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>doStreamReads</key> - <value>false</value> - </entry> - <entry> - <key>doStreamWrites</key> - <value>false</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isAsynchronous</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isReadable</key> - <value>false</value> - </entry> - <entry> - <key>isWriteable</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>true</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>32</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>interrupt</type> - <isStart>true</isStart> - <ports> - <port> - <name>irq</name> - <role>irq</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>qsys_disturb2_unb2b_station_cpu_0.data_master</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>irqMap</key> - </entry> - <entry> - <key>irqScheme</key> - <value>INDIVIDUAL_REQUESTS</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>reset_req</name> - <role>reset_req</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_DOMAIN</key> - <value>1</value> - </entry> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - <entry> - <key>RESET_DOMAIN</key> - <value>1</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>custom_instruction_master</key> - <value> - <connectionPointName>custom_instruction_master</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CUSTOM_INSTRUCTION_SLAVES</key> - <value></value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>data_master</key> - <value> - <connectionPointName>data_master</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x3400' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x134000' end='0x134400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x134400' end='0x134600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x134600' end='0x134800' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134800' end='0x134A00' datawidth='32' /><slave name='reg_wg.mem' start='0x134A00' end='0x134B00' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134B00' end='0x134C00' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x134C00' end='0x134D00' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x134D00' end='0x134E00' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134E00' end='0x134E80' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134E80' end='0x134F00' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134F00' end='0x134F80' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134F80' end='0x134FC0' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134FC0' end='0x135000' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x135000' end='0x135040' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x135040' end='0x135080' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x135080' end='0x1350C0' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x1350C0' end='0x135100' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x135100' end='0x135140' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x135140' end='0x135180' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x135180' end='0x1351A0' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x1351A0' end='0x1351C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x1351C0' end='0x1351E0' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x1351E0' end='0x135200' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x135200' end='0x135220' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x135220' end='0x135240' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x135240' end='0x135260' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x135260' end='0x135280' datawidth='32' /><slave name='reg_epcs.mem' start='0x135280' end='0x1352A0' datawidth='32' /><slave name='reg_remu.mem' start='0x1352A0' end='0x1352C0' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x1352C0' end='0x1352D0' datawidth='32' /><slave name='reg_ring_info.mem' start='0x1352D0' end='0x1352E0' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x1352E0' end='0x1352F0' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x1352F0' end='0x135300' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x135300' end='0x135310' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x135310' end='0x135320' datawidth='32' /><slave name='pio_pps.mem' start='0x135320' end='0x135330' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x135330' end='0x135338' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x135338' end='0x135340' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x135340' end='0x135348' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x135348' end='0x135350' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x135350' end='0x135358' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x135358' end='0x135360' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x135360' end='0x135368' datawidth='32' /><slave name='reg_si.mem' start='0x135368' end='0x135370' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x135370' end='0x135378' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x135378' end='0x135380' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x135380' end='0x135388' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x135388' end='0x135390' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x135390' end='0x135398' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>24</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>debug_mem_slave</key> - <value> - <connectionPointName>debug_mem_slave</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='debug_mem_slave' start='0x0' end='0x800' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>11</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>instruction_master</key> - <value> - <connectionPointName>instruction_master</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>18</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>irq</key> - <value> - <connectionPointName>irq</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>INTERRUPTS_USED</key> - <value>7</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="custom_instruction_master" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.custom_instruction_master" altera:type="nios_custom_instruction" altera:dir="start"> - <altera:port_mapping altera:name="dummy_ci_port" altera:internal="dummy_ci_port"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="data_master" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.data_master" altera:type="avalon" altera:dir="start"> - <altera:port_mapping altera:name="d_address" altera:internal="d_address"></altera:port_mapping> - <altera:port_mapping altera:name="d_byteenable" altera:internal="d_byteenable"></altera:port_mapping> - <altera:port_mapping altera:name="d_read" altera:internal="d_read"></altera:port_mapping> - <altera:port_mapping altera:name="d_readdata" altera:internal="d_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="d_waitrequest" altera:internal="d_waitrequest"></altera:port_mapping> - <altera:port_mapping altera:name="d_write" altera:internal="d_write"></altera:port_mapping> - <altera:port_mapping altera:name="d_writedata" altera:internal="d_writedata"></altera:port_mapping> - <altera:port_mapping altera:name="debug_mem_slave_debugaccess_to_roms" altera:internal="debug_mem_slave_debugaccess_to_roms"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="debug_mem_slave" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.debug_mem_slave" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="debug_mem_slave_address" altera:internal="debug_mem_slave_address"></altera:port_mapping> - <altera:port_mapping altera:name="debug_mem_slave_byteenable" altera:internal="debug_mem_slave_byteenable"></altera:port_mapping> - <altera:port_mapping altera:name="debug_mem_slave_debugaccess" altera:internal="debug_mem_slave_debugaccess"></altera:port_mapping> - <altera:port_mapping altera:name="debug_mem_slave_read" altera:internal="debug_mem_slave_read"></altera:port_mapping> - <altera:port_mapping altera:name="debug_mem_slave_readdata" altera:internal="debug_mem_slave_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="debug_mem_slave_waitrequest" altera:internal="debug_mem_slave_waitrequest"></altera:port_mapping> - <altera:port_mapping altera:name="debug_mem_slave_write" altera:internal="debug_mem_slave_write"></altera:port_mapping> - <altera:port_mapping altera:name="debug_mem_slave_writedata" altera:internal="debug_mem_slave_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="debug_reset_request" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.debug_reset_request" altera:type="reset" altera:dir="start"> - <altera:port_mapping altera:name="debug_reset_request" altera:internal="debug_reset_request"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="instruction_master" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.instruction_master" altera:type="avalon" altera:dir="start"> - <altera:port_mapping altera:name="i_address" altera:internal="i_address"></altera:port_mapping> - <altera:port_mapping altera:name="i_read" altera:internal="i_read"></altera:port_mapping> - <altera:port_mapping altera:name="i_readdata" altera:internal="i_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="i_waitrequest" altera:internal="i_waitrequest"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="irq" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.irq" altera:type="interrupt" altera:dir="start"> - <altera:port_mapping altera:name="irq" altera:internal="irq"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_arts_unb2b_xc_emu_nw_10GbE_cpu_0.reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="reset_n" altera:internal="reset_n"></altera:port_mapping> - <altera:port_mapping altera:name="reset_req" altera:internal="reset_req"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jesd204b.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jesd204b.ip deleted file mode 100644 index 1f5b8fe9ff8112e56a29fbafab1cd4b9618fee49..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jesd204b.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_jesd204b</spirit:library> - <spirit:name>qsys_lofar2_unb2b_filterbank_jesd204b</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">16384</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>11</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>11</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_jesd204b</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">12</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16384</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x4000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>14</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_jesd204b.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jtag_uart_0.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jtag_uart_0.ip deleted file mode 100644 index bbcfe7c1d127f92bfdebbbd794826ee34f903683..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jtag_uart_0.ip +++ /dev/null @@ -1,1241 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_jtag_uart_0</spirit:library> - <spirit:name>jtag_uart_0</spirit:name> - <spirit:version>18.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>avalon_jtag_slave</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>chipselect</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_chipselect</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read_n</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_read_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write_n</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_write_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>waitrequest</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_waitrequest</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">NATIVE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">1</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>irq</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="interrupt" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>irq</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>av_irq</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedAddressablePoint</spirit:name> - <spirit:displayName>Associated addressable interface</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_disturb2_unb2b_station_jtag_uart_0.avalon_jtag_slave</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedReceiverOffset</spirit:name> - <spirit:displayName>Bridged receiver offset</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bridgedReceiverOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToReceiver</spirit:name> - <spirit:displayName>Bridges to receiver</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToReceiver"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>irqScheme</spirit:name> - <spirit:displayName>Interrupt scheme</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="irqScheme">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset_n</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rst_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>altera_avalon_jtag_uart</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rst_n</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_chipselect</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_read_n</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_write_n</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_waitrequest</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>av_irq</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_jtag_uart_0</spirit:library> - <spirit:name>altera_avalon_jtag_uart</spirit:name> - <spirit:version>18.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>allowMultipleConnections</spirit:name> - <spirit:displayName>Allow multiple connections to Avalon JTAG slave</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="allowMultipleConnections">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hubInstanceID</spirit:name> - <spirit:displayName>hubInstanceID</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="hubInstanceID">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readBufferDepth</spirit:name> - <spirit:displayName>Buffer depth (bytes)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readBufferDepth">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readIRQThreshold</spirit:name> - <spirit:displayName>IRQ threshold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readIRQThreshold">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>simInputCharacterStream</spirit:name> - <spirit:displayName>Contents</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="simInputCharacterStream"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>simInteractiveOptions</spirit:name> - <spirit:displayName>Options</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="simInteractiveOptions">NO_INTERACTIVE_WINDOWS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>useRegistersForReadBuffer</spirit:name> - <spirit:displayName>Construct using registers instead of memory blocks</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="useRegistersForReadBuffer">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>useRegistersForWriteBuffer</spirit:name> - <spirit:displayName>Construct using registers instead of memory blocks</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="useRegistersForWriteBuffer">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>useRelativePathForSimFile</spirit:name> - <spirit:displayName>useRelativePathForSimFile</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="useRelativePathForSimFile">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeBufferDepth</spirit:name> - <spirit:displayName>Buffer depth (bytes)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeBufferDepth">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeIRQThreshold</spirit:name> - <spirit:displayName>IRQ threshold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeIRQThreshold">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clkFreq</spirit:name> - <spirit:displayName>clkFreq</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clkFreq">100000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>avalonSpec</spirit:name> - <spirit:displayName>avalonSpec</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="avalonSpec">2.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>legacySignalAllow</spirit:name> - <spirit:displayName>legacySignalAllow</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="legacySignalAllow">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>enableInteractiveInput</spirit:name> - <spirit:displayName>enableInteractiveInput</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="enableInteractiveInput">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>enableInteractiveOutput</spirit:name> - <spirit:displayName>enableInteractiveOutput</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="enableInteractiveOutput">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.READ_DEPTH</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.READ_DEPTH">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.READ_THRESHOLD</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.READ_THRESHOLD">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.WRITE_DEPTH</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.WRITE_DEPTH">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.WRITE_THRESHOLD</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.WRITE_THRESHOLD">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.compatible</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.compatible">altr,juart-1.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.group</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.group">serial</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.name</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.name">juart</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.vendor</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.vendor">altr</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>avalon_jtag_slave</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>av_chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_read_n</name> - <role>read_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_write_n</name> - <role>write_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>1</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>NATIVE</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>2</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>true</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - <cmsisInfo> - <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> -<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > - <peripherals> - <peripheral> - <name>altera_avalon_jtag_uart</name><baseAddress>0x00000000</baseAddress> - <addressBlock> - <offset>0x0</offset> - <size>8</size> - <usage>registers</usage> - </addressBlock> - <registers> - <register> - <name>DATA</name> - <displayName>Data</displayName> - <description>Embedded software accesses the read and write FIFOs via the data register. A read from the data register returns the first character from the FIFO (if one is available) in the DATA field. Reading also returns information about the number of characters remaining in the FIFO in the RAVAIL field. A write to the data register stores the value of the DATA field in the write FIFO. If the write FIFO is full, the character is lost.</description> - <addressOffset>0x0</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>data</name> - <description>The value to transfer to/from the JTAG core. When writing, the DATA field holds a character to be written to the write FIFO. When reading, the DATA field holds a character read from the read FIFO.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>8</bitWidth> - <access>read-write</access> - </field> - <field><name>rvalid</name> - <description>Indicates whether the DATA field is valid. If RVALID=1, the DATA field is valid, otherwise DATA is undefined.</description> - <bitOffset>0xf</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field><name>ravail</name> - <description>The number of characters remaining in the read FIFO (after the current read).</description> - <bitOffset>0x10</bitOffset> - <bitWidth>16</bitWidth> - <access>read-only</access> - </field> - </fields> - </register> - <register> - <name>CONTROL</name> - <displayName>Control</displayName> - <description>Embedded software controls the JTAG UART core's interrupt generation and reads status information via the control register. A read from the control register returns the status of the read and write FIFOs. Writes to the register can be used to enable/disable interrupts, or clear the AC bit.</description> - <addressOffset>0x4</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>re</name> - <description>Interrupt-enable bit for read interrupts.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field><name>we</name> - <description>Interrupt-enable bit for write interrupts</description> - <bitOffset>0x1</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field><name>ri</name> - <description>Indicates that the read interrupt is pending.</description> - <bitOffset>0x8</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field><name>wi</name> - <description>Indicates that the write interrupt is pending.</description> - <bitOffset>0x9</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field><name>ac</name> - <description>Indicates that there has been JTAG activity since the bit was cleared. Writing 1 to AC clears it to 0.</description> - <bitOffset>0xa</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field><name>wspace</name> - <description>The number of spaces available in the write FIFO</description> - <bitOffset>0x10</bitOffset> - <bitWidth>16</bitWidth> - <access>read-only</access> - </field> - </fields> - </register> - </registers> - </peripheral> - </peripherals> -</device> </cmsisSrcFileContents> - <addressGroup></addressGroup> - <cmsisVars/> - </cmsisInfo> - </interface> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>av_irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>qsys_disturb2_unb2b_station_jtag_uart_0.avalon_jtag_slave</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>rst_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>avalon_jtag_slave</key> - <value> - <connectionPointName>avalon_jtag_slave</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='avalon_jtag_slave' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="avalon_jtag_slave" altera:internal="jtag_uart_0.avalon_jtag_slave" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="av_address" altera:internal="av_address"></altera:port_mapping> - <altera:port_mapping altera:name="av_chipselect" altera:internal="av_chipselect"></altera:port_mapping> - <altera:port_mapping altera:name="av_read_n" altera:internal="av_read_n"></altera:port_mapping> - <altera:port_mapping altera:name="av_readdata" altera:internal="av_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="av_waitrequest" altera:internal="av_waitrequest"></altera:port_mapping> - <altera:port_mapping altera:name="av_write_n" altera:internal="av_write_n"></altera:port_mapping> - <altera:port_mapping altera:name="av_writedata" altera:internal="av_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="jtag_uart_0.clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="irq" altera:internal="jtag_uart_0.irq" altera:type="interrupt" altera:dir="end"> - <altera:port_mapping altera:name="av_irq" altera:internal="av_irq"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="jtag_uart_0.reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="rst_n" altera:internal="rst_n"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_onchip_memory2_0.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_onchip_memory2_0.ip deleted file mode 100644 index f629c5f0ed7670c7ba554d799b40eadb80b2de56..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_onchip_memory2_0.ip +++ /dev/null @@ -1,1220 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_onchip_memory2_0</spirit:library> - <spirit:name>onchip_memory2_0</spirit:name> - <spirit:version>18.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>clk1</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset1</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset_req</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_req</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>s1</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clken</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>clken</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>chipselect</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>chipselect</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>byteenable</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>byteenable</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">131072</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">reset1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">131072</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>altera_avalon_onchip_memory2</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>14</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>clken</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>chipselect</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>byteenable</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_req</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_onchip_memory2_0</spirit:library> - <spirit:name>altera_avalon_onchip_memory2</spirit:name> - <spirit:version>18.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>allowInSystemMemoryContentEditor</spirit:name> - <spirit:displayName>Enable In-System Memory Content Editor feature</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="allowInSystemMemoryContentEditor">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>blockType</spirit:name> - <spirit:displayName>Block type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="blockType">AUTO</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataWidth</spirit:name> - <spirit:displayName>Slave S1 Data width</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataWidth">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataWidth2</spirit:name> - <spirit:displayName>Slave S2 Data width</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataWidth2">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dualPort</spirit:name> - <spirit:displayName>Dual-port access</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="dualPort">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>enableDiffWidth</spirit:name> - <spirit:displayName>Enable different width for Dual-port access</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="enableDiffWidth">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_enableDiffWidth</spirit:name> - <spirit:displayName>derived_enableDiffWidth</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="derived_enableDiffWidth">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>initMemContent</spirit:name> - <spirit:displayName>Initialize memory content</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="initMemContent">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>initializationFileName</spirit:name> - <spirit:displayName>User created initialization file</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="initializationFileName">onchip_memory2_0.hex</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>enPRInitMode</spirit:name> - <spirit:displayName>Enable Partial Reconfiguration Initialization Mode</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="enPRInitMode">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>instanceID</spirit:name> - <spirit:displayName>Instance ID</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="instanceID">NONE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>memorySize</spirit:name> - <spirit:displayName>Total memory size</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="memorySize">131072</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readDuringWriteMode</spirit:name> - <spirit:displayName>Read During Write Mode</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="readDuringWriteMode">DONT_CARE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>simAllowMRAMContentsFile</spirit:name> - <spirit:displayName>Allow MRAM contents file for simulation</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="simAllowMRAMContentsFile">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>simMemInitOnlyFilename</spirit:name> - <spirit:displayName>Simulation meminit only has filename</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="simMemInitOnlyFilename">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>singleClockOperation</spirit:name> - <spirit:displayName>Single clock operation</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="singleClockOperation">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_singleClockOperation</spirit:name> - <spirit:displayName>derived_singleClockOperation</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="derived_singleClockOperation">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>slave1Latency</spirit:name> - <spirit:displayName>Slave s1 Latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="slave1Latency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>slave2Latency</spirit:name> - <spirit:displayName>Slave s2 Latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="slave2Latency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>useNonDefaultInitFile</spirit:name> - <spirit:displayName>Enable non-default initialization file</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="useNonDefaultInitFile">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>copyInitFile</spirit:name> - <spirit:displayName> Copy non-default initialization file to generated folder</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="copyInitFile">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>useShallowMemBlocks</spirit:name> - <spirit:displayName>Minimize memory block usage (may impact fmax)</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="useShallowMemBlocks">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writable</spirit:name> - <spirit:displayName>Type</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="writable">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ecc_enabled</spirit:name> - <spirit:displayName>Extend the data width to support ECC bits</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="ecc_enabled">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>resetrequest_enabled</spirit:name> - <spirit:displayName>Reset Request</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="resetrequest_enabled">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>autoInitializationFileName</spirit:name> - <spirit:displayName>autoInitializationFileName</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="autoInitializationFileName">qsys_disturb2_unb2b_station_onchip_memory2_0_onchip_memory2_0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>deviceFamily</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFeatures</spirit:name> - <spirit:displayName>deviceFeatures</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFeatures">ADDRESS_STALL 0 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 0 ALLOW_DIFF_SUFFIX_MIGRATION 0 ASSERT_TIMING_ROUTING_DELAYS_HAS_ALL_EXPECTED_DATA 0 ASSERT_TIMING_ROUTING_DELAYS_NO_AUTOFILL 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DISABLE_CRC_ERROR_DETECTION 0 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_HIGH_SPEED_HSSI 0 ENABLE_PHYSICAL_DESIGN_PLANNER 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 0 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 0 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BCM_PIN_BASED_AIOT_SUPPORT 0 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 0 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 0 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 0 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 0 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 0 HAS_HIER_PARTIAL_RECONFIG_SUPPORT 1 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 0 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_BLOCK 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 1 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 0 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 0 HAS_MIN_TIMING_ANALYSIS_SUPPORT 0 HAS_MISSING_PAD_INFO 0 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 1 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 1 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_QHD_INCREMENTAL_TIMING_CLOSURE_SUPPORT 1 HAS_QHD_IP_REUSE_INTEGRATION_SUPPORT 1 HAS_QHD_PARTITIONS_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_REVC_IO 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 0 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMPLIFIED_PARTIAL_RECONFIG_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SIP_TILE_SUPPORT 0 HAS_SPEED_GRADE_OFFSET 1 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 0 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 1 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 1 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_DQS_IN_BUFFER_REDUCTION 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_REVE_SILICON 0 IS_SDM_LITE 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LUTRAM_DATA_IN_FF_MUST_BE_HIPI 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 1 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MAC_NEGATE_SUPPORT_DISABLED 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_CLOCK_REGION 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PCF 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 PINTABLE_OPTIONAL 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 0 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 0 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 0 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_PW0 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 1 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_MIN_CORNER_DMF_GENERATION 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 0 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 0 SUPPORTS_TIMING_CLOSURE_CORNERS 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORT_UIB 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 U2B2_SUPPORT_NOT_READY 0 USES_ACV_FOR_FLED 0 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 0 USES_DETAILED_REDTAX_WITH_DSPF_ROUTING_MODELS 0 USES_DEV 1 USES_DSPF_ROUTING_MODELS 0 USES_DSP_FROM_PREVIOUS_FAMILY 0 USES_ESTIMATED_TIMING 0 USES_EXTRACTION_CORNERS_WITH_DSPF_ROUTING_MODELS 0 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 1 USES_PARASITIC_LOADS_WITH_DSPF_ROUTING_MODELS 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 1 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 1 USES_RAM_FROM_PREVIOUS_FAMILY 0 USES_SECOND_GENERATION_PART_INFO 1 USES_SECOND_GENERATION_POWER_ANALYZER 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_TIMING_ROUTING_DELAYS 0 USES_U2B2_TIMING_MODELS 1 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 0 USE_ADVANCED_IO_TIMING_BY_DEFAULT 0 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SDM_CONFIGURATION 0 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 0 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WORKS_AROUND_MISSING_RED_FLAGS_IN_DSPF_ROUTING_MODELS 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_set_addr_width</spirit:name> - <spirit:displayName>Slave 1 address width</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="derived_set_addr_width">15</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_set_addr_width2</spirit:name> - <spirit:displayName>Slave 2 address width</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="derived_set_addr_width2">15</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_set_data_width</spirit:name> - <spirit:displayName>Slave 1 data width</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="derived_set_data_width">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_set_data_width2</spirit:name> - <spirit:displayName>Slave 2 data width</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="derived_set_data_width2">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_gui_ram_block_type</spirit:name> - <spirit:displayName>derived_gui_ram_block_type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="derived_gui_ram_block_type">Automatic</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_is_hardcopy</spirit:name> - <spirit:displayName>derived_is_hardcopy</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="derived_is_hardcopy">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_init_file_name</spirit:name> - <spirit:displayName>derived_init_file_name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="derived_init_file_name">onchip_memory2_0.hex</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.CONTENTS_INFO</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CONTENTS_INFO">""</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.DUAL_PORT</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DUAL_PORT">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE">AUTO</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.INIT_CONTENTS_FILE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.INIT_CONTENTS_FILE">onchip_memory2_0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.INIT_MEM_CONTENT</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.INIT_MEM_CONTENT">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.INSTANCE_ID</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.INSTANCE_ID">NONE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.RAM_BLOCK_TYPE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.RAM_BLOCK_TYPE">AUTO</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.READ_DURING_WRITE_MODE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.READ_DURING_WRITE_MODE">DONT_CARE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.SINGLE_CLOCK_OP</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.SINGLE_CLOCK_OP">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.SIZE_MULTIPLE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.SIZE_MULTIPLE">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.SIZE_VALUE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.SIZE_VALUE">131072</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.WRITABLE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.WRITABLE">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR">SIM_DIR</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.memoryInfo.GENERATE_DAT_SYM</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.memoryInfo.GENERATE_DAT_SYM">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.memoryInfo.GENERATE_HEX</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.memoryInfo.GENERATE_HEX">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.memoryInfo.HAS_BYTE_LANE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.memoryInfo.HAS_BYTE_LANE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.memoryInfo.HEX_INSTALL_DIR</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.memoryInfo.HEX_INSTALL_DIR">QPF_DIR</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.memoryInfo.MEM_INIT_FILENAME</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.memoryInfo.MEM_INIT_FILENAME">onchip_memory2_0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>postgeneration.simulation.init_file.param_name</spirit:name> - <spirit:value spirit:format="string" spirit:id="postgeneration.simulation.init_file.param_name">INIT_FILE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>postgeneration.simulation.init_file.type</spirit:name> - <spirit:value spirit:format="string" spirit:id="postgeneration.simulation.init_file.type">MEM_INIT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk1</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset1</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>reset_req</name> - <role>reset_req</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk1</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>clken</name> - <role>clken</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>byteenable</name> - <role>byteenable</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk1</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset1</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>true</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>s1</key> - <value> - <connectionPointName>s1</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='s1' start='0x0' end='0x20000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>17</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="clk1" altera:internal="onchip_memory2_0.clk1" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset1" altera:internal="onchip_memory2_0.reset1" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="reset" altera:internal="reset"></altera:port_mapping> - <altera:port_mapping altera:name="reset_req" altera:internal="reset_req"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="s1" altera:internal="onchip_memory2_0.s1" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="address" altera:internal="address"></altera:port_mapping> - <altera:port_mapping altera:name="byteenable" altera:internal="byteenable"></altera:port_mapping> - <altera:port_mapping altera:name="chipselect" altera:internal="chipselect"></altera:port_mapping> - <altera:port_mapping altera:name="clken" altera:internal="clken"></altera:port_mapping> - <altera:port_mapping altera:name="readdata" altera:internal="readdata"></altera:port_mapping> - <altera:port_mapping altera:name="write" altera:internal="write"></altera:port_mapping> - <altera:port_mapping altera:name="writedata" altera:internal="writedata"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_jesd_ctrl.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_jesd_ctrl.ip deleted file mode 100644 index 7e467470665afbc7b8748080f378a2af2f9ffe6d..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_jesd_ctrl.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_pio_jesd_ctrl</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_pio_jesd_ctrl</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_pio_jesd_ctrl</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_pio_jesd_ctrl.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_pio_jesd_ctrl.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_pio_jesd_ctrl.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_pio_jesd_ctrl.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_pio_jesd_ctrl.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_pio_jesd_ctrl.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_pio_jesd_ctrl.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_pio_jesd_ctrl.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_pio_jesd_ctrl.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_pio_jesd_ctrl.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_pps.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_pps.ip deleted file mode 100644 index 735ad854d4d58c3b680aaa03d5719b4311b3b2d6..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_pps.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_pio_pps</spirit:library> - <spirit:name>pio_pps</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">16</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_pio_pps</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="pio_pps.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="pio_pps.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="pio_pps.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="pio_pps.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="pio_pps.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="pio_pps.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="pio_pps.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="pio_pps.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="pio_pps.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="pio_pps.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_system_info.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_system_info.ip deleted file mode 100644 index 2a9cd4c5f60bdc3482b24933d9431be8893a821c..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_system_info.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_pio_system_info</spirit:library> - <spirit:name>pio_system_info</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">128</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_pio_system_info</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">5</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="pio_system_info.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="pio_system_info.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="pio_system_info.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="pio_system_info.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="pio_system_info.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="pio_system_info.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="pio_system_info.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="pio_system_info.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="pio_system_info.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="pio_system_info.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_wdi.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_wdi.ip deleted file mode 100644 index e3da4df14a9079aa41bb268faa3e5f3604cc15ce..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_wdi.ip +++ /dev/null @@ -1,1253 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_pio_wdi</spirit:library> - <spirit:name>pio_wdi</spirit:name> - <spirit:version>18.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>external_connection</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>out_port</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset_n</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>s1</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write_n</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>write_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>chipselect</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>chipselect</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">NATIVE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>altera_avalon_pio</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_n</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>write_n</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>chipselect</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>out_port</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_pio_wdi</spirit:library> - <spirit:name>altera_avalon_pio</spirit:name> - <spirit:version>18.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>bitClearingEdgeCapReg</spirit:name> - <spirit:displayName>Enable bit-clearing for edge capture register</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="bitClearingEdgeCapReg">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitModifyingOutReg</spirit:name> - <spirit:displayName>Enable individual bit setting/clearing</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="bitModifyingOutReg">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>captureEdge</spirit:name> - <spirit:displayName>Synchronously capture</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="captureEdge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>direction</spirit:name> - <spirit:displayName>Direction</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="direction">Output</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>edgeType</spirit:name> - <spirit:displayName>Edge Type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="edgeType">RISING</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generateIRQ</spirit:name> - <spirit:displayName>Generate IRQ</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="generateIRQ">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>irqType</spirit:name> - <spirit:displayName>IRQ Type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="irqType">LEVEL</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>resetValue</spirit:name> - <spirit:displayName>Output Port Reset Value</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="resetValue">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>simDoTestBenchWiring</spirit:name> - <spirit:displayName>Hardwire PIO inputs in test bench</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="simDoTestBenchWiring">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>simDrivenValue</spirit:name> - <spirit:displayName>Drive inputs to field.</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="simDrivenValue">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>width</spirit:name> - <spirit:displayName>Width (1-32 bits)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="width">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>clockRate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">100000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_has_tri</spirit:name> - <spirit:displayName>derived_has_tri</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="derived_has_tri">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_has_out</spirit:name> - <spirit:displayName>derived_has_out</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="derived_has_out">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_has_in</spirit:name> - <spirit:displayName>derived_has_in</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="derived_has_in">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_do_test_bench_wiring</spirit:name> - <spirit:displayName>derived_do_test_bench_wiring</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="derived_do_test_bench_wiring">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_capture</spirit:name> - <spirit:displayName>derived_capture</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="derived_capture">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_edge_type</spirit:name> - <spirit:displayName>derived_edge_type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="derived_edge_type">NONE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_irq_type</spirit:name> - <spirit:displayName>derived_irq_type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="derived_irq_type">NONE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>derived_has_irq</spirit:name> - <spirit:displayName>derived_has_irq</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="derived_has_irq">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.CAPTURE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.CAPTURE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.DATA_WIDTH</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DATA_WIDTH">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DO_TEST_BENCH_WIRING">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.DRIVEN_SIM_VALUE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.DRIVEN_SIM_VALUE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.EDGE_TYPE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.EDGE_TYPE">NONE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.FREQ</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FREQ">100000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.HAS_IN</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_IN">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.HAS_OUT</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_OUT">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.HAS_TRI</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.HAS_TRI">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.IRQ_TYPE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.IRQ_TYPE">NONE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.RESET_VALUE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.RESET_VALUE">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.compatible</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.compatible">altr,pio-1.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.group</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.group">gpio</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.name</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.name">pio</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.params.altr,gpio-bank-width</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.altr,gpio-bank-width">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.params.resetvalue</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.params.resetvalue">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.vendor</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.vendor">altr</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>external_connection</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>out_port</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>write_n</name> - <role>write_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>NATIVE</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - <cmsisInfo> - <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> -<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > - <peripherals> - <peripheral> - <name>altera_avalon_pio</name><baseAddress>0x00000000</baseAddress> - <addressBlock> - <offset>0x0</offset> - <size>32</size> - <usage>registers</usage> - </addressBlock> - <registers> - <register> - <name>DATA</name> - <displayName>Data</displayName> - <description>Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).</description> - <addressOffset>0x0</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>data</name> - <description>Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>DIRECTION</name> - <displayName>Direction</displayName> - <description>The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.</description> - <addressOffset>0x4</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>direction</name> - <description>Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>IRQ_MASK</name> - <displayName>Interrupt mask</displayName> - <description>Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.</description> - <addressOffset>0x8</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>interruptmask</name> - <description>IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>EDGE_CAP</name> - <displayName>Edge capture</displayName> - <description>Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.</description> - <addressOffset>0xc</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>edgecapture</name> - <description>Edge detection for each input port.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>SET_BIT</name> - <displayName>Outset</displayName> - <description>You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.</description> - <addressOffset>0x10</addressOffset> - <size>32</size> - <access>write-only</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>outset</name> - <description>Specifies which bit of the output port to set.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>write-only</access> - </field> - </fields> - </register> - <register> - <name>CLEAR_BITS</name> - <displayName>Outclear</displayName> - <description>You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.</description> - <addressOffset>0x14</addressOffset> - <size>32</size> - <access>write-only</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>outclear</name> - <description>Specifies which output bit to clear.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>write-only</access> - </field> - </fields> - </register> - </registers> - </peripheral> - </peripherals> -</device> </cmsisSrcFileContents> - <addressGroup></addressGroup> - <cmsisVars/> - </cmsisInfo> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>s1</key> - <value> - <connectionPointName>s1</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='s1' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="clk" altera:internal="pio_wdi.clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="external_connection" altera:internal="pio_wdi.external_connection" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="out_port" altera:internal="out_port"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="pio_wdi.reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="reset_n" altera:internal="reset_n"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="s1" altera:internal="pio_wdi.s1" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="address" altera:internal="address"></altera:port_mapping> - <altera:port_mapping altera:name="chipselect" altera:internal="chipselect"></altera:port_mapping> - <altera:port_mapping altera:name="readdata" altera:internal="readdata"></altera:port_mapping> - <altera:port_mapping altera:name="write_n" altera:internal="write_n"></altera:port_mapping> - <altera:port_mapping altera:name="writedata" altera:internal="writedata"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_bf_weights.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_bf_weights.ip deleted file mode 100644 index bc0ce7fbdf30168f9c997b0f1dd11d2038220d3b..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_bf_weights.ip +++ /dev/null @@ -1,1450 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_bf_weights</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_ram_bf_weights</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">131072</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm_readlatency2</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>14</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>14</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_bf_weights</spirit:library> - <spirit:name>avs_common_mm_readlatency2</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">15</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ - element qsys_disturb2_unb2b_station_bf_weights - { - } -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>2</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>17</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_ram_bf_weights.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_ram_bf_weights.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_ram_bf_weights.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_ram_bf_weights.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_ram_bf_weights.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_ram_bf_weights.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_ram_bf_weights.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_ram_bf_weights.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_ram_bf_weights.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_ram_bf_weights.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.ip deleted file mode 100644 index a2cb1786e73581b075bb7191c54c16fc16ec2c11..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8388608</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>20</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>20</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">21</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>21</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>21</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8388608</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x800000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>23</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_equalizer_gains.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_equalizer_gains.ip deleted file mode 100644 index 3fedd2b97357ee658f4a1fe25e682a9fd84d26e9..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_equalizer_gains.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_equalizer_gains</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_ram_equalizer_gains</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">65536</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm_readlatency2</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_equalizer_gains</spirit:library> - <spirit:name>avs_common_mm_readlatency2</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">14</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>65536</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>2</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>16</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_ram_equalizer_gains.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_ram_equalizer_gains.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_ram_equalizer_gains.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_ram_equalizer_gains.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_ram_equalizer_gains.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_ram_equalizer_gains.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_ram_equalizer_gains.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_ram_equalizer_gains.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_ram_equalizer_gains.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_ram_equalizer_gains.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_fil_coefs.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_fil_coefs.ip deleted file mode 100644 index 71c62556436a6b19e3be775813382db2857269e4..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_fil_coefs.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_fil_coefs</spirit:library> - <spirit:name>qsys_lofar2_unb2b_filterbank_ram_fil_coefs</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">131072</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>14</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>14</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_fil_coefs</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">15</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>17</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_ram_fil_coefs.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_ram_fil_coefs.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_ram_fil_coefs.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_ram_fil_coefs.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_fil_coefs.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_fil_coefs.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_ram_fil_coefs.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_fil_coefs.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_ram_fil_coefs.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_fil_coefs.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_scrap.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_scrap.ip deleted file mode 100644 index 9db3d1d2cfb02359830ab547e3b7e97880add4e5..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_scrap.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_scrap</spirit:library> - <spirit:name>qsys_lofar2_unb2b_filterbank_ram_scrap</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">2048</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>8</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>8</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_scrap</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">9</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>9</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>9</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>2048</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x800' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>11</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_ram_scrap.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_ram_scrap.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_ram_scrap.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_ram_scrap.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_scrap.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_scrap.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_ram_scrap.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_scrap.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_ram_scrap.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_scrap.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_ss_ss_wide.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_ss_ss_wide.ip deleted file mode 100644 index 4d09baecbacb6309c7dfff101cbc65ccf0790e70..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_ss_ss_wide.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_ss_ss_wide</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_ram_ss_ss_wide</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">65536</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_ss_ss_wide</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">14</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>65536</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>16</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_ram_ss_ss_wide.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_ram_ss_ss_wide.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_ram_ss_ss_wide.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_ram_ss_ss_wide.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_ram_ss_ss_wide.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_ram_ss_ss_wide.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_ram_ss_ss_wide.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_ram_ss_ss_wide.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_ram_ss_ss_wide.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_ram_ss_ss_wide.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_bst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_bst.ip deleted file mode 100644 index aa01234ab1c8c2994f53b2cca6b792992b3bd4d4..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_bst.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_st_bst</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_ram_st_bst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">16384</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>11</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>11</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_st_bst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">12</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16384</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x4000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>14</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_ram_st_bst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_ram_st_bst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_ram_st_bst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_ram_st_bst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_ram_st_bst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_ram_st_bst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_ram_st_bst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_ram_st_bst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_ram_st_bst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_ram_st_bst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_histogram.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_histogram.ip deleted file mode 100644 index 5c32cd8cef9c0b2a86744eff6e2747732603e27e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_histogram.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_st_histogram</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_ram_st_histogram</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32768</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>12</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>12</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_st_histogram</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">13</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>13</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>13</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32768</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>15</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_ram_st_histogram.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_ram_st_histogram.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_ram_st_histogram.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_ram_st_histogram.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_ram_st_histogram.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_ram_st_histogram.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_ram_st_histogram.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_ram_st_histogram.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_ram_st_histogram.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_ram_st_histogram.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_sst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_sst.ip deleted file mode 100644 index 3f1200b4201b870742fd03632a19de44ee624c7e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_sst.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_st_sst</spirit:library> - <spirit:name>qsys_lofar2_unb2b_filterbank_ram_st_sst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">131072</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>14</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>14</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_st_sst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">15</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>17</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_ram_st_sst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_ram_st_sst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_ram_st_sst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_ram_st_sst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_st_sst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_st_sst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_ram_st_sst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_st_sst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_ram_st_sst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_st_sst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_xsq.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_xsq.ip deleted file mode 100644 index 33548c11e9b9c6ce4293bb2a6a10c9898d2fd4f3..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_xsq.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_st_xsq</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_ram_st_xsq</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">262144</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>15</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>15</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_st_xsq</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">16</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>262144</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>18</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_ram_st_xsq.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_ram_st_xsq.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_ram_st_xsq.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_ram_st_xsq.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_ram_st_xsq.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_ram_st_xsq.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_ram_st_xsq.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_ram_st_xsq.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_ram_st_xsq.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_ram_st_xsq.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_wg.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_wg.ip deleted file mode 100644 index 92463336ed4f2a0338befb7e098bd7cedc87628d..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_wg.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_wg</spirit:library> - <spirit:name>qsys_lofar2_unb2b_filterbank_ram_wg</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">65536</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_ram_wg</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">14</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>65536</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>16</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_ram_wg.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_aduh_monitor.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_aduh_monitor.ip deleted file mode 100644 index a6ff6876d395aea47cc92f6bb7f04f2e5e8c5bfe..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_aduh_monitor.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_aduh_monitor</spirit:library> - <spirit:name>qsys_lofar2_unb2b_filterbank_reg_aduh_monitor</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>5</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>5</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_aduh_monitor</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_aduh_monitor.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bf_scale.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bf_scale.ip deleted file mode 100644 index 586584ed0b0c78bdb84b1459fd9b00cf2f957487..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bf_scale.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bf_scale</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bf_scale</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">16</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bf_scale</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bf_scale.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bf_scale.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bf_scale.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bf_scale.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bf_scale.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bf_scale.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bf_scale.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bf_scale.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bf_scale.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bf_scale.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.ip deleted file mode 100644 index 2cd03d7aa5a915db7a3a1d5ce523078a942c0400..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.ip deleted file mode 100644 index 4275628adbd9d99fd62a349118e6377a76ca126c..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">128</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">5</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_input.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_input.ip deleted file mode 100644 index 1c6501d26c1d5b0be7678df66628e34d0283e26b..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_input.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_input</spirit:library> - <spirit:name>qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">1024</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>7</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>7</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_input</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>1024</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x400' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_monitor_input.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.ip deleted file mode 100644 index c26f76229f3ae8c24778997cc3460d4436de0e93..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.ip deleted file mode 100644 index ce1d0074e08f751f7d5cf7cd47430aa7c56cc1ea..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.ip deleted file mode 100644 index 2058bd35e3f37b7aaca48cd3bdf0eb56b7bf57a8..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.ip deleted file mode 100644 index dea4b4d5054a0192b5affd9e68dd1529b8baa349..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.ip deleted file mode 100644 index c55b9576ab93399f9a569b9e35fca627f0932427..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">1024</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>7</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>7</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>1024</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x400' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.ip deleted file mode 100644 index a4740cb89c34147ad1de2932d5cb3471ce3626c1..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">512</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>6</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>6</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>512</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x200' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>9</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.ip deleted file mode 100644 index 4fcaf25027a32c317e66a645e75e2ab0897f10b2..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">1024</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>7</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>7</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>1024</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x400' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.ip deleted file mode 100644 index 994e12c8b2a42e88ba3d20fc65f5a42d25e4f500..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">512</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>6</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>6</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>512</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x200' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>9</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.ip deleted file mode 100644 index 33201508e932a756047a7f6eebd7500790518e9f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">128</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">5</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.ip deleted file mode 100644 index e044ab85639a8bb17d1f381f0be01e394853fa0b..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">512</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>6</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>6</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>512</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x200' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>9</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.ip deleted file mode 100644 index 82f740d02ab55035fcaaa0473069037de3245f03..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.ip deleted file mode 100644 index 69fa2ee9edc31b3a78c8c4fbc4fcf645c2805f95..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_scheduler.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_scheduler.ip deleted file mode 100644 index 8670d6e647efd07a372f70eabce443ec713fcdf9..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_scheduler.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_scheduler</spirit:library> - <spirit:name>qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_scheduler</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_bsn_scheduler.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_source_v2.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_source_v2.ip deleted file mode 100644 index 66abbea07bfdf825cf2deb41374070520d49e941..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_source_v2.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_source_v2</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_source_v2</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_source_v2</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_source_v2.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_source_v2.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_source_v2.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_source_v2.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_source_v2.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_source_v2.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_source_v2.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_source_v2.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_source_v2.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_source_v2.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.ip deleted file mode 100644 index 65a00f3658961ae3a5b6ddce4bb899998d54def1..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_crosslets_info.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_crosslets_info.ip deleted file mode 100644 index 98b996f088908a9e7a447a3d7e05419fa415b81f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_crosslets_info.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_crosslets_info</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_crosslets_info</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_crosslets_info</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_crosslets_info.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_crosslets_info.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_crosslets_info.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_crosslets_info.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_crosslets_info.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_crosslets_info.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_crosslets_info.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_crosslets_info.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_crosslets_info.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_crosslets_info.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.ip deleted file mode 100644 index a5cb5fbcddda78170609e203e1188677a16ee308..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">128</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">5</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.ip deleted file mode 100644 index 9158ccd73929b3b250e18470bcd2d0539f633b16..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.ip deleted file mode 100644 index 81d01795c5f1dbdbfa83b0813ed6f44bf328359f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">16</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.ip deleted file mode 100644 index b5364d01615e24f0c151139517ddf875f92aeba2..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">128</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">5</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.ip deleted file mode 100644 index b384e8b6b35055f436ef0cdc0c37559e4681b136..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_selector.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_selector.ip deleted file mode 100644 index 544f98c4a9ff60b35afe12d69652a242cd7860a1..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_selector.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_selector</spirit:library> - <spirit:name>qsys_lofar2_unb2b_filterbank_reg_dp_selector</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_selector</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_selector.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_shiftram.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_shiftram.ip deleted file mode 100644 index feecc18ce06321fece483f59fbadd594778a4b3b..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_shiftram.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_shiftram</spirit:library> - <spirit:name>qsys_lofar2_unb2b_filterbank_reg_dp_shiftram</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">128</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>4</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_shiftram</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">5</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_dp_shiftram.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_xonoff.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_xonoff.ip deleted file mode 100644 index eb3115700cf8a92ee8ef728e02dc0b83cc5bffd1..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_xonoff.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_xonoff</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_dp_xonoff</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">16</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dp_xonoff</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_dp_xonoff.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_dp_xonoff.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_dp_xonoff.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_dp_xonoff.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_dp_xonoff.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_dp_xonoff.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_dp_xonoff.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_dp_xonoff.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_dp_xonoff.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_dp_xonoff.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_ctrl.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_ctrl.ip deleted file mode 100644 index a3f7eec64f213fc8c609b421ed0f2a4839f5a065..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_ctrl.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dpmm_ctrl</spirit:library> - <spirit:name>reg_dpmm_ctrl</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dpmm_ctrl</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="reg_dpmm_ctrl.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="reg_dpmm_ctrl.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="reg_dpmm_ctrl.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="reg_dpmm_ctrl.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="reg_dpmm_ctrl.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="reg_dpmm_ctrl.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="reg_dpmm_ctrl.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="reg_dpmm_ctrl.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="reg_dpmm_ctrl.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="reg_dpmm_ctrl.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_data.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_data.ip deleted file mode 100644 index 9b7594a8e32b7de9278b4c518b98a7ce87dfecff..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_data.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dpmm_data</spirit:library> - <spirit:name>reg_dpmm_data</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_dpmm_data</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="reg_dpmm_data.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="reg_dpmm_data.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="reg_dpmm_data.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="reg_dpmm_data.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="reg_dpmm_data.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="reg_dpmm_data.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="reg_dpmm_data.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="reg_dpmm_data.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="reg_dpmm_data.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="reg_dpmm_data.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_epcs.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_epcs.ip deleted file mode 100644 index aa1cb1d83787e92a61040e91e3a6a3097c4b2ffb..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_epcs.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_epcs</spirit:library> - <spirit:name>reg_epcs</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_epcs</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="reg_epcs.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="reg_epcs.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="reg_epcs.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="reg_epcs.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="reg_epcs.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="reg_epcs.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="reg_epcs.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="reg_epcs.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="reg_epcs.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="reg_epcs.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_temp_sens.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_temp_sens.ip deleted file mode 100644 index 879a3b23cc133f9779829a76238edd1e6e7059ff..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_temp_sens.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_fpga_temp_sens</spirit:library> - <spirit:name>reg_fpga_temp_sens</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_fpga_temp_sens</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="reg_fpga_temp_sens.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="reg_fpga_temp_sens.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="reg_fpga_temp_sens.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="reg_fpga_temp_sens.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="reg_fpga_temp_sens.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="reg_fpga_temp_sens.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="reg_fpga_temp_sens.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="reg_fpga_temp_sens.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="reg_fpga_temp_sens.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="reg_fpga_temp_sens.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_voltage_sens.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_voltage_sens.ip deleted file mode 100644 index 8d121f6f84e777e9736773390f6b77c0a5b84de5..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_voltage_sens.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_fpga_voltage_sens</spirit:library> - <spirit:name>reg_fpga_voltage_sens</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_fpga_voltage_sens</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="reg_fpga_voltage_sens.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="reg_fpga_voltage_sens.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="reg_fpga_voltage_sens.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="reg_fpga_voltage_sens.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="reg_fpga_voltage_sens.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="reg_fpga_voltage_sens.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="reg_fpga_voltage_sens.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="reg_fpga_voltage_sens.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="reg_fpga_voltage_sens.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="reg_fpga_voltage_sens.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_hdr_dat.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_hdr_dat.ip deleted file mode 100644 index 042c7d1bd4db14ecb6fbb478952401d777e58409..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_hdr_dat.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_hdr_dat</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_hdr_dat</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">512</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>6</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>6</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_hdr_dat</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>512</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x200' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>9</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_hdr_dat.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_hdr_dat.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_hdr_dat.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_hdr_dat.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_hdr_dat.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_hdr_dat.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_hdr_dat.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_hdr_dat.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_hdr_dat.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_hdr_dat.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_ctrl.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_ctrl.ip deleted file mode 100644 index c5da8678044b223ac8420b2de09aebe972ef25c9..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_ctrl.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_mmdp_ctrl</spirit:library> - <spirit:name>reg_mmdp_ctrl</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_mmdp_ctrl</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="reg_mmdp_ctrl.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="reg_mmdp_ctrl.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="reg_mmdp_ctrl.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="reg_mmdp_ctrl.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="reg_mmdp_ctrl.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="reg_mmdp_ctrl.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="reg_mmdp_ctrl.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="reg_mmdp_ctrl.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="reg_mmdp_ctrl.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="reg_mmdp_ctrl.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_data.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_data.ip deleted file mode 100644 index 42d78952ed93e6690df65bc9973f57da2ef14c72..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_data.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_mmdp_data</spirit:library> - <spirit:name>reg_mmdp_data</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_mmdp_data</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="reg_mmdp_data.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="reg_mmdp_data.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="reg_mmdp_data.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="reg_mmdp_data.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="reg_mmdp_data.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="reg_mmdp_data.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="reg_mmdp_data.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="reg_mmdp_data.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="reg_mmdp_data.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="reg_mmdp_data.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nof_crosslets.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nof_crosslets.ip deleted file mode 100644 index 5b6ee4d02bf228ba658f224d8fba66fa6ba196cc..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nof_crosslets.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_nof_crosslets</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_nof_crosslets</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_nof_crosslets</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_nof_crosslets.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_nof_crosslets.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_nof_crosslets.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_nof_crosslets.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_nof_crosslets.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_nof_crosslets.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_nof_crosslets.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_nof_crosslets.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_nof_crosslets.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_nof_crosslets.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.ip deleted file mode 100644 index 35b005481554ad3e8b92da7397a5ee77232b20b8..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.ip deleted file mode 100644 index 0b6c61eb37e89f200b15c02e0f5ad13cbcdf290a..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_nw_10gbe_mac</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_nw_10gbe_mac</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32768</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>12</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>12</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_nw_10gbe_mac</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">13</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>13</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>13</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32768</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>15</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_remu.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_remu.ip deleted file mode 100644 index 166aa57778248dd9efe0857c0bacf22decbb79e6..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_remu.ip +++ /dev/null @@ -1,1455 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_remu</spirit:library> - <spirit:name>reg_remu</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_remu</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ - element reg_remu - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="reg_remu.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="reg_remu.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="reg_remu.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="reg_remu.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="reg_remu.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="reg_remu.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="reg_remu.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="reg_remu.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="reg_remu.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="reg_remu.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_info.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_info.ip deleted file mode 100644 index d4e0a6c8b8054e7ead683f2f0b12090630813ae7..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_info.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_ring_info</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_ring_info</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">16</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_ring_info</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_ring_info.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_ring_info.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_ring_info.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_ring_info.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_ring_info.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_ring_info.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_ring_info.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_ring_info.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_ring_info.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_ring_info.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.ip deleted file mode 100644 index 50393f42550f9f68188b7664eaa35611008e66ef..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_ring_lane_info_bf</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_ring_lane_info_bf</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">16</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_ring_lane_info_bf</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.ip deleted file mode 100644 index 2ed99119056598bedde97ba5f4880103811e4208..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_ring_lane_info_xst</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_ring_lane_info_xst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_ring_lane_info_xst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_sdp_info.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_sdp_info.ip deleted file mode 100644 index 2d0f3434f217efef1d5b8373638d961e580d265f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_sdp_info.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_sdp_info</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_disturb_info</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">64</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_sdp_info</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_disturb_info.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_disturb_info.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_disturb_info.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_disturb_info.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_disturb_info.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_disturb_info.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_disturb_info.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_disturb_info.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_disturb_info.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_disturb_info.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_si.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_si.ip deleted file mode 100644 index ce0a6d82e7dd824e019bc49aeb87400659274e7f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_si.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_si</spirit:library> - <spirit:name>qsys_lofar2_unb2b_filterbank_reg_si</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_si</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_si.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_si.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_si.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_si.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_si.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_si.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_si.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_si.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_si.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_si.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_bst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_bst.ip deleted file mode 100644 index 24c93ddc01560eba0f819e44bad711ab5b8ed9d9..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_bst.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_stat_enable_bst</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_stat_enable_bst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">16</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_stat_enable_bst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_bst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_bst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_bst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_bst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_bst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_bst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_bst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_bst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_bst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_bst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_sst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_sst.ip deleted file mode 100644 index 9dea111374ed4c43a09afadfbafa7789095f17f3..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_sst.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_stat_enable_sst</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_stat_enable_sst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_stat_enable_sst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_sst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_sst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_sst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_sst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_sst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_sst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_sst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_sst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_sst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_sst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_xst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_xst.ip deleted file mode 100644 index 600d7b8eb7cfd8d1f6276fcccaab5a3ac1ea10e8..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_xst.ip +++ /dev/null @@ -1,1439 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_stat_enable_xst</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_stat_enable_xst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_stat_enable_xst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_xst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_xst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_xst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_xst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_xst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_xst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_xst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_xst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_xst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_stat_enable_xst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.ip deleted file mode 100644 index 0a7d399e4c45f7c38891b3362d38b4a72596b30d..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">512</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>6</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>6</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>512</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x200' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>9</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.ip deleted file mode 100644 index 6dc79576db309eab404e4096fe643c5b33e48889..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>5</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>5</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.ip deleted file mode 100644 index 8da839f46dc50540b2b883a7d53bf483594b6e21..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>5</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>5</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.ip deleted file mode 100644 index 0c17da1f3037c0104c468e6fbaf07f3f90e462b6..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.ip deleted file mode 100644 index 5d02e8bbb5924d7f74ada56a1a22d14ec2b3e674..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_tr_10gbe_mac</spirit:library> - <spirit:name>qsys_disturb2_unb2b_station_reg_tr_10gbe_mac</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">131072</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>14</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>14</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_tr_10gbe_mac</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">15</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>17</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_pmbus.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_pmbus.ip deleted file mode 100644 index d8fb76e91488fdedce225e5cbe95b8a166460ea8..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_pmbus.ip +++ /dev/null @@ -1,1455 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_unb_pmbus</spirit:library> - <spirit:name>reg_unb_pmbus</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>5</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>5</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_unb_pmbus</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ - element reg_unb_pmbus - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="reg_unb_pmbus.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="reg_unb_pmbus.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="reg_unb_pmbus.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="reg_unb_pmbus.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="reg_unb_pmbus.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="reg_unb_pmbus.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="reg_unb_pmbus.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="reg_unb_pmbus.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="reg_unb_pmbus.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="reg_unb_pmbus.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_sens.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_sens.ip deleted file mode 100644 index a9f861a00f373729fded0b0230f6e486e1043696..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_sens.ip +++ /dev/null @@ -1,1455 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_unb_sens</spirit:library> - <spirit:name>reg_unb_sens</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>5</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>5</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_unb_sens</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ - element reg_unb_sens - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="reg_unb_sens.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="reg_unb_sens.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="reg_unb_sens.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="reg_unb_sens.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="reg_unb_sens.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="reg_unb_sens.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="reg_unb_sens.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="reg_unb_sens.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="reg_unb_sens.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="reg_unb_sens.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wdi.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wdi.ip deleted file mode 100644 index a75930aa1d8f753a13c7d200e29a0900af864cf5..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wdi.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_wdi</spirit:library> - <spirit:name>reg_wdi</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_wdi</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ - element reg_wdi - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="reg_wdi.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="reg_wdi.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="reg_wdi.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="reg_wdi.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="reg_wdi.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="reg_wdi.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="reg_wdi.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="reg_wdi.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="reg_wdi.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="reg_wdi.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wg.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wg.ip deleted file mode 100644 index 9975effe9e591b9fe8f8652c17b5361efb22d388..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wg.ip +++ /dev/null @@ -1,1447 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_wg</spirit:library> - <spirit:name>qsys_lofar2_unb2b_filterbank_reg_wg</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>5</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>5</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_reg_wg</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="qsys_lofar2_unb2b_filterbank_reg_wg.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_rom_system_info.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_rom_system_info.ip deleted file mode 100644 index 15fe1b54e9c629a3cea3126f60d66cb7a56461da..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_rom_system_info.ip +++ /dev/null @@ -1,1455 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_rom_system_info</spirit:library> - <spirit:name>rom_system_info</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>address</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_address_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_clk_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>mem</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_write</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>read</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_read</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>avs_mem_readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32768</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>read</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_read_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>readdata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_readdata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_reset_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>system_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>csi_system_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>write</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_write_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>writedata</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>coe_writedata_export</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>avs_common_mm</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>csi_system_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>csi_system_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>12</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_write</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_read</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>avs_mem_readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_reset_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_clk_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_address_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>12</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_write_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_writedata_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_read_export</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>coe_readdata_export</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>31</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>ASTRON</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_rom_system_info</spirit:library> - <spirit:name>avs_common_mm</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>g_adr_w</spirit:name> - <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">13</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>g_dat_w</spirit:name> - <spirit:displayName>g_dat_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> - <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ - element rom_system_info - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>13</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>13</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32768</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>15</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="address" altera:internal="rom_system_info.address" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="clk" altera:internal="rom_system_info.clk" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="rom_system_info.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> - <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="read" altera:internal="rom_system_info.read" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="readdata" altera:internal="rom_system_info.readdata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="rom_system_info.reset" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system" altera:internal="rom_system_info.system" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="system_reset" altera:internal="rom_system_info.system_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="write" altera:internal="rom_system_info.write" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="writedata" altera:internal="rom_system_info.writedata" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_timer_0.ip b/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_timer_0.ip deleted file mode 100644 index db0b24f91b71fe40d1e10dee96551bafc3c43f42..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_timer_0.ip +++ /dev/null @@ -1,1361 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_timer_0</spirit:library> - <spirit:name>timer_0</spirit:name> - <spirit:version>18.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>irq</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="interrupt" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>irq</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>irq</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedAddressablePoint</spirit:name> - <spirit:displayName>Associated addressable interface</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedAddressablePoint">qsys_disturb2_unb2b_station_timer_0.s1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedReceiverOffset</spirit:name> - <spirit:displayName>Bridged receiver offset</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bridgedReceiverOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToReceiver</spirit:name> - <spirit:displayName>Bridges to receiver</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToReceiver"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>irqScheme</spirit:name> - <spirit:displayName>Interrupt scheme</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="irqScheme">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset_n</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>reset_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>s1</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>address</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>address</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>writedata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>writedata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>readdata</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>readdata</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>chipselect</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>chipselect</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>write_n</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>write_n</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>addressAlignment</spirit:name> - <spirit:displayName>Slave addressing</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressAlignment">NATIVE</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressGroup</spirit:name> - <spirit:displayName>Address group</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressSpan</spirit:name> - <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>addressUnits</spirit:name> - <spirit:displayName>Address units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>alwaysBurstMaxBurst</spirit:name> - <spirit:displayName>Always burst maximum burst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>Associated reset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bitsPerSymbol</spirit:name> - <spirit:displayName>Bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgedAddressOffset</spirit:name> - <spirit:displayName>Bridged Address Offset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bridgesToMaster</spirit:name> - <spirit:displayName>Bridges to master</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstOnBurstBoundariesOnly</spirit:name> - <spirit:displayName>Burst on burst boundaries only</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>burstcountUnits</spirit:name> - <spirit:displayName>Burstcount units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>constantBurstBehavior</spirit:name> - <spirit:displayName>Constant burst behavior</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>explicitAddressSpan</spirit:name> - <spirit:displayName>Explicit address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>holdTime</spirit:name> - <spirit:displayName>Hold</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>interleaveBursts</spirit:name> - <spirit:displayName>Interleave bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isBigEndian</spirit:name> - <spirit:displayName>Big endian</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isFlash</spirit:name> - <spirit:displayName>Flash memory</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isMemoryDevice</spirit:name> - <spirit:displayName>Memory device</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>isNonVolatileStorage</spirit:name> - <spirit:displayName>Non-volatile storage</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>linewrapBursts</spirit:name> - <spirit:displayName>Linewrap bursts</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingReadTransactions</spirit:name> - <spirit:displayName>Maximum pending read transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maximumPendingWriteTransactions</spirit:name> - <spirit:displayName>Maximum pending write transactions</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumReadLatency</spirit:name> - <spirit:displayName>minimumReadLatency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumResponseLatency</spirit:name> - <spirit:displayName>Minimum response latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>minimumUninterruptedRunLength</spirit:name> - <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>printableDevice</spirit:name> - <spirit:displayName>Can receive stdout/stderr</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readLatency</spirit:name> - <spirit:displayName>Read latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitStates</spirit:name> - <spirit:displayName>Read wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitStates">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readWaitTime</spirit:name> - <spirit:displayName>Read wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerIncomingSignals</spirit:name> - <spirit:displayName>Register incoming signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>registerOutgoingSignals</spirit:name> - <spirit:displayName>Register outgoing signals</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>setupTime</spirit:name> - <spirit:displayName>Setup</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timingUnits</spirit:name> - <spirit:displayName>Timing units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>transparentBridge</spirit:name> - <spirit:displayName>Transparent bridge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>waitrequestAllowance</spirit:name> - <spirit:displayName>Waitrequest allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>wellBehavedWaitrequest</spirit:name> - <spirit:displayName>Well-behaved waitrequest</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeLatency</spirit:name> - <spirit:displayName>Write latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitStates</spirit:name> - <spirit:displayName>Write wait states</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>writeWaitTime</spirit:name> - <spirit:displayName>Write wait</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isFlash</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.configuration.isTimerDevice</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isTimerDevice">1</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>altera_avalon_timer</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>reset_n</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>address</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>2</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>writedata</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>15</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>readdata</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>15</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>chipselect</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>write_n</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>irq</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>qsys_disturb2_unb2b_station_timer_0</spirit:library> - <spirit:name>altera_avalon_timer</spirit:name> - <spirit:version>18.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>alwaysRun</spirit:name> - <spirit:displayName>No Start/Stop control bits</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="alwaysRun">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>counterSize</spirit:name> - <spirit:displayName>Counter Size</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="counterSize">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>fixedPeriod</spirit:name> - <spirit:displayName>Fixed period</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="fixedPeriod">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>period</spirit:name> - <spirit:displayName>Period</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="period">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>periodUnits</spirit:name> - <spirit:displayName>Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="periodUnits">MSEC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>resetOutput</spirit:name> - <spirit:displayName>System reset on timeout (Watchdog)</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="resetOutput">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>snapshot</spirit:name> - <spirit:displayName>Readable snapshot</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="snapshot">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timeoutPulseOutput</spirit:name> - <spirit:displayName>Timeout pulse (1 clock wide)</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="timeoutPulseOutput">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemFrequency</spirit:name> - <spirit:displayName>systemFrequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemFrequency">100000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>watchdogPulse</spirit:name> - <spirit:displayName>Watchdog Timer Pulse Length</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="watchdogPulse">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>timerPreset</spirit:name> - <spirit:displayName>Presets</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="timerPreset">SIMPLE_PERIODIC_INTERRUPT</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>periodUnitsString</spirit:name> - <spirit:displayName>periodUnitsString</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="periodUnitsString">ms</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>valueInSecond</spirit:name> - <spirit:displayName>valueInSecond</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="valueInSecond">0.001</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>loadValue</spirit:name> - <spirit:displayName>loadValue</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="loadValue">99999</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mult</spirit:name> - <spirit:displayName>mult</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="mult">0.001</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ticksPerSec</spirit:name> - <spirit:displayName>ticksPerSec</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="ticksPerSec">1000.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>slave_address_width</spirit:name> - <spirit:displayName>slave_address_width</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="slave_address_width">3</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.ALWAYS_RUN</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.ALWAYS_RUN">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.COUNTER_SIZE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.COUNTER_SIZE">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.FIXED_PERIOD</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FIXED_PERIOD">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.FREQ</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.FREQ">100000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.LOAD_VALUE</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.LOAD_VALUE">99999</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.MULT</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.MULT">0.001</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.PERIOD</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.PERIOD">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.PERIOD_UNITS</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.PERIOD_UNITS">ms</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.RESET_OUTPUT</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.RESET_OUTPUT">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.SNAPSHOT</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.SNAPSHOT">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.TICKS_PER_SEC</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.TICKS_PER_SEC">1000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.vendor</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.vendor">altr</spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_assignments> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ - element timer_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>qsys_disturb2_unb2b_station_timer_0.s1</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>write_n</name> - <role>write_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isTimerDevice</key> - <value>1</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>NATIVE</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - <cmsisInfo> - <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> -<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > - <peripherals> - <peripheral> - <name>altera_avalon_timer</name><baseAddress>0x00000000</baseAddress> - <addressBlock> - <offset>0x0</offset> - <size>16</size> - <usage>registers</usage> - </addressBlock> - <registers> - <register> - <name>status</name> - <displayName>Status</displayName> - <description>The status register has two defined bits. TO (timeout), RUN</description> - <addressOffset>0x0</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - <fields> - <field><name>TO</name> - <description>The TO (timeout) bit is set to 1 when the internal counter reaches zero. Once set by a timeout event, the TO bit stays set until explicitly cleared by a master peripheral. Write zero to the status register to clear the TO bit.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - <readAction>clear</readAction> - </field> - <field><name>RUN</name> - <description>The RUN bit reads as 1 when the internal counter is running; otherwise this bit reads as 0. The RUN bit is not changed by - a write operation to the status register.</description> - <bitOffset>1</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field> - <name>Reserved</name> - <description>Reserved</description> - <bitOffset>2</bitOffset> - <bitWidth>14</bitWidth> - <access>read-write</access> - <parameters> - <parameter> - <name>Reserved</name> - <value>true</value> - </parameter> - </parameters> - </field> - </fields> - </register> - <register> - <name>control</name> - <description>The control register has four defined bits. ITO (Timeout Interrupt), CONT (continue), START, STOP</description> - <addressOffset>0x1</addressOffset> - <size>16</size> - <access>read-write</access> - <reset> - <value>0x0</value> - </reset> - <field> - <name>ITO</name> - <description>If the ITO bit is 1, the interval timer core generates an IRQ when the status register's TO bit is 1. When the ITO bit is 0, the timer does not generate IRQs.</description> - <bitOffset>0</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field> - <name>CONT</name> - <description>The CONT (continuous) bit determines how the internal counter behaves when it reaches zero. If the CONT bit is 1, the counter runs continuously until it is stopped by the STOP bit. If CONT is 0, the counter stops after it reaches zero. When the counter reaches zero, it reloads with the value stored in the period registers, regardless of the CONT bit.</description> - <bitOffset>1</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field> - <name>START</name> - <description>Writing a 1 to the START bit starts the internal counter running (counting down). The START bit is an event bit that enables the counter when a write operation is performed. If the timer is stopped, writing a 1 to the START bit causes the timer to restart counting from the number currently stored in its counter. If the timer is already running, writing a 1 to START has no effect. Writing 0 to the START bit has no effect.</description> - <bitOffset>2</bitOffset> - <bitWidth>1</bitWidth> - <access>write-only</access> - </field> - <field> - <name>STOP</name> - <description>Writing a 1 to the STOP bit stops the internal counter. The STOP bit is an event bit that causes the counter to stop when a write operation is performed. If the timer is already stopped, writing a 1 to STOP has no effect. Writing a 0 to the stop bit has no effect. If the timer hardware is configured with Start/Stop control bits off, writing the STOP bit has no effect.</description> - <bitOffset>3</bitOffset> - <bitWidth>1</bitWidth> - <access>write-only</access> - </field> - <field> - <name>Reserved</name> - <description>Reserved</description> - <bitOffset>4</bitOffset> - <bitWidth>12</bitWidth> - <access>read-write</access> - <parameters> - <parameter> - <name>Reserved</name> - <value>true</value> - </parameter> - </parameters> - </field> - </register> - <register> - <name>${period_name_0}</name> - <description>The period_n registers together store the timeout period value when a write operation to one of the period_n register or the internal counter reaches 0. The timer's actual period is one cycle greater than the value stored in the period_n registers because the counter assumes the value zero for one clock cycle. Writing to one of the period_n registers stops the internal counter, except when the hardware is configured with Start/Stop control bits off. If Start/Stop control bits is off, writing either register does not stop the counter. When the hardware is configured with Writeable period disabled, writing to one of the period_n registers causes the counter to reset to the fixed Timeout Period specified at system generation time.</description> - <addressOffset>0x2</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>${period_name_0_reset_value}</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${period_name_1}</name> - <description></description> - <addressOffset>0x3</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>${period_name_1_reset_value}</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${period_snap_0}</name> - <description></description> - <addressOffset>0x4</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>${period_snap_0_reset_value}</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${period_snap_1}</name> - <description></description> - <addressOffset>0x5</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>${period_snap_1_reset_value}</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${snap_0}</name> - <description>A master peripheral may request a coherent snapshot of the current internal counter by performing a write operation (write-data ignored) to one of the snap_n registers. When a write occurs, the value of the counter is copied to snap_n registers. The snapshot occurs whether or not the counter is running. Requesting a snapshot does not change the internal counter's operation.</description> - <addressOffset>0x6</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${snap_1}</name> - <description></description> - <addressOffset>0x7</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${snap_2}</name> - <description></description> - <addressOffset>0x8</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${snap_3}</name> - <description></description> - <addressOffset>0x9</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - </register> - </registers> - </peripheral> - </peripherals> -</device> </cmsisSrcFileContents> - <addressGroup></addressGroup> - <cmsisVars> - <entry> - <key>period_name_1_reset_value</key> - <value>0x1</value> - </entry> - <entry> - <key>snap_0</key> - <value>Reserved</value> - </entry> - <entry> - <key>period_name_0_reset_value</key> - <value>0x869f</value> - </entry> - <entry> - <key>snap_2</key> - <value>Reserved</value> - </entry> - <entry> - <key>snap_1</key> - <value>Reserved</value> - </entry> - <entry> - <key>snap_3</key> - <value>Reserved</value> - </entry> - <entry> - <key>period_name_0</key> - <value>periodl</value> - </entry> - <entry> - <key>period_name_1</key> - <value>periodh</value> - </entry> - <entry> - <key>period_snap_1</key> - <value>snaph</value> - </entry> - <entry> - <key>period_snap_1_reset_value</key> - <value>0x0</value> - </entry> - <entry> - <key>period_snap_0_reset_value</key> - <value>0x0</value> - </entry> - <entry> - <key>period_snap_0</key> - <value>snapl</value> - </entry> - </cmsisVars> - </cmsisInfo> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>s1</key> - <value> - <connectionPointName>s1</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='s1' start='0x0' end='0x20' datawidth='16' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>16</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="clk" altera:internal="timer_0.clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="clk" altera:internal="clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="irq" altera:internal="timer_0.irq" altera:type="interrupt" altera:dir="end"> - <altera:port_mapping altera:name="irq" altera:internal="irq"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="reset" altera:internal="timer_0.reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="reset_n" altera:internal="reset_n"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="s1" altera:internal="timer_0.s1" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="address" altera:internal="address"></altera:port_mapping> - <altera:port_mapping altera:name="chipselect" altera:internal="chipselect"></altera:port_mapping> - <altera:port_mapping altera:name="readdata" altera:internal="readdata"></altera:port_mapping> - <altera:port_mapping altera:name="write_n" altera:internal="write_n"></altera:port_mapping> - <altera:port_mapping altera:name="writedata" altera:internal="writedata"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/disturb2/designs/disturb2_unb2b_station/quartus/qsys_disturb2_unb2b_station.qsys b/applications/disturb2/designs/disturb2_unb2b_station/quartus/qsys_disturb2_unb2b_station.qsys deleted file mode 100644 index 5632db347298b491caf5a1dc179b546cfea2b2d5..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/quartus/qsys_disturb2_unb2b_station.qsys +++ /dev/null @@ -1,56803 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<system name="qsys_disturb2_unb2b_station"> - <component - name="$${FILENAME}" - displayName="$${FILENAME}" - version="1.0" - description="" - tags="" - categories="System" - tool="QsysPro" /> - <parameter name="bonusData"><![CDATA[bonusData -{ - element avs_eth_0 - { - datum _sortIndex - { - value = "6"; - type = "int"; - } - } - element avs_eth_0.mms_ram - { - datum baseAddress - { - value = "8192"; - type = "String"; - } - } - element avs_eth_0.mms_reg - { - datum baseAddress - { - value = "12352"; - type = "String"; - } - } - element avs_eth_0.mms_tse - { - datum baseAddress - { - value = "4096"; - type = "String"; - } - } - element clk_0 - { - datum _sortIndex - { - value = "0"; - type = "int"; - } - } - element cpu_0 - { - datum _sortIndex - { - value = "1"; - type = "int"; - } - } - element cpu_0.debug_mem_slave - { - datum _lockedAddress - { - value = "1"; - type = "boolean"; - } - datum baseAddress - { - value = "14336"; - type = "String"; - } - } - element jesd204b - { - datum _sortIndex - { - value = "21"; - type = "int"; - } - } - element jesd204b.mem - { - datum baseAddress - { - value = "1245184"; - type = "String"; - } - } - element jtag_uart_0 - { - datum _sortIndex - { - value = "3"; - type = "int"; - } - } - element jtag_uart_0.avalon_jtag_slave - { - datum baseAddress - { - value = "1266576"; - type = "String"; - } - } - element jtag_uart_0.irq - { - datum _tags - { - value = ""; - type = "String"; - } - } - element onchip_memory2_0 - { - datum _sortIndex - { - value = "2"; - type = "int"; - } - } - element onchip_memory2_0.s1 - { - datum _lockedAddress - { - value = "1"; - type = "boolean"; - } - datum baseAddress - { - value = "131072"; - type = "String"; - } - } - element pio_jesd_ctrl - { - datum _sortIndex - { - value = "44"; - type = "int"; - } - } - element pio_jesd_ctrl.mem - { - datum baseAddress - { - value = "1266504"; - type = "String"; - } - } - element pio_pps - { - datum _sortIndex - { - value = "12"; - type = "int"; - } - datum sopceditor_expanded - { - value = "1"; - type = "boolean"; - } - } - element pio_pps.mem - { - datum baseAddress - { - value = "1266464"; - type = "String"; - } - } - element pio_system_info - { - datum _sortIndex - { - value = "11"; - type = "int"; - } - datum sopceditor_expanded - { - value = "0"; - type = "boolean"; - } - } - element pio_system_info.mem - { - datum _lockedAddress - { - value = "1"; - type = "boolean"; - } - } - element pio_wdi - { - datum _sortIndex - { - value = "4"; - type = "int"; - } - } - element pio_wdi.s1 - { - datum baseAddress - { - value = "12304"; - type = "String"; - } - } - element ram_bf_weights - { - datum _sortIndex - { - value = "79"; - type = "int"; - } - } - element ram_bf_weights.mem - { - datum baseAddress - { - value = "524288"; - type = "String"; - } - } - element ram_diag_data_buffer_bsn - { - datum _sortIndex - { - value = "43"; - type = "int"; - } - } - element ram_diag_data_buffer_bsn.mem - { - datum baseAddress - { - value = "8388608"; - type = "String"; - } - } - element ram_equalizer_gains - { - datum _sortIndex - { - value = "80"; - type = "int"; - } - } - element ram_equalizer_gains.mem - { - datum baseAddress - { - value = "1048576"; - type = "String"; - } - } - element ram_fil_coefs - { - datum _sortIndex - { - value = "31"; - type = "int"; - } - } - element ram_fil_coefs.mem - { - datum baseAddress - { - value = "786432"; - type = "String"; - } - } - element ram_scrap - { - datum _sortIndex - { - value = "32"; - type = "int"; - } - } - element ram_scrap.mem - { - datum baseAddress - { - value = "2048"; - type = "String"; - } - } - element ram_ss_ss_wide - { - datum _sortIndex - { - value = "34"; - type = "int"; - } - } - element ram_ss_ss_wide.mem - { - datum baseAddress - { - value = "1114112"; - type = "String"; - } - } - element ram_st_bst - { - datum _sortIndex - { - value = "38"; - type = "int"; - } - } - element ram_st_bst.mem - { - datum baseAddress - { - value = "16384"; - type = "String"; - } - } - element ram_st_histogram - { - datum _sortIndex - { - value = "54"; - type = "int"; - } - } - element ram_st_histogram.mem - { - datum baseAddress - { - value = "32768"; - type = "String"; - } - } - element ram_st_sst - { - datum _sortIndex - { - value = "29"; - type = "int"; - } - } - element ram_st_sst.mem - { - datum baseAddress - { - value = "917504"; - type = "String"; - } - } - element ram_st_xsq - { - datum _sortIndex - { - value = "50"; - type = "int"; - } - } - element ram_st_xsq.mem - { - datum baseAddress - { - value = "262144"; - type = "String"; - } - } - element ram_wg - { - datum _sortIndex - { - value = "27"; - type = "int"; - } - } - element ram_wg.mem - { - datum baseAddress - { - value = "1179648"; - type = "String"; - } - } - element reg_aduh_monitor - { - datum _sortIndex - { - value = "28"; - type = "int"; - } - } - element reg_aduh_monitor.mem - { - datum baseAddress - { - value = "1264384"; - type = "String"; - } - } - element reg_bf_scale - { - datum _sortIndex - { - value = "35"; - type = "int"; - } - } - element reg_bf_scale.mem - { - datum baseAddress - { - value = "1266448"; - type = "String"; - } - } - element reg_bsn_align_v2_bf - { - datum _sortIndex - { - value = "71"; - type = "int"; - } - } - element reg_bsn_align_v2_bf.mem - { - datum baseAddress - { - value = "1266080"; - type = "String"; - } - } - element reg_bsn_align_v2_xsub - { - datum _sortIndex - { - value = "56"; - type = "int"; - } - } - element reg_bsn_align_v2_xsub.mem - { - datum baseAddress - { - value = "1265152"; - type = "String"; - } - } - element reg_bsn_monitor_input - { - datum _sortIndex - { - value = "22"; - type = "int"; - } - } - element reg_bsn_monitor_input.mem - { - datum baseAddress - { - value = "1261568"; - type = "String"; - } - } - element reg_bsn_monitor_v2_aligned_bf - { - datum _sortIndex - { - value = "73"; - type = "int"; - } - } - element reg_bsn_monitor_v2_aligned_bf.mem - { - datum baseAddress - { - value = "1265536"; - type = "String"; - } - } - element reg_bsn_monitor_v2_aligned_xsub - { - datum _sortIndex - { - value = "58"; - type = "int"; - } - } - element reg_bsn_monitor_v2_aligned_xsub.mem - { - datum baseAddress - { - value = "1266208"; - type = "String"; - } - } - element reg_bsn_monitor_v2_beamlet_output - { - datum _sortIndex - { - value = "70"; - type = "int"; - } - } - element reg_bsn_monitor_v2_beamlet_output.mem - { - datum baseAddress - { - value = "1265600"; - type = "String"; - } - } - element reg_bsn_monitor_v2_bst_offload - { - datum _sortIndex - { - value = "69"; - type = "int"; - } - } - element reg_bsn_monitor_v2_bst_offload.mem - { - datum baseAddress - { - value = "1265664"; - type = "String"; - } - } - element reg_bsn_monitor_v2_ring_rx_bf - { - datum _sortIndex - { - value = "75"; - type = "int"; - } - } - element reg_bsn_monitor_v2_ring_rx_bf.mem - { - datum baseAddress - { - value = "13312"; - type = "String"; - } - } - element reg_bsn_monitor_v2_ring_rx_xst - { - datum _sortIndex - { - value = "60"; - type = "int"; - } - } - element reg_bsn_monitor_v2_ring_rx_xst.mem - { - datum baseAddress - { - value = "12800"; - type = "String"; - } - } - element reg_bsn_monitor_v2_ring_tx_bf - { - datum _sortIndex - { - value = "76"; - type = "int"; - } - } - element reg_bsn_monitor_v2_ring_tx_bf.mem - { - datum baseAddress - { - value = "1024"; - type = "String"; - } - } - element reg_bsn_monitor_v2_ring_tx_xst - { - datum _sortIndex - { - value = "61"; - type = "int"; - } - } - element reg_bsn_monitor_v2_ring_tx_xst.mem - { - datum baseAddress - { - value = "512"; - type = "String"; - } - } - element reg_bsn_monitor_v2_rx_align_bf - { - datum _sortIndex - { - value = "72"; - type = "int"; - } - } - element reg_bsn_monitor_v2_rx_align_bf.mem - { - datum baseAddress - { - value = "12416"; - type = "String"; - } - } - element reg_bsn_monitor_v2_rx_align_xsub - { - datum _sortIndex - { - value = "57"; - type = "int"; - } - } - element reg_bsn_monitor_v2_rx_align_xsub.mem - { - datum baseAddress - { - value = "1262592"; - type = "String"; - } - } - element reg_bsn_monitor_v2_sst_offload - { - datum _sortIndex - { - value = "68"; - type = "int"; - } - } - element reg_bsn_monitor_v2_sst_offload.mem - { - datum baseAddress - { - value = "1266112"; - type = "String"; - } - } - element reg_bsn_monitor_v2_xst_offload - { - datum _sortIndex - { - value = "67"; - type = "int"; - } - } - element reg_bsn_monitor_v2_xst_offload.mem - { - datum baseAddress - { - value = "1266176"; - type = "String"; - } - } - element reg_bsn_scheduler - { - datum _sortIndex - { - value = "25"; - type = "int"; - } - } - element reg_bsn_scheduler.mem - { - datum baseAddress - { - value = "1266528"; - type = "String"; - } - } - element reg_bsn_source_v2 - { - datum _sortIndex - { - value = "24"; - type = "int"; - } - } - element reg_bsn_source_v2.mem - { - datum baseAddress - { - value = "1266240"; - type = "String"; - } - } - element reg_bsn_sync_scheduler_xsub - { - datum _sortIndex - { - value = "53"; - type = "int"; - } - } - element reg_bsn_sync_scheduler_xsub.mem - { - datum baseAddress - { - value = "1265792"; - type = "String"; - } - } - element reg_crosslets_info - { - datum _sortIndex - { - value = "49"; - type = "int"; - } - } - element reg_crosslets_info.mem - { - datum baseAddress - { - value = "1265856"; - type = "String"; - } - } - element reg_diag_data_buffer_bsn - { - datum _sortIndex - { - value = "42"; - type = "int"; - } - } - element reg_diag_data_buffer_bsn.mem - { - datum baseAddress - { - value = "1265280"; - type = "String"; - } - } - element reg_dp_block_validate_bsn_at_sync_bf - { - datum _sortIndex - { - value = "78"; - type = "int"; - } - } - element reg_dp_block_validate_bsn_at_sync_bf.mem - { - datum baseAddress - { - value = "1266048"; - type = "String"; - } - } - element reg_dp_block_validate_bsn_at_sync_bf.write - { - datum _tags - { - value = ""; - type = "String"; - } - } - element reg_dp_block_validate_bsn_at_sync_xst - { - datum _sortIndex - { - value = "63"; - type = "int"; - } - } - element reg_dp_block_validate_bsn_at_sync_xst.mem - { - datum baseAddress - { - value = "1266400"; - type = "String"; - } - } - element reg_dp_block_validate_err_bf - { - datum _sortIndex - { - value = "77"; - type = "int"; - } - } - element reg_dp_block_validate_err_bf.mem - { - datum baseAddress - { - value = "128"; - type = "String"; - } - } - element reg_dp_block_validate_err_xst - { - datum _sortIndex - { - value = "62"; - type = "int"; - } - } - element reg_dp_block_validate_err_xst.mem - { - datum baseAddress - { - value = "1265728"; - type = "String"; - } - } - element reg_dp_selector - { - datum _sortIndex - { - value = "33"; - type = "int"; - } - } - element reg_dp_selector.mem - { - datum baseAddress - { - value = "1266520"; - type = "String"; - } - } - element reg_dp_shiftram - { - datum _sortIndex - { - value = "26"; - type = "int"; - } - } - element reg_dp_shiftram.mem - { - datum baseAddress - { - value = "1265408"; - type = "String"; - } - } - element reg_dp_xonoff - { - datum _sortIndex - { - value = "37"; - type = "int"; - } - } - element reg_dp_xonoff.mem - { - datum baseAddress - { - value = "1266432"; - type = "String"; - } - } - element reg_dpmm_ctrl - { - datum _sortIndex - { - value = "16"; - type = "int"; - } - datum sopceditor_expanded - { - value = "0"; - type = "boolean"; - } - } - element reg_dpmm_ctrl.mem - { - datum baseAddress - { - value = "1266568"; - type = "String"; - } - } - element reg_dpmm_data - { - datum _sortIndex - { - value = "17"; - type = "int"; - } - datum sopceditor_expanded - { - value = "0"; - type = "boolean"; - } - } - element reg_dpmm_data.mem - { - datum baseAddress - { - value = "1266560"; - type = "String"; - } - } - element reg_epcs - { - datum _sortIndex - { - value = "15"; - type = "int"; - } - datum sopceditor_expanded - { - value = "0"; - type = "boolean"; - } - } - element reg_epcs.mem - { - datum baseAddress - { - value = "1266304"; - type = "String"; - } - } - element reg_fpga_temp_sens - { - datum _sortIndex - { - value = "9"; - type = "int"; - } - } - element reg_fpga_temp_sens.mem - { - datum baseAddress - { - value = "1266272"; - type = "String"; - } - } - element reg_fpga_voltage_sens - { - datum _sortIndex - { - value = "20"; - type = "int"; - } - datum sopceditor_expanded - { - value = "0"; - type = "boolean"; - } - } - element reg_fpga_voltage_sens.mem - { - datum baseAddress - { - value = "1265984"; - type = "String"; - } - } - element reg_hdr_dat - { - datum _sortIndex - { - value = "36"; - type = "int"; - } - } - element reg_hdr_dat.mem - { - datum baseAddress - { - value = "1263616"; - type = "String"; - } - } - element reg_mmdp_ctrl - { - datum _sortIndex - { - value = "18"; - type = "int"; - } - datum sopceditor_expanded - { - value = "0"; - type = "boolean"; - } - } - element reg_mmdp_ctrl.mem - { - datum baseAddress - { - value = "1266552"; - type = "String"; - } - } - element reg_mmdp_data - { - datum _sortIndex - { - value = "19"; - type = "int"; - } - datum sopceditor_expanded - { - value = "0"; - type = "boolean"; - } - } - element reg_mmdp_data.mem - { - datum baseAddress - { - value = "1266544"; - type = "String"; - } - } - element reg_nof_crosslets - { - datum _sortIndex - { - value = "55"; - type = "int"; - } - } - element reg_nof_crosslets.mem - { - datum baseAddress - { - value = "1266480"; - type = "String"; - } - } - element reg_nw_10gbe_eth10g - { - datum _sortIndex - { - value = "40"; - type = "int"; - } - } - element reg_nw_10gbe_eth10g.mem - { - datum baseAddress - { - value = "1266512"; - type = "String"; - } - } - element reg_nw_10gbe_mac - { - datum _sortIndex - { - value = "41"; - type = "int"; - } - } - element reg_nw_10gbe_mac.mem - { - datum baseAddress - { - value = "98304"; - type = "String"; - } - } - element reg_remu - { - datum _sortIndex - { - value = "14"; - type = "int"; - } - datum sopceditor_expanded - { - value = "0"; - type = "boolean"; - } - } - element reg_remu.mem - { - datum baseAddress - { - value = "1266336"; - type = "String"; - } - } - element reg_ring_info - { - datum _sortIndex - { - value = "64"; - type = "int"; - } - } - element reg_ring_info.mem - { - datum baseAddress - { - value = "1266384"; - type = "String"; - } - } - element reg_ring_lane_info_bf - { - datum _sortIndex - { - value = "74"; - type = "int"; - } - } - element reg_ring_lane_info_bf.mem - { - datum baseAddress - { - value = "1266368"; - type = "String"; - } - } - element reg_ring_lane_info_xst - { - datum _sortIndex - { - value = "59"; - type = "int"; - } - } - element reg_ring_lane_info_xst.mem - { - datum baseAddress - { - value = "12296"; - type = "String"; - } - } - element reg_sdp_info - { - datum _sortIndex - { - value = "39"; - type = "int"; - } - } - element reg_sdp_info.mem - { - datum baseAddress - { - value = "1265920"; - type = "String"; - } - } - element reg_si - { - datum _sortIndex - { - value = "30"; - type = "int"; - } - } - element reg_si.mem - { - datum baseAddress - { - value = "1266536"; - type = "String"; - } - } - element reg_stat_enable_bst - { - datum _sortIndex - { - value = "47"; - type = "int"; - } - } - element reg_stat_enable_bst.mem - { - datum baseAddress - { - value = "1266416"; - type = "String"; - } - } - element reg_stat_enable_sst - { - datum _sortIndex - { - value = "45"; - type = "int"; - } - } - element reg_stat_enable_sst.mem - { - datum baseAddress - { - value = "1266496"; - type = "String"; - } - } - element reg_stat_enable_xst - { - datum _sortIndex - { - value = "51"; - type = "int"; - } - } - element reg_stat_enable_xst.mem - { - datum baseAddress - { - value = "1266488"; - type = "String"; - } - } - element reg_stat_hdr_dat_bst - { - datum _sortIndex - { - value = "48"; - type = "int"; - } - } - element reg_stat_hdr_dat_bst.mem - { - datum baseAddress - { - value = "1263104"; - type = "String"; - } - } - element reg_stat_hdr_dat_sst - { - datum _sortIndex - { - value = "46"; - type = "int"; - } - } - element reg_stat_hdr_dat_sst.mem - { - datum baseAddress - { - value = "12544"; - type = "String"; - } - } - element reg_stat_hdr_dat_xst - { - datum _sortIndex - { - value = "52"; - type = "int"; - } - } - element reg_stat_hdr_dat_xst.mem - { - datum baseAddress - { - value = "256"; - type = "String"; - } - } - element reg_tr_10gbe_eth10g - { - datum _sortIndex - { - value = "65"; - type = "int"; - } - } - element reg_tr_10gbe_eth10g.mem - { - datum baseAddress - { - value = "1266144"; - type = "String"; - } - } - element reg_tr_10gbe_mac - { - datum _sortIndex - { - value = "66"; - type = "int"; - } - } - element reg_tr_10gbe_mac.mem - { - datum baseAddress - { - value = "655360"; - type = "String"; - } - } - element reg_unb_pmbus - { - datum _sortIndex - { - value = "8"; - type = "int"; - } - } - element reg_unb_pmbus.mem - { - datum baseAddress - { - value = "1264640"; - type = "String"; - } - } - element reg_unb_sens - { - datum _sortIndex - { - value = "7"; - type = "int"; - } - } - element reg_unb_sens.mem - { - datum baseAddress - { - value = "1264896"; - type = "String"; - } - } - element reg_wdi - { - datum _sortIndex - { - value = "13"; - type = "int"; - } - datum sopceditor_expanded - { - value = "0"; - type = "boolean"; - } - } - element reg_wdi.mem - { - datum _lockedAddress - { - value = "1"; - type = "boolean"; - } - datum baseAddress - { - value = "12288"; - type = "String"; - } - } - element reg_wg - { - datum _sortIndex - { - value = "23"; - type = "int"; - } - } - element reg_wg.mem - { - datum baseAddress - { - value = "1264128"; - type = "String"; - } - } - element rom_system_info - { - datum _sortIndex - { - value = "10"; - type = "int"; - } - datum sopceditor_expanded - { - value = "1"; - type = "boolean"; - } - } - element rom_system_info.mem - { - datum _lockedAddress - { - value = "1"; - type = "boolean"; - } - datum baseAddress - { - value = "65536"; - type = "String"; - } - } - element timer_0 - { - datum _sortIndex - { - value = "5"; - type = "int"; - } - } - element timer_0.s1 - { - datum baseAddress - { - value = "12320"; - type = "String"; - } - } -} -]]></parameter> - <parameter name="clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="device" value="10AX115U2F45E1SG" /> - <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="1" /> - <parameter name="fabricMode" value="QSYS" /> - <parameter name="generateLegacySim" value="false" /> - <parameter name="generationId" value="0" /> - <parameter name="globalResetBus" value="false" /> - <parameter name="hdlLanguage" value="VERILOG" /> - <parameter name="hideFromIPCatalog" value="false" /> - <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="maxAdditionalLatency" value="0" /> - <parameter name="sopcBorderPoints" value="false" /> - <parameter name="systemHash" value="0" /> - <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>rom_system_info_clk</key> - <value> - <connectionPointName>rom_system_info_clk</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></parameter> - <parameter name="systemScripts" value="" /> - <parameter name="testBenchDutName" value="" /> - <parameter name="timeStamp" value="0" /> - <parameter name="useTestBenchNamingPattern" value="false" /> - <instanceScript></instanceScript> - <interface - name="avs_eth_0_clk" - internal="avs_eth_0.clk" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_irq" - internal="avs_eth_0.irq" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_ram_address" - internal="avs_eth_0.ram_address" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_ram_read" - internal="avs_eth_0.ram_read" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_ram_readdata" - internal="avs_eth_0.ram_readdata" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_ram_write" - internal="avs_eth_0.ram_write" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_ram_writedata" - internal="avs_eth_0.ram_writedata" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_reg_address" - internal="avs_eth_0.reg_address" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_reg_read" - internal="avs_eth_0.reg_read" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_reg_readdata" - internal="avs_eth_0.reg_readdata" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_reg_write" - internal="avs_eth_0.reg_write" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_reg_writedata" - internal="avs_eth_0.reg_writedata" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_reset" - internal="avs_eth_0.reset" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_tse_address" - internal="avs_eth_0.tse_address" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_tse_read" - internal="avs_eth_0.tse_read" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_tse_readdata" - internal="avs_eth_0.tse_readdata" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_tse_waitrequest" - internal="avs_eth_0.tse_waitrequest" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_tse_write" - internal="avs_eth_0.tse_write" - type="conduit" - dir="end" /> - <interface - name="avs_eth_0_tse_writedata" - internal="avs_eth_0.tse_writedata" - type="conduit" - dir="end" /> - <interface name="clk" internal="clk_0.clk_in" type="clock" dir="end" /> - <interface - name="jesd204b_address" - internal="jesd204b.address" - type="conduit" - dir="end" /> - <interface name="jesd204b_clk" internal="jesd204b.clk" type="conduit" dir="end" /> - <interface - name="jesd204b_read" - internal="jesd204b.read" - type="conduit" - dir="end" /> - <interface - name="jesd204b_readdata" - internal="jesd204b.readdata" - type="conduit" - dir="end" /> - <interface - name="jesd204b_reset" - internal="jesd204b.reset" - type="conduit" - dir="end" /> - <interface - name="jesd204b_write" - internal="jesd204b.write" - type="conduit" - dir="end" /> - <interface - name="jesd204b_writedata" - internal="jesd204b.writedata" - type="conduit" - dir="end" /> - <interface - name="pio_jesd_ctrl_address" - internal="pio_jesd_ctrl.address" - type="conduit" - dir="end" /> - <interface - name="pio_jesd_ctrl_clk" - internal="pio_jesd_ctrl.clk" - type="conduit" - dir="end" /> - <interface - name="pio_jesd_ctrl_read" - internal="pio_jesd_ctrl.read" - type="conduit" - dir="end" /> - <interface - name="pio_jesd_ctrl_readdata" - internal="pio_jesd_ctrl.readdata" - type="conduit" - dir="end" /> - <interface - name="pio_jesd_ctrl_reset" - internal="pio_jesd_ctrl.reset" - type="conduit" - dir="end" /> - <interface - name="pio_jesd_ctrl_write" - internal="pio_jesd_ctrl.write" - type="conduit" - dir="end" /> - <interface - name="pio_jesd_ctrl_writedata" - internal="pio_jesd_ctrl.writedata" - type="conduit" - dir="end" /> - <interface - name="pio_pps_address" - internal="pio_pps.address" - type="conduit" - dir="end" /> - <interface name="pio_pps_clk" internal="pio_pps.clk" type="conduit" dir="end" /> - <interface name="pio_pps_read" internal="pio_pps.read" type="conduit" dir="end" /> - <interface - name="pio_pps_readdata" - internal="pio_pps.readdata" - type="conduit" - dir="end" /> - <interface - name="pio_pps_reset" - internal="pio_pps.reset" - type="conduit" - dir="end" /> - <interface - name="pio_pps_write" - internal="pio_pps.write" - type="conduit" - dir="end" /> - <interface - name="pio_pps_writedata" - internal="pio_pps.writedata" - type="conduit" - dir="end" /> - <interface - name="pio_system_info_address" - internal="pio_system_info.address" - type="conduit" - dir="end" /> - <interface - name="pio_system_info_clk" - internal="pio_system_info.clk" - type="conduit" - dir="end" /> - <interface - name="pio_system_info_read" - internal="pio_system_info.read" - type="conduit" - dir="end" /> - <interface - name="pio_system_info_readdata" - internal="pio_system_info.readdata" - type="conduit" - dir="end" /> - <interface - name="pio_system_info_reset" - internal="pio_system_info.reset" - type="conduit" - dir="end" /> - <interface - name="pio_system_info_write" - internal="pio_system_info.write" - type="conduit" - dir="end" /> - <interface - name="pio_system_info_writedata" - internal="pio_system_info.writedata" - type="conduit" - dir="end" /> - <interface - name="pio_wdi_external_connection" - internal="pio_wdi.external_connection" - type="conduit" - dir="end" /> - <interface - name="ram_bf_weights_address" - internal="ram_bf_weights.address" - type="conduit" - dir="end" /> - <interface - name="ram_bf_weights_clk" - internal="ram_bf_weights.clk" - type="conduit" - dir="end" /> - <interface - name="ram_bf_weights_read" - internal="ram_bf_weights.read" - type="conduit" - dir="end" /> - <interface - name="ram_bf_weights_readdata" - internal="ram_bf_weights.readdata" - type="conduit" - dir="end" /> - <interface - name="ram_bf_weights_reset" - internal="ram_bf_weights.reset" - type="conduit" - dir="end" /> - <interface - name="ram_bf_weights_write" - internal="ram_bf_weights.write" - type="conduit" - dir="end" /> - <interface - name="ram_bf_weights_writedata" - internal="ram_bf_weights.writedata" - type="conduit" - dir="end" /> - <interface - name="ram_diag_data_buffer_bsn_address" - internal="ram_diag_data_buffer_bsn.address" - type="conduit" - dir="end" /> - <interface - name="ram_diag_data_buffer_bsn_clk" - internal="ram_diag_data_buffer_bsn.clk" - type="conduit" - dir="end" /> - <interface - name="ram_diag_data_buffer_bsn_read" - internal="ram_diag_data_buffer_bsn.read" - type="conduit" - dir="end" /> - <interface - name="ram_diag_data_buffer_bsn_readdata" - internal="ram_diag_data_buffer_bsn.readdata" - type="conduit" - dir="end" /> - <interface - name="ram_diag_data_buffer_bsn_reset" - internal="ram_diag_data_buffer_bsn.reset" - type="conduit" - dir="end" /> - <interface - name="ram_diag_data_buffer_bsn_write" - internal="ram_diag_data_buffer_bsn.write" - type="conduit" - dir="end" /> - <interface - name="ram_diag_data_buffer_bsn_writedata" - internal="ram_diag_data_buffer_bsn.writedata" - type="conduit" - dir="end" /> - <interface - name="ram_equalizer_gains_address" - internal="ram_equalizer_gains.address" - type="conduit" - dir="end" /> - <interface - name="ram_equalizer_gains_clk" - internal="ram_equalizer_gains.clk" - type="conduit" - dir="end" /> - <interface - name="ram_equalizer_gains_read" - internal="ram_equalizer_gains.read" - type="conduit" - dir="end" /> - <interface - name="ram_equalizer_gains_readdata" - internal="ram_equalizer_gains.readdata" - type="conduit" - dir="end" /> - <interface - name="ram_equalizer_gains_reset" - internal="ram_equalizer_gains.reset" - type="conduit" - dir="end" /> - <interface - name="ram_equalizer_gains_write" - internal="ram_equalizer_gains.write" - type="conduit" - dir="end" /> - <interface - name="ram_equalizer_gains_writedata" - internal="ram_equalizer_gains.writedata" - type="conduit" - dir="end" /> - <interface - name="ram_fil_coefs_address" - internal="ram_fil_coefs.address" - type="conduit" - dir="end" /> - <interface - name="ram_fil_coefs_clk" - internal="ram_fil_coefs.clk" - type="conduit" - dir="end" /> - <interface - name="ram_fil_coefs_read" - internal="ram_fil_coefs.read" - type="conduit" - dir="end" /> - <interface - name="ram_fil_coefs_readdata" - internal="ram_fil_coefs.readdata" - type="conduit" - dir="end" /> - <interface - name="ram_fil_coefs_reset" - internal="ram_fil_coefs.reset" - type="conduit" - dir="end" /> - <interface - name="ram_fil_coefs_write" - internal="ram_fil_coefs.write" - type="conduit" - dir="end" /> - <interface - name="ram_fil_coefs_writedata" - internal="ram_fil_coefs.writedata" - type="conduit" - dir="end" /> - <interface - name="ram_scrap_address" - internal="ram_scrap.address" - type="conduit" - dir="end" /> - <interface - name="ram_scrap_clk" - internal="ram_scrap.clk" - type="conduit" - dir="end" /> - <interface - name="ram_scrap_read" - internal="ram_scrap.read" - type="conduit" - dir="end" /> - <interface - name="ram_scrap_readdata" - internal="ram_scrap.readdata" - type="conduit" - dir="end" /> - <interface - name="ram_scrap_reset" - internal="ram_scrap.reset" - type="conduit" - dir="end" /> - <interface - name="ram_scrap_write" - internal="ram_scrap.write" - type="conduit" - dir="end" /> - <interface - name="ram_scrap_writedata" - internal="ram_scrap.writedata" - type="conduit" - dir="end" /> - <interface - name="ram_ss_ss_wide_address" - internal="ram_ss_ss_wide.address" - type="conduit" - dir="end" /> - <interface - name="ram_ss_ss_wide_clk" - internal="ram_ss_ss_wide.clk" - type="conduit" - dir="end" /> - <interface - name="ram_ss_ss_wide_read" - internal="ram_ss_ss_wide.read" - type="conduit" - dir="end" /> - <interface - name="ram_ss_ss_wide_readdata" - internal="ram_ss_ss_wide.readdata" - type="conduit" - dir="end" /> - <interface - name="ram_ss_ss_wide_reset" - internal="ram_ss_ss_wide.reset" - type="conduit" - dir="end" /> - <interface - name="ram_ss_ss_wide_write" - internal="ram_ss_ss_wide.write" - type="conduit" - dir="end" /> - <interface - name="ram_ss_ss_wide_writedata" - internal="ram_ss_ss_wide.writedata" - type="conduit" - dir="end" /> - <interface - name="ram_st_bst_address" - internal="ram_st_bst.address" - type="conduit" - dir="end" /> - <interface - name="ram_st_bst_clk" - internal="ram_st_bst.clk" - type="conduit" - dir="end" /> - <interface - name="ram_st_bst_read" - internal="ram_st_bst.read" - type="conduit" - dir="end" /> - <interface - name="ram_st_bst_readdata" - internal="ram_st_bst.readdata" - type="conduit" - dir="end" /> - <interface - name="ram_st_bst_reset" - internal="ram_st_bst.reset" - type="conduit" - dir="end" /> - <interface - name="ram_st_bst_write" - internal="ram_st_bst.write" - type="conduit" - dir="end" /> - <interface - name="ram_st_bst_writedata" - internal="ram_st_bst.writedata" - type="conduit" - dir="end" /> - <interface - name="ram_st_histogram_address" - internal="ram_st_histogram.address" - type="conduit" - dir="end" /> - <interface - name="ram_st_histogram_clk" - internal="ram_st_histogram.clk" - type="conduit" - dir="end" /> - <interface - name="ram_st_histogram_read" - internal="ram_st_histogram.read" - type="conduit" - dir="end" /> - <interface - name="ram_st_histogram_readdata" - internal="ram_st_histogram.readdata" - type="conduit" - dir="end" /> - <interface - name="ram_st_histogram_reset" - internal="ram_st_histogram.reset" - type="conduit" - dir="end" /> - <interface - name="ram_st_histogram_write" - internal="ram_st_histogram.write" - type="conduit" - dir="end" /> - <interface - name="ram_st_histogram_writedata" - internal="ram_st_histogram.writedata" - type="conduit" - dir="end" /> - <interface - name="ram_st_sst_address" - internal="ram_st_sst.address" - type="conduit" - dir="end" /> - <interface - name="ram_st_sst_clk" - internal="ram_st_sst.clk" - type="conduit" - dir="end" /> - <interface - name="ram_st_sst_read" - internal="ram_st_sst.read" - type="conduit" - dir="end" /> - <interface - name="ram_st_sst_readdata" - internal="ram_st_sst.readdata" - type="conduit" - dir="end" /> - <interface - name="ram_st_sst_reset" - internal="ram_st_sst.reset" - type="conduit" - dir="end" /> - <interface - name="ram_st_sst_write" - internal="ram_st_sst.write" - type="conduit" - dir="end" /> - <interface - name="ram_st_sst_writedata" - internal="ram_st_sst.writedata" - type="conduit" - dir="end" /> - <interface - name="ram_st_xsq_address" - internal="ram_st_xsq.address" - type="conduit" - dir="end" /> - <interface - name="ram_st_xsq_clk" - internal="ram_st_xsq.clk" - type="conduit" - dir="end" /> - <interface - name="ram_st_xsq_read" - internal="ram_st_xsq.read" - type="conduit" - dir="end" /> - <interface - name="ram_st_xsq_readdata" - internal="ram_st_xsq.readdata" - type="conduit" - dir="end" /> - <interface - name="ram_st_xsq_reset" - internal="ram_st_xsq.reset" - type="conduit" - dir="end" /> - <interface - name="ram_st_xsq_write" - internal="ram_st_xsq.write" - type="conduit" - dir="end" /> - <interface - name="ram_st_xsq_writedata" - internal="ram_st_xsq.writedata" - type="conduit" - dir="end" /> - <interface - name="ram_wg_address" - internal="ram_wg.address" - type="conduit" - dir="end" /> - <interface name="ram_wg_clk" internal="ram_wg.clk" type="conduit" dir="end" /> - <interface name="ram_wg_read" internal="ram_wg.read" type="conduit" dir="end" /> - <interface - name="ram_wg_readdata" - internal="ram_wg.readdata" - type="conduit" - dir="end" /> - <interface name="ram_wg_reset" internal="ram_wg.reset" type="conduit" dir="end" /> - <interface name="ram_wg_write" internal="ram_wg.write" type="conduit" dir="end" /> - <interface - name="ram_wg_writedata" - internal="ram_wg.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_aduh_monitor_address" - internal="reg_aduh_monitor.address" - type="conduit" - dir="end" /> - <interface - name="reg_aduh_monitor_clk" - internal="reg_aduh_monitor.clk" - type="conduit" - dir="end" /> - <interface - name="reg_aduh_monitor_read" - internal="reg_aduh_monitor.read" - type="conduit" - dir="end" /> - <interface - name="reg_aduh_monitor_readdata" - internal="reg_aduh_monitor.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_aduh_monitor_reset" - internal="reg_aduh_monitor.reset" - type="conduit" - dir="end" /> - <interface - name="reg_aduh_monitor_write" - internal="reg_aduh_monitor.write" - type="conduit" - dir="end" /> - <interface - name="reg_aduh_monitor_writedata" - internal="reg_aduh_monitor.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bf_scale_address" - internal="reg_bf_scale.address" - type="conduit" - dir="end" /> - <interface - name="reg_bf_scale_clk" - internal="reg_bf_scale.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bf_scale_read" - internal="reg_bf_scale.read" - type="conduit" - dir="end" /> - <interface - name="reg_bf_scale_readdata" - internal="reg_bf_scale.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bf_scale_reset" - internal="reg_bf_scale.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bf_scale_write" - internal="reg_bf_scale.write" - type="conduit" - dir="end" /> - <interface - name="reg_bf_scale_writedata" - internal="reg_bf_scale.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_bf_address" - internal="reg_bsn_align_v2_bf.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_bf_clk" - internal="reg_bsn_align_v2_bf.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_bf_read" - internal="reg_bsn_align_v2_bf.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_bf_readdata" - internal="reg_bsn_align_v2_bf.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_bf_reset" - internal="reg_bsn_align_v2_bf.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_bf_write" - internal="reg_bsn_align_v2_bf.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_bf_writedata" - internal="reg_bsn_align_v2_bf.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_xsub_address" - internal="reg_bsn_align_v2_xsub.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_xsub_clk" - internal="reg_bsn_align_v2_xsub.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_xsub_read" - internal="reg_bsn_align_v2_xsub.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_xsub_readdata" - internal="reg_bsn_align_v2_xsub.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_xsub_reset" - internal="reg_bsn_align_v2_xsub.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_xsub_write" - internal="reg_bsn_align_v2_xsub.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_align_v2_xsub_writedata" - internal="reg_bsn_align_v2_xsub.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_input_address" - internal="reg_bsn_monitor_input.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_input_clk" - internal="reg_bsn_monitor_input.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_input_read" - internal="reg_bsn_monitor_input.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_input_readdata" - internal="reg_bsn_monitor_input.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_input_reset" - internal="reg_bsn_monitor_input.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_input_write" - internal="reg_bsn_monitor_input.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_input_writedata" - internal="reg_bsn_monitor_input.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_bf_address" - internal="reg_bsn_monitor_v2_aligned_bf.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_bf_clk" - internal="reg_bsn_monitor_v2_aligned_bf.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_bf_read" - internal="reg_bsn_monitor_v2_aligned_bf.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_bf_readdata" - internal="reg_bsn_monitor_v2_aligned_bf.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_bf_reset" - internal="reg_bsn_monitor_v2_aligned_bf.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_bf_write" - internal="reg_bsn_monitor_v2_aligned_bf.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_bf_writedata" - internal="reg_bsn_monitor_v2_aligned_bf.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_xsub_address" - internal="reg_bsn_monitor_v2_aligned_xsub.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_xsub_clk" - internal="reg_bsn_monitor_v2_aligned_xsub.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_xsub_read" - internal="reg_bsn_monitor_v2_aligned_xsub.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_xsub_readdata" - internal="reg_bsn_monitor_v2_aligned_xsub.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_xsub_reset" - internal="reg_bsn_monitor_v2_aligned_xsub.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_xsub_write" - internal="reg_bsn_monitor_v2_aligned_xsub.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_aligned_xsub_writedata" - internal="reg_bsn_monitor_v2_aligned_xsub.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_beamlet_output_address" - internal="reg_bsn_monitor_v2_beamlet_output.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_beamlet_output_clk" - internal="reg_bsn_monitor_v2_beamlet_output.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_beamlet_output_read" - internal="reg_bsn_monitor_v2_beamlet_output.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_beamlet_output_readdata" - internal="reg_bsn_monitor_v2_beamlet_output.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_beamlet_output_reset" - internal="reg_bsn_monitor_v2_beamlet_output.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_beamlet_output_write" - internal="reg_bsn_monitor_v2_beamlet_output.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_beamlet_output_writedata" - internal="reg_bsn_monitor_v2_beamlet_output.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_bst_offload_address" - internal="reg_bsn_monitor_v2_bst_offload.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_bst_offload_clk" - internal="reg_bsn_monitor_v2_bst_offload.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_bst_offload_read" - internal="reg_bsn_monitor_v2_bst_offload.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_bst_offload_readdata" - internal="reg_bsn_monitor_v2_bst_offload.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_bst_offload_reset" - internal="reg_bsn_monitor_v2_bst_offload.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_bst_offload_write" - internal="reg_bsn_monitor_v2_bst_offload.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_bst_offload_writedata" - internal="reg_bsn_monitor_v2_bst_offload.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_bf_address" - internal="reg_bsn_monitor_v2_ring_rx_bf.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_bf_clk" - internal="reg_bsn_monitor_v2_ring_rx_bf.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_bf_read" - internal="reg_bsn_monitor_v2_ring_rx_bf.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_bf_readdata" - internal="reg_bsn_monitor_v2_ring_rx_bf.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_bf_reset" - internal="reg_bsn_monitor_v2_ring_rx_bf.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_bf_write" - internal="reg_bsn_monitor_v2_ring_rx_bf.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_bf_writedata" - internal="reg_bsn_monitor_v2_ring_rx_bf.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_xst_address" - internal="reg_bsn_monitor_v2_ring_rx_xst.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_xst_clk" - internal="reg_bsn_monitor_v2_ring_rx_xst.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_xst_read" - internal="reg_bsn_monitor_v2_ring_rx_xst.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_xst_readdata" - internal="reg_bsn_monitor_v2_ring_rx_xst.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_xst_reset" - internal="reg_bsn_monitor_v2_ring_rx_xst.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_xst_write" - internal="reg_bsn_monitor_v2_ring_rx_xst.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_rx_xst_writedata" - internal="reg_bsn_monitor_v2_ring_rx_xst.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_bf_address" - internal="reg_bsn_monitor_v2_ring_tx_bf.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_bf_clk" - internal="reg_bsn_monitor_v2_ring_tx_bf.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_bf_read" - internal="reg_bsn_monitor_v2_ring_tx_bf.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_bf_readdata" - internal="reg_bsn_monitor_v2_ring_tx_bf.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_bf_reset" - internal="reg_bsn_monitor_v2_ring_tx_bf.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_bf_write" - internal="reg_bsn_monitor_v2_ring_tx_bf.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_bf_writedata" - internal="reg_bsn_monitor_v2_ring_tx_bf.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_xst_address" - internal="reg_bsn_monitor_v2_ring_tx_xst.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_xst_clk" - internal="reg_bsn_monitor_v2_ring_tx_xst.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_xst_read" - internal="reg_bsn_monitor_v2_ring_tx_xst.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_xst_readdata" - internal="reg_bsn_monitor_v2_ring_tx_xst.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_xst_reset" - internal="reg_bsn_monitor_v2_ring_tx_xst.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_xst_write" - internal="reg_bsn_monitor_v2_ring_tx_xst.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_ring_tx_xst_writedata" - internal="reg_bsn_monitor_v2_ring_tx_xst.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_bf_address" - internal="reg_bsn_monitor_v2_rx_align_bf.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_bf_clk" - internal="reg_bsn_monitor_v2_rx_align_bf.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_bf_read" - internal="reg_bsn_monitor_v2_rx_align_bf.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_bf_readdata" - internal="reg_bsn_monitor_v2_rx_align_bf.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_bf_reset" - internal="reg_bsn_monitor_v2_rx_align_bf.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_bf_write" - internal="reg_bsn_monitor_v2_rx_align_bf.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_bf_writedata" - internal="reg_bsn_monitor_v2_rx_align_bf.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_xsub_address" - internal="reg_bsn_monitor_v2_rx_align_xsub.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_xsub_clk" - internal="reg_bsn_monitor_v2_rx_align_xsub.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_xsub_read" - internal="reg_bsn_monitor_v2_rx_align_xsub.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_xsub_readdata" - internal="reg_bsn_monitor_v2_rx_align_xsub.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_xsub_reset" - internal="reg_bsn_monitor_v2_rx_align_xsub.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_xsub_write" - internal="reg_bsn_monitor_v2_rx_align_xsub.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_rx_align_xsub_writedata" - internal="reg_bsn_monitor_v2_rx_align_xsub.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_sst_offload_address" - internal="reg_bsn_monitor_v2_sst_offload.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_sst_offload_clk" - internal="reg_bsn_monitor_v2_sst_offload.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_sst_offload_read" - internal="reg_bsn_monitor_v2_sst_offload.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_sst_offload_readdata" - internal="reg_bsn_monitor_v2_sst_offload.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_sst_offload_reset" - internal="reg_bsn_monitor_v2_sst_offload.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_sst_offload_write" - internal="reg_bsn_monitor_v2_sst_offload.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_sst_offload_writedata" - internal="reg_bsn_monitor_v2_sst_offload.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_xst_offload_address" - internal="reg_bsn_monitor_v2_xst_offload.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_xst_offload_clk" - internal="reg_bsn_monitor_v2_xst_offload.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_xst_offload_read" - internal="reg_bsn_monitor_v2_xst_offload.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_xst_offload_readdata" - internal="reg_bsn_monitor_v2_xst_offload.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_xst_offload_reset" - internal="reg_bsn_monitor_v2_xst_offload.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_xst_offload_write" - internal="reg_bsn_monitor_v2_xst_offload.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_monitor_v2_xst_offload_writedata" - internal="reg_bsn_monitor_v2_xst_offload.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_scheduler_address" - internal="reg_bsn_scheduler.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_scheduler_clk" - internal="reg_bsn_scheduler.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_scheduler_read" - internal="reg_bsn_scheduler.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_scheduler_readdata" - internal="reg_bsn_scheduler.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_scheduler_reset" - internal="reg_bsn_scheduler.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_scheduler_write" - internal="reg_bsn_scheduler.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_scheduler_writedata" - internal="reg_bsn_scheduler.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_source_v2_address" - internal="reg_bsn_source_v2.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_source_v2_clk" - internal="reg_bsn_source_v2.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_source_v2_read" - internal="reg_bsn_source_v2.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_source_v2_readdata" - internal="reg_bsn_source_v2.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_source_v2_reset" - internal="reg_bsn_source_v2.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_source_v2_write" - internal="reg_bsn_source_v2.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_source_v2_writedata" - internal="reg_bsn_source_v2.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_sync_scheduler_xsub_address" - internal="reg_bsn_sync_scheduler_xsub.address" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_sync_scheduler_xsub_clk" - internal="reg_bsn_sync_scheduler_xsub.clk" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_sync_scheduler_xsub_read" - internal="reg_bsn_sync_scheduler_xsub.read" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_sync_scheduler_xsub_readdata" - internal="reg_bsn_sync_scheduler_xsub.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_sync_scheduler_xsub_reset" - internal="reg_bsn_sync_scheduler_xsub.reset" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_sync_scheduler_xsub_write" - internal="reg_bsn_sync_scheduler_xsub.write" - type="conduit" - dir="end" /> - <interface - name="reg_bsn_sync_scheduler_xsub_writedata" - internal="reg_bsn_sync_scheduler_xsub.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_crosslets_info_address" - internal="reg_crosslets_info.address" - type="conduit" - dir="end" /> - <interface - name="reg_crosslets_info_clk" - internal="reg_crosslets_info.clk" - type="conduit" - dir="end" /> - <interface - name="reg_crosslets_info_read" - internal="reg_crosslets_info.read" - type="conduit" - dir="end" /> - <interface - name="reg_crosslets_info_readdata" - internal="reg_crosslets_info.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_crosslets_info_reset" - internal="reg_crosslets_info.reset" - type="conduit" - dir="end" /> - <interface - name="reg_crosslets_info_write" - internal="reg_crosslets_info.write" - type="conduit" - dir="end" /> - <interface - name="reg_crosslets_info_writedata" - internal="reg_crosslets_info.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_diag_data_buffer_bsn_address" - internal="reg_diag_data_buffer_bsn.address" - type="conduit" - dir="end" /> - <interface - name="reg_diag_data_buffer_bsn_clk" - internal="reg_diag_data_buffer_bsn.clk" - type="conduit" - dir="end" /> - <interface - name="reg_diag_data_buffer_bsn_read" - internal="reg_diag_data_buffer_bsn.read" - type="conduit" - dir="end" /> - <interface - name="reg_diag_data_buffer_bsn_readdata" - internal="reg_diag_data_buffer_bsn.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_diag_data_buffer_bsn_reset" - internal="reg_diag_data_buffer_bsn.reset" - type="conduit" - dir="end" /> - <interface - name="reg_diag_data_buffer_bsn_write" - internal="reg_diag_data_buffer_bsn.write" - type="conduit" - dir="end" /> - <interface - name="reg_diag_data_buffer_bsn_writedata" - internal="reg_diag_data_buffer_bsn.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_bf_address" - internal="reg_dp_block_validate_bsn_at_sync_bf.address" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_bf_clk" - internal="reg_dp_block_validate_bsn_at_sync_bf.clk" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_bf_read" - internal="reg_dp_block_validate_bsn_at_sync_bf.read" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_bf_readdata" - internal="reg_dp_block_validate_bsn_at_sync_bf.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_bf_reset" - internal="reg_dp_block_validate_bsn_at_sync_bf.reset" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_bf_write" - internal="reg_dp_block_validate_bsn_at_sync_bf.write" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_bf_writedata" - internal="reg_dp_block_validate_bsn_at_sync_bf.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_xst_address" - internal="reg_dp_block_validate_bsn_at_sync_xst.address" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_xst_clk" - internal="reg_dp_block_validate_bsn_at_sync_xst.clk" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_xst_read" - internal="reg_dp_block_validate_bsn_at_sync_xst.read" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_xst_readdata" - internal="reg_dp_block_validate_bsn_at_sync_xst.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_xst_reset" - internal="reg_dp_block_validate_bsn_at_sync_xst.reset" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_xst_write" - internal="reg_dp_block_validate_bsn_at_sync_xst.write" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_bsn_at_sync_xst_writedata" - internal="reg_dp_block_validate_bsn_at_sync_xst.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_bf_address" - internal="reg_dp_block_validate_err_bf.address" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_bf_clk" - internal="reg_dp_block_validate_err_bf.clk" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_bf_read" - internal="reg_dp_block_validate_err_bf.read" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_bf_readdata" - internal="reg_dp_block_validate_err_bf.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_bf_reset" - internal="reg_dp_block_validate_err_bf.reset" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_bf_write" - internal="reg_dp_block_validate_err_bf.write" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_bf_writedata" - internal="reg_dp_block_validate_err_bf.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_xst_address" - internal="reg_dp_block_validate_err_xst.address" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_xst_clk" - internal="reg_dp_block_validate_err_xst.clk" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_xst_read" - internal="reg_dp_block_validate_err_xst.read" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_xst_readdata" - internal="reg_dp_block_validate_err_xst.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_xst_reset" - internal="reg_dp_block_validate_err_xst.reset" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_xst_write" - internal="reg_dp_block_validate_err_xst.write" - type="conduit" - dir="end" /> - <interface - name="reg_dp_block_validate_err_xst_writedata" - internal="reg_dp_block_validate_err_xst.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_selector_address" - internal="reg_dp_selector.address" - type="conduit" - dir="end" /> - <interface - name="reg_dp_selector_clk" - internal="reg_dp_selector.clk" - type="conduit" - dir="end" /> - <interface - name="reg_dp_selector_read" - internal="reg_dp_selector.read" - type="conduit" - dir="end" /> - <interface - name="reg_dp_selector_readdata" - internal="reg_dp_selector.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_selector_reset" - internal="reg_dp_selector.reset" - type="conduit" - dir="end" /> - <interface - name="reg_dp_selector_write" - internal="reg_dp_selector.write" - type="conduit" - dir="end" /> - <interface - name="reg_dp_selector_writedata" - internal="reg_dp_selector.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_shiftram_address" - internal="reg_dp_shiftram.address" - type="conduit" - dir="end" /> - <interface - name="reg_dp_shiftram_clk" - internal="reg_dp_shiftram.clk" - type="conduit" - dir="end" /> - <interface - name="reg_dp_shiftram_read" - internal="reg_dp_shiftram.read" - type="conduit" - dir="end" /> - <interface - name="reg_dp_shiftram_readdata" - internal="reg_dp_shiftram.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_shiftram_reset" - internal="reg_dp_shiftram.reset" - type="conduit" - dir="end" /> - <interface - name="reg_dp_shiftram_write" - internal="reg_dp_shiftram.write" - type="conduit" - dir="end" /> - <interface - name="reg_dp_shiftram_writedata" - internal="reg_dp_shiftram.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_xonoff_address" - internal="reg_dp_xonoff.address" - type="conduit" - dir="end" /> - <interface - name="reg_dp_xonoff_clk" - internal="reg_dp_xonoff.clk" - type="conduit" - dir="end" /> - <interface - name="reg_dp_xonoff_read" - internal="reg_dp_xonoff.read" - type="conduit" - dir="end" /> - <interface - name="reg_dp_xonoff_readdata" - internal="reg_dp_xonoff.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_dp_xonoff_reset" - internal="reg_dp_xonoff.reset" - type="conduit" - dir="end" /> - <interface - name="reg_dp_xonoff_write" - internal="reg_dp_xonoff.write" - type="conduit" - dir="end" /> - <interface - name="reg_dp_xonoff_writedata" - internal="reg_dp_xonoff.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_ctrl_address" - internal="reg_dpmm_ctrl.address" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_ctrl_clk" - internal="reg_dpmm_ctrl.clk" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_ctrl_read" - internal="reg_dpmm_ctrl.read" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_ctrl_readdata" - internal="reg_dpmm_ctrl.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_ctrl_reset" - internal="reg_dpmm_ctrl.reset" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_ctrl_write" - internal="reg_dpmm_ctrl.write" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_ctrl_writedata" - internal="reg_dpmm_ctrl.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_data_address" - internal="reg_dpmm_data.address" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_data_clk" - internal="reg_dpmm_data.clk" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_data_read" - internal="reg_dpmm_data.read" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_data_readdata" - internal="reg_dpmm_data.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_data_reset" - internal="reg_dpmm_data.reset" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_data_write" - internal="reg_dpmm_data.write" - type="conduit" - dir="end" /> - <interface - name="reg_dpmm_data_writedata" - internal="reg_dpmm_data.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_epcs_address" - internal="reg_epcs.address" - type="conduit" - dir="end" /> - <interface name="reg_epcs_clk" internal="reg_epcs.clk" type="conduit" dir="end" /> - <interface - name="reg_epcs_read" - internal="reg_epcs.read" - type="conduit" - dir="end" /> - <interface - name="reg_epcs_readdata" - internal="reg_epcs.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_epcs_reset" - internal="reg_epcs.reset" - type="conduit" - dir="end" /> - <interface - name="reg_epcs_write" - internal="reg_epcs.write" - type="conduit" - dir="end" /> - <interface - name="reg_epcs_writedata" - internal="reg_epcs.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_temp_sens_address" - internal="reg_fpga_temp_sens.address" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_temp_sens_clk" - internal="reg_fpga_temp_sens.clk" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_temp_sens_read" - internal="reg_fpga_temp_sens.read" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_temp_sens_readdata" - internal="reg_fpga_temp_sens.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_temp_sens_reset" - internal="reg_fpga_temp_sens.reset" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_temp_sens_write" - internal="reg_fpga_temp_sens.write" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_temp_sens_writedata" - internal="reg_fpga_temp_sens.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_voltage_sens_address" - internal="reg_fpga_voltage_sens.address" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_voltage_sens_clk" - internal="reg_fpga_voltage_sens.clk" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_voltage_sens_read" - internal="reg_fpga_voltage_sens.read" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_voltage_sens_readdata" - internal="reg_fpga_voltage_sens.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_voltage_sens_reset" - internal="reg_fpga_voltage_sens.reset" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_voltage_sens_write" - internal="reg_fpga_voltage_sens.write" - type="conduit" - dir="end" /> - <interface - name="reg_fpga_voltage_sens_writedata" - internal="reg_fpga_voltage_sens.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_hdr_dat_address" - internal="reg_hdr_dat.address" - type="conduit" - dir="end" /> - <interface - name="reg_hdr_dat_clk" - internal="reg_hdr_dat.clk" - type="conduit" - dir="end" /> - <interface - name="reg_hdr_dat_read" - internal="reg_hdr_dat.read" - type="conduit" - dir="end" /> - <interface - name="reg_hdr_dat_readdata" - internal="reg_hdr_dat.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_hdr_dat_reset" - internal="reg_hdr_dat.reset" - type="conduit" - dir="end" /> - <interface - name="reg_hdr_dat_write" - internal="reg_hdr_dat.write" - type="conduit" - dir="end" /> - <interface - name="reg_hdr_dat_writedata" - internal="reg_hdr_dat.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_ctrl_address" - internal="reg_mmdp_ctrl.address" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_ctrl_clk" - internal="reg_mmdp_ctrl.clk" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_ctrl_read" - internal="reg_mmdp_ctrl.read" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_ctrl_readdata" - internal="reg_mmdp_ctrl.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_ctrl_reset" - internal="reg_mmdp_ctrl.reset" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_ctrl_write" - internal="reg_mmdp_ctrl.write" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_ctrl_writedata" - internal="reg_mmdp_ctrl.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_data_address" - internal="reg_mmdp_data.address" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_data_clk" - internal="reg_mmdp_data.clk" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_data_read" - internal="reg_mmdp_data.read" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_data_readdata" - internal="reg_mmdp_data.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_data_reset" - internal="reg_mmdp_data.reset" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_data_write" - internal="reg_mmdp_data.write" - type="conduit" - dir="end" /> - <interface - name="reg_mmdp_data_writedata" - internal="reg_mmdp_data.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_nof_crosslets_address" - internal="reg_nof_crosslets.address" - type="conduit" - dir="end" /> - <interface - name="reg_nof_crosslets_clk" - internal="reg_nof_crosslets.clk" - type="conduit" - dir="end" /> - <interface - name="reg_nof_crosslets_read" - internal="reg_nof_crosslets.read" - type="conduit" - dir="end" /> - <interface - name="reg_nof_crosslets_readdata" - internal="reg_nof_crosslets.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_nof_crosslets_reset" - internal="reg_nof_crosslets.reset" - type="conduit" - dir="end" /> - <interface - name="reg_nof_crosslets_write" - internal="reg_nof_crosslets.write" - type="conduit" - dir="end" /> - <interface - name="reg_nof_crosslets_writedata" - internal="reg_nof_crosslets.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_eth10g_address" - internal="reg_nw_10gbe_eth10g.address" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_eth10g_clk" - internal="reg_nw_10gbe_eth10g.clk" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_eth10g_read" - internal="reg_nw_10gbe_eth10g.read" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_eth10g_readdata" - internal="reg_nw_10gbe_eth10g.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_eth10g_reset" - internal="reg_nw_10gbe_eth10g.reset" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_eth10g_write" - internal="reg_nw_10gbe_eth10g.write" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_eth10g_writedata" - internal="reg_nw_10gbe_eth10g.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_mac_address" - internal="reg_nw_10gbe_mac.address" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_mac_clk" - internal="reg_nw_10gbe_mac.clk" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_mac_read" - internal="reg_nw_10gbe_mac.read" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_mac_readdata" - internal="reg_nw_10gbe_mac.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_mac_reset" - internal="reg_nw_10gbe_mac.reset" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_mac_write" - internal="reg_nw_10gbe_mac.write" - type="conduit" - dir="end" /> - <interface - name="reg_nw_10gbe_mac_writedata" - internal="reg_nw_10gbe_mac.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_remu_address" - internal="reg_remu.address" - type="conduit" - dir="end" /> - <interface name="reg_remu_clk" internal="reg_remu.clk" type="conduit" dir="end" /> - <interface - name="reg_remu_read" - internal="reg_remu.read" - type="conduit" - dir="end" /> - <interface - name="reg_remu_readdata" - internal="reg_remu.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_remu_reset" - internal="reg_remu.reset" - type="conduit" - dir="end" /> - <interface - name="reg_remu_write" - internal="reg_remu.write" - type="conduit" - dir="end" /> - <interface - name="reg_remu_writedata" - internal="reg_remu.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_ring_info_address" - internal="reg_ring_info.address" - type="conduit" - dir="end" /> - <interface - name="reg_ring_info_clk" - internal="reg_ring_info.clk" - type="conduit" - dir="end" /> - <interface - name="reg_ring_info_read" - internal="reg_ring_info.read" - type="conduit" - dir="end" /> - <interface - name="reg_ring_info_readdata" - internal="reg_ring_info.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_ring_info_reset" - internal="reg_ring_info.reset" - type="conduit" - dir="end" /> - <interface - name="reg_ring_info_write" - internal="reg_ring_info.write" - type="conduit" - dir="end" /> - <interface - name="reg_ring_info_writedata" - internal="reg_ring_info.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_bf_address" - internal="reg_ring_lane_info_bf.address" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_bf_clk" - internal="reg_ring_lane_info_bf.clk" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_bf_read" - internal="reg_ring_lane_info_bf.read" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_bf_readdata" - internal="reg_ring_lane_info_bf.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_bf_reset" - internal="reg_ring_lane_info_bf.reset" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_bf_write" - internal="reg_ring_lane_info_bf.write" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_bf_writedata" - internal="reg_ring_lane_info_bf.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_xst_address" - internal="reg_ring_lane_info_xst.address" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_xst_clk" - internal="reg_ring_lane_info_xst.clk" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_xst_read" - internal="reg_ring_lane_info_xst.read" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_xst_readdata" - internal="reg_ring_lane_info_xst.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_xst_reset" - internal="reg_ring_lane_info_xst.reset" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_xst_write" - internal="reg_ring_lane_info_xst.write" - type="conduit" - dir="end" /> - <interface - name="reg_ring_lane_info_xst_writedata" - internal="reg_ring_lane_info_xst.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_sdp_info_address" - internal="reg_sdp_info.address" - type="conduit" - dir="end" /> - <interface - name="reg_sdp_info_clk" - internal="reg_sdp_info.clk" - type="conduit" - dir="end" /> - <interface - name="reg_sdp_info_read" - internal="reg_sdp_info.read" - type="conduit" - dir="end" /> - <interface - name="reg_sdp_info_readdata" - internal="reg_sdp_info.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_sdp_info_reset" - internal="reg_sdp_info.reset" - type="conduit" - dir="end" /> - <interface - name="reg_sdp_info_write" - internal="reg_sdp_info.write" - type="conduit" - dir="end" /> - <interface - name="reg_sdp_info_writedata" - internal="reg_sdp_info.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_si_address" - internal="reg_si.address" - type="conduit" - dir="end" /> - <interface name="reg_si_clk" internal="reg_si.clk" type="conduit" dir="end" /> - <interface name="reg_si_read" internal="reg_si.read" type="conduit" dir="end" /> - <interface - name="reg_si_readdata" - internal="reg_si.readdata" - type="conduit" - dir="end" /> - <interface name="reg_si_reset" internal="reg_si.reset" type="conduit" dir="end" /> - <interface name="reg_si_write" internal="reg_si.write" type="conduit" dir="end" /> - <interface - name="reg_si_writedata" - internal="reg_si.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_bst_address" - internal="reg_stat_enable_bst.address" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_bst_clk" - internal="reg_stat_enable_bst.clk" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_bst_read" - internal="reg_stat_enable_bst.read" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_bst_readdata" - internal="reg_stat_enable_bst.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_bst_reset" - internal="reg_stat_enable_bst.reset" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_bst_write" - internal="reg_stat_enable_bst.write" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_bst_writedata" - internal="reg_stat_enable_bst.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_sst_address" - internal="reg_stat_enable_sst.address" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_sst_clk" - internal="reg_stat_enable_sst.clk" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_sst_read" - internal="reg_stat_enable_sst.read" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_sst_readdata" - internal="reg_stat_enable_sst.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_sst_reset" - internal="reg_stat_enable_sst.reset" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_sst_write" - internal="reg_stat_enable_sst.write" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_sst_writedata" - internal="reg_stat_enable_sst.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_xst_address" - internal="reg_stat_enable_xst.address" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_xst_clk" - internal="reg_stat_enable_xst.clk" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_xst_read" - internal="reg_stat_enable_xst.read" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_xst_readdata" - internal="reg_stat_enable_xst.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_xst_reset" - internal="reg_stat_enable_xst.reset" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_xst_write" - internal="reg_stat_enable_xst.write" - type="conduit" - dir="end" /> - <interface - name="reg_stat_enable_xst_writedata" - internal="reg_stat_enable_xst.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_bst_address" - internal="reg_stat_hdr_dat_bst.address" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_bst_clk" - internal="reg_stat_hdr_dat_bst.clk" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_bst_read" - internal="reg_stat_hdr_dat_bst.read" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_bst_readdata" - internal="reg_stat_hdr_dat_bst.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_bst_reset" - internal="reg_stat_hdr_dat_bst.reset" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_bst_write" - internal="reg_stat_hdr_dat_bst.write" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_bst_writedata" - internal="reg_stat_hdr_dat_bst.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_sst_address" - internal="reg_stat_hdr_dat_sst.address" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_sst_clk" - internal="reg_stat_hdr_dat_sst.clk" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_sst_read" - internal="reg_stat_hdr_dat_sst.read" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_sst_readdata" - internal="reg_stat_hdr_dat_sst.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_sst_reset" - internal="reg_stat_hdr_dat_sst.reset" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_sst_write" - internal="reg_stat_hdr_dat_sst.write" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_sst_writedata" - internal="reg_stat_hdr_dat_sst.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_xst_address" - internal="reg_stat_hdr_dat_xst.address" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_xst_clk" - internal="reg_stat_hdr_dat_xst.clk" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_xst_read" - internal="reg_stat_hdr_dat_xst.read" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_xst_readdata" - internal="reg_stat_hdr_dat_xst.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_xst_reset" - internal="reg_stat_hdr_dat_xst.reset" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_xst_write" - internal="reg_stat_hdr_dat_xst.write" - type="conduit" - dir="end" /> - <interface - name="reg_stat_hdr_dat_xst_writedata" - internal="reg_stat_hdr_dat_xst.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_eth10g_address" - internal="reg_tr_10gbe_eth10g.address" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_eth10g_clk" - internal="reg_tr_10gbe_eth10g.clk" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_eth10g_read" - internal="reg_tr_10gbe_eth10g.read" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_eth10g_readdata" - internal="reg_tr_10gbe_eth10g.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_eth10g_reset" - internal="reg_tr_10gbe_eth10g.reset" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_eth10g_write" - internal="reg_tr_10gbe_eth10g.write" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_eth10g_writedata" - internal="reg_tr_10gbe_eth10g.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_mac_address" - internal="reg_tr_10gbe_mac.address" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_mac_clk" - internal="reg_tr_10gbe_mac.clk" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_mac_read" - internal="reg_tr_10gbe_mac.read" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_mac_readdata" - internal="reg_tr_10gbe_mac.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_mac_reset" - internal="reg_tr_10gbe_mac.reset" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_mac_write" - internal="reg_tr_10gbe_mac.write" - type="conduit" - dir="end" /> - <interface - name="reg_tr_10gbe_mac_writedata" - internal="reg_tr_10gbe_mac.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_unb_pmbus_address" - internal="reg_unb_pmbus.address" - type="conduit" - dir="end" /> - <interface - name="reg_unb_pmbus_clk" - internal="reg_unb_pmbus.clk" - type="conduit" - dir="end" /> - <interface - name="reg_unb_pmbus_read" - internal="reg_unb_pmbus.read" - type="conduit" - dir="end" /> - <interface - name="reg_unb_pmbus_readdata" - internal="reg_unb_pmbus.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_unb_pmbus_reset" - internal="reg_unb_pmbus.reset" - type="conduit" - dir="end" /> - <interface - name="reg_unb_pmbus_write" - internal="reg_unb_pmbus.write" - type="conduit" - dir="end" /> - <interface - name="reg_unb_pmbus_writedata" - internal="reg_unb_pmbus.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_unb_sens_address" - internal="reg_unb_sens.address" - type="conduit" - dir="end" /> - <interface - name="reg_unb_sens_clk" - internal="reg_unb_sens.clk" - type="conduit" - dir="end" /> - <interface - name="reg_unb_sens_read" - internal="reg_unb_sens.read" - type="conduit" - dir="end" /> - <interface - name="reg_unb_sens_readdata" - internal="reg_unb_sens.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_unb_sens_reset" - internal="reg_unb_sens.reset" - type="conduit" - dir="end" /> - <interface - name="reg_unb_sens_write" - internal="reg_unb_sens.write" - type="conduit" - dir="end" /> - <interface - name="reg_unb_sens_writedata" - internal="reg_unb_sens.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_wdi_address" - internal="reg_wdi.address" - type="conduit" - dir="end" /> - <interface name="reg_wdi_clk" internal="reg_wdi.clk" type="conduit" dir="end" /> - <interface name="reg_wdi_read" internal="reg_wdi.read" type="conduit" dir="end" /> - <interface - name="reg_wdi_readdata" - internal="reg_wdi.readdata" - type="conduit" - dir="end" /> - <interface - name="reg_wdi_reset" - internal="reg_wdi.reset" - type="conduit" - dir="end" /> - <interface - name="reg_wdi_write" - internal="reg_wdi.write" - type="conduit" - dir="end" /> - <interface - name="reg_wdi_writedata" - internal="reg_wdi.writedata" - type="conduit" - dir="end" /> - <interface - name="reg_wg_address" - internal="reg_wg.address" - type="conduit" - dir="end" /> - <interface name="reg_wg_clk" internal="reg_wg.clk" type="conduit" dir="end" /> - <interface name="reg_wg_read" internal="reg_wg.read" type="conduit" dir="end" /> - <interface - name="reg_wg_readdata" - internal="reg_wg.readdata" - type="conduit" - dir="end" /> - <interface name="reg_wg_reset" internal="reg_wg.reset" type="conduit" dir="end" /> - <interface name="reg_wg_write" internal="reg_wg.write" type="conduit" dir="end" /> - <interface - name="reg_wg_writedata" - internal="reg_wg.writedata" - type="conduit" - dir="end" /> - <interface name="reset" internal="clk_0.clk_in_reset" type="reset" dir="end" /> - <interface - name="rom_system_info_address" - internal="rom_system_info.address" - type="conduit" - dir="end" /> - <interface - name="rom_system_info_clk" - internal="rom_system_info.clk" - type="conduit" - dir="end" /> - <interface - name="rom_system_info_read" - internal="rom_system_info.read" - type="conduit" - dir="end" /> - <interface - name="rom_system_info_readdata" - internal="rom_system_info.readdata" - type="conduit" - dir="end" /> - <interface - name="rom_system_info_reset" - internal="rom_system_info.reset" - type="conduit" - dir="end" /> - <interface - name="rom_system_info_write" - internal="rom_system_info.write" - type="conduit" - dir="end" /> - <interface - name="rom_system_info_writedata" - internal="rom_system_info.writedata" - type="conduit" - dir="end" /> - <module - name="avs_eth_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>interrupt</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>ins_interrupt_irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>avs_eth_0.mms_reg</value> - </entry> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>associatedReset</key> - <value>mm_reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_irq_export</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mm</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_mm_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mm_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_mm_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mms_ram</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>mms_ram_address</name> - <role>address</role> - <direction>Input</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_ram_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_ram_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_ram_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_ram_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4096</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>associatedReset</key> - <value>mm_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>2</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mms_reg</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>mms_reg_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_reg_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_reg_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_reg_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_reg_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>associatedReset</key> - <value>mm_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mms_tse</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>mms_tse_address</name> - <role>address</role> - <direction>Input</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_tse_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_tse_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_tse_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_tse_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_tse_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4096</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>associatedReset</key> - <value>mm_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_waitrequest</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_waitrequest_export</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs2_eth_coe</className> - <version>1.0</version> - <displayName>avs2_eth_coe</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors/> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mms_ram</key> - <value> - <connectionPointName>mms_ram</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mms_ram' start='0x0' end='0x1000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>12</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>mms_reg</key> - <value> - <connectionPointName>mms_reg</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mms_reg' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>mms_tse</key> - <value> - <connectionPointName>mms_tse</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mms_tse' start='0x0' end='0x1000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>12</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_avs_eth_0</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_avs_eth_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_avs_eth_0</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_avs_eth_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_avs_eth_0</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_avs_eth_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_avs_eth_0</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_eth_0.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="clk_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>clk_out</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - <value>clk_in</value> - </entry> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>true</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_in</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>in_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>clk</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_in_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>reset</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_reset</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_n_out</name> - <role>reset_n</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedDirectReset</key> - <value>clk_in_reset</value> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>clk_in_reset</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>clock_source</className> - <displayName>Clock Source</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>0</parameterDefaultValue> - <parameterName>inputClockFrequency</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>clk_in</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>clk_in</key> - <value> - <connectionPointName>clk_in</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>0</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_clk_0</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_clk_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_clk_0</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_clk_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_clk_0</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_clk_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_clk_0</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_clk_0.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="cpu_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>custom_instruction_master</name> - <type>nios_custom_instruction</type> - <isStart>true</isStart> - <ports> - <port> - <name>dummy_ci_port</name> - <role>readra</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>CIName</key> - <value></value> - </entry> - <entry> - <key>addressWidth</key> - <value>8</value> - </entry> - <entry> - <key>clockCycle</key> - <value>0</value> - </entry> - <entry> - <key>enabled</key> - <value>false</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>8</value> - </entry> - <entry> - <key>opcodeExtension</key> - <value>0</value> - </entry> - <entry> - <key>sharedCombinationalAndMulticycle</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>data_master</name> - <type>avalon</type> - <isStart>true</isStart> - <ports> - <port> - <name>d_address</name> - <role>address</role> - <direction>Output</direction> - <width>24</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>d_byteenable</name> - <role>byteenable</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>d_read</name> - <role>read</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>d_readdata</name> - <role>readdata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>d_waitrequest</name> - <role>waitrequest</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>d_write</name> - <role>write</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>d_writedata</name> - <role>writedata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_debugaccess_to_roms</name> - <role>debugaccess</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>debug.providesServices</key> - <value>master</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>adaptsTo</key> - </entry> - <entry> - <key>addressGroup</key> - <value>1</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>true</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>dBSBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>doStreamReads</key> - <value>false</value> - </entry> - <entry> - <key>doStreamWrites</key> - <value>false</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isAsynchronous</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isReadable</key> - <value>false</value> - </entry> - <entry> - <key>isWriteable</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>32</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>true</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>debug_mem_slave</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>debug_mem_slave_address</name> - <role>address</role> - <direction>Input</direction> - <width>9</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_byteenable</name> - <role>byteenable</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_debugaccess</name> - <role>debugaccess</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.hideDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - <entry> - <key>qsys.ui.connect</key> - <value>instruction_master,data_master</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>2048</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>true</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>true</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>debug_reset_request</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>debug_reset_request</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>none</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>instruction_master</name> - <type>avalon</type> - <isStart>true</isStart> - <ports> - <port> - <name>i_address</name> - <role>address</role> - <direction>Output</direction> - <width>18</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>i_read</name> - <role>read</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>i_readdata</name> - <role>readdata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>i_waitrequest</name> - <role>waitrequest</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>adaptsTo</key> - </entry> - <entry> - <key>addressGroup</key> - <value>1</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>true</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>dBSBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>doStreamReads</key> - <value>false</value> - </entry> - <entry> - <key>doStreamWrites</key> - <value>false</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isAsynchronous</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isReadable</key> - <value>false</value> - </entry> - <entry> - <key>isWriteable</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>true</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>32</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>interrupt</type> - <isStart>true</isStart> - <ports> - <port> - <name>irq</name> - <role>irq</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>cpu_0.data_master</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>irqMap</key> - </entry> - <entry> - <key>irqScheme</key> - <value>INDIVIDUAL_REQUESTS</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>reset_req</name> - <role>reset_req</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_nios2_gen2</className> - <version>18.0</version> - <displayName>Nios II Processor</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_CLK_CLOCK_DOMAIN</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>clk</systemInfoArgs> - <systemInfotype>CLOCK_DOMAIN</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_CLK_RESET_DOMAIN</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>clk</systemInfoArgs> - <systemInfotype>RESET_DOMAIN</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>AUTO_DEVICE</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>AUTO_DEVICE_SPEEDGRADE</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>50000000</parameterDefaultValue> - <parameterName>clockFrequency</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>clk</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>customInstSlavesSystemInfo</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>custom_instruction_master</systemInfoArgs> - <systemInfotype>CUSTOM_INSTRUCTION_SLAVES</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>customInstSlavesSystemInfo_nios_a</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>custom_instruction_master_a</systemInfoArgs> - <systemInfotype>CUSTOM_INSTRUCTION_SLAVES</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>customInstSlavesSystemInfo_nios_b</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>custom_instruction_master_b</systemInfoArgs> - <systemInfotype>CUSTOM_INSTRUCTION_SLAVES</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>customInstSlavesSystemInfo_nios_c</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>custom_instruction_master_c</systemInfoArgs> - <systemInfotype>CUSTOM_INSTRUCTION_SLAVES</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>dataAddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>data_master</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>dataMasterHighPerformanceAddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>data_master_high_performance</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>dataMasterHighPerformanceMapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>data_master_high_performance</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>dataSlaveMapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>data_master</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>STRATIXIV</parameterDefaultValue> - <parameterName>deviceFamilyName</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_FAMILY</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>deviceFeaturesSystemInfo</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_FEATURES</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>faAddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>flash_instruction_master</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>faSlaveMapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>flash_instruction_master</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>instAddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>instruction_master</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>instSlaveMapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>instruction_master</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>instructionMasterHighPerformanceAddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>instruction_master_high_performance</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>instructionMasterHighPerformanceMapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>instruction_master_high_performance</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>0</parameterDefaultValue> - <parameterName>internalIrqMaskSystemInfo</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>irq</systemInfoArgs> - <systemInfotype>INTERRUPTS_USED</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster0AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_data_master_0</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster0MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_data_master_0</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster1AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_data_master_1</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster1MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_data_master_1</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster2AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_data_master_2</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster2MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_data_master_2</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster3AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_data_master_3</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster3MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_data_master_3</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster0AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_0</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster0MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_0</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster1AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_1</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster1MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_1</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster2AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_2</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster2MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_2</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster3AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_3</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster3MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_3</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_DOMAIN</key> - <value>1</value> - </entry> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - <entry> - <key>RESET_DOMAIN</key> - <value>1</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>custom_instruction_master</key> - <value> - <connectionPointName>custom_instruction_master</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CUSTOM_INSTRUCTION_SLAVES</key> - <value></value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>data_master</key> - <value> - <connectionPointName>data_master</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x3400' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x134000' end='0x134400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x134400' end='0x134600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x134600' end='0x134800' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134800' end='0x134A00' datawidth='32' /><slave name='reg_wg.mem' start='0x134A00' end='0x134B00' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134B00' end='0x134C00' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x134C00' end='0x134D00' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x134D00' end='0x134E00' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134E00' end='0x134E80' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134E80' end='0x134F00' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134F00' end='0x134F80' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134F80' end='0x134FC0' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134FC0' end='0x135000' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x135000' end='0x135040' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x135040' end='0x135080' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x135080' end='0x1350C0' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x1350C0' end='0x135100' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x135100' end='0x135140' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x135140' end='0x135180' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x135180' end='0x1351A0' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x1351A0' end='0x1351C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x1351C0' end='0x1351E0' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x1351E0' end='0x135200' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x135200' end='0x135220' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x135220' end='0x135240' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x135240' end='0x135260' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x135260' end='0x135280' datawidth='32' /><slave name='reg_epcs.mem' start='0x135280' end='0x1352A0' datawidth='32' /><slave name='reg_remu.mem' start='0x1352A0' end='0x1352C0' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x1352C0' end='0x1352D0' datawidth='32' /><slave name='reg_ring_info.mem' start='0x1352D0' end='0x1352E0' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x1352E0' end='0x1352F0' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x1352F0' end='0x135300' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x135300' end='0x135310' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x135310' end='0x135320' datawidth='32' /><slave name='pio_pps.mem' start='0x135320' end='0x135330' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x135330' end='0x135338' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x135338' end='0x135340' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x135340' end='0x135348' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x135348' end='0x135350' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x135350' end='0x135358' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x135358' end='0x135360' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x135360' end='0x135368' datawidth='32' /><slave name='reg_si.mem' start='0x135368' end='0x135370' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x135370' end='0x135378' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x135378' end='0x135380' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x135380' end='0x135388' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x135388' end='0x135390' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x135390' end='0x135398' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>24</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>debug_mem_slave</key> - <value> - <connectionPointName>debug_mem_slave</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='debug_mem_slave' start='0x0' end='0x800' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>11</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>instruction_master</key> - <value> - <connectionPointName>instruction_master</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>18</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>irq</key> - <value> - <connectionPointName>irq</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>INTERRUPTS_USED</key> - <value>7</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_cpu_0</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_cpu_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_cpu_0</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_cpu_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_cpu_0</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_cpu_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_cpu_0</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_cpu_0.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap> - <entry> - <key>debug.hostConnection</key> - <value>type jtag id 70:34|110:135</value> - </entry> - <entry> - <key>embeddedsw.CMacro.BIG_ENDIAN</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.BREAK_ADDR</key> - <value>0x00003820</value> - </entry> - <entry> - <key>embeddedsw.CMacro.CPU_ARCH_NIOS2_R1</key> - <value></value> - </entry> - <entry> - <key>embeddedsw.CMacro.CPU_FREQ</key> - <value>100000000u</value> - </entry> - <entry> - <key>embeddedsw.CMacro.CPU_ID_SIZE</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.CPU_ID_VALUE</key> - <value>0x00000000</value> - </entry> - <entry> - <key>embeddedsw.CMacro.CPU_IMPLEMENTATION</key> - <value>"tiny"</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DATA_ADDR_WIDTH</key> - <value>24</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DCACHE_LINE_SIZE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DCACHE_SIZE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.EXCEPTION_ADDR</key> - <value>0x00020020</value> - </entry> - <entry> - <key>embeddedsw.CMacro.FLASH_ACCELERATOR_LINES</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.FLUSHDA_SUPPORTED</key> - <value></value> - </entry> - <entry> - <key>embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.HARDWARE_MULX_PRESENT</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.HAS_DEBUG_CORE</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.HAS_DEBUG_STUB</key> - <value></value> - </entry> - <entry> - <key>embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION</key> - <value></value> - </entry> - <entry> - <key>embeddedsw.CMacro.HAS_JMPI_INSTRUCTION</key> - <value></value> - </entry> - <entry> - <key>embeddedsw.CMacro.ICACHE_LINE_SIZE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.ICACHE_SIZE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.INST_ADDR_WIDTH</key> - <value>18</value> - </entry> - <entry> - <key>embeddedsw.CMacro.OCI_VERSION</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.RESET_ADDR</key> - <value>0x00020000</value> - </entry> - <entry> - <key>embeddedsw.configuration.DataCacheVictimBufImpl</key> - <value>ram</value> - </entry> - <entry> - <key>embeddedsw.configuration.HDLSimCachesCleared</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.breakOffset</key> - <value>32</value> - </entry> - <entry> - <key>embeddedsw.configuration.breakSlave</key> - <value>cpu_0.debug_mem_slave</value> - </entry> - <entry> - <key>embeddedsw.configuration.cpuArchitecture</key> - <value>Nios II</value> - </entry> - <entry> - <key>embeddedsw.configuration.exceptionOffset</key> - <value>32</value> - </entry> - <entry> - <key>embeddedsw.configuration.exceptionSlave</key> - <value>onchip_memory2_0.s1</value> - </entry> - <entry> - <key>embeddedsw.configuration.resetOffset</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.resetSlave</key> - <value>onchip_memory2_0.s1</value> - </entry> - <entry> - <key>embeddedsw.dts.compatible</key> - <value>altr,nios2-1.1</value> - </entry> - <entry> - <key>embeddedsw.dts.group</key> - <value>cpu</value> - </entry> - <entry> - <key>embeddedsw.dts.name</key> - <value>nios2</value> - </entry> - <entry> - <key>embeddedsw.dts.params.altr,exception-addr</key> - <value>0x00020020</value> - </entry> - <entry> - <key>embeddedsw.dts.params.altr,implementation</key> - <value>"tiny"</value> - </entry> - <entry> - <key>embeddedsw.dts.params.altr,reset-addr</key> - <value>0x00020000</value> - </entry> - <entry> - <key>embeddedsw.dts.params.clock-frequency</key> - <value>100000000u</value> - </entry> - <entry> - <key>embeddedsw.dts.params.dcache-line-size</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.dts.params.dcache-size</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.dts.params.icache-line-size</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.dts.params.icache-size</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.dts.vendor</key> - <value>altr</value> - </entry> - </assignmentValueMap> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="jesd204b" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16384</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x4000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>14</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_jesd204b</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_jesd204b</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_jesd204b</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_jesd204b</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_jesd204b</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_jesd204b</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_jesd204b</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jesd204b.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="jtag_uart_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>avalon_jtag_slave</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>av_chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_read_n</name> - <role>read_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_write_n</name> - <role>write_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>1</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>NATIVE</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>2</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>true</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - <cmsisInfo> - <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> -<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > - <peripherals> - <peripheral> - <name>altera_avalon_jtag_uart</name><baseAddress>0x00000000</baseAddress> - <addressBlock> - <offset>0x0</offset> - <size>8</size> - <usage>registers</usage> - </addressBlock> - <registers> - <register> - <name>DATA</name> - <displayName>Data</displayName> - <description>Embedded software accesses the read and write FIFOs via the data register. A read from the data register returns the first character from the FIFO (if one is available) in the DATA field. Reading also returns information about the number of characters remaining in the FIFO in the RAVAIL field. A write to the data register stores the value of the DATA field in the write FIFO. If the write FIFO is full, the character is lost.</description> - <addressOffset>0x0</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>data</name> - <description>The value to transfer to/from the JTAG core. When writing, the DATA field holds a character to be written to the write FIFO. When reading, the DATA field holds a character read from the read FIFO.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>8</bitWidth> - <access>read-write</access> - </field> - <field><name>rvalid</name> - <description>Indicates whether the DATA field is valid. If RVALID=1, the DATA field is valid, otherwise DATA is undefined.</description> - <bitOffset>0xf</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field><name>ravail</name> - <description>The number of characters remaining in the read FIFO (after the current read).</description> - <bitOffset>0x10</bitOffset> - <bitWidth>16</bitWidth> - <access>read-only</access> - </field> - </fields> - </register> - <register> - <name>CONTROL</name> - <displayName>Control</displayName> - <description>Embedded software controls the JTAG UART core's interrupt generation and reads status information via the control register. A read from the control register returns the status of the read and write FIFOs. Writes to the register can be used to enable/disable interrupts, or clear the AC bit.</description> - <addressOffset>0x4</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>re</name> - <description>Interrupt-enable bit for read interrupts.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field><name>we</name> - <description>Interrupt-enable bit for write interrupts</description> - <bitOffset>0x1</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field><name>ri</name> - <description>Indicates that the read interrupt is pending.</description> - <bitOffset>0x8</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field><name>wi</name> - <description>Indicates that the write interrupt is pending.</description> - <bitOffset>0x9</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field><name>ac</name> - <description>Indicates that there has been JTAG activity since the bit was cleared. Writing 1 to AC clears it to 0.</description> - <bitOffset>0xa</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field><name>wspace</name> - <description>The number of spaces available in the write FIFO</description> - <bitOffset>0x10</bitOffset> - <bitWidth>16</bitWidth> - <access>read-only</access> - </field> - </fields> - </register> - </registers> - </peripheral> - </peripherals> -</device> </cmsisSrcFileContents> - <addressGroup></addressGroup> - <cmsisVars/> - </cmsisInfo> - </interface> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>av_irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>jtag_uart_0.avalon_jtag_slave</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>rst_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_avalon_jtag_uart</className> - <version>18.0</version> - <displayName>JTAG UART Intel FPGA IP</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>avalonSpec</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>AVALON_SPEC</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>0</parameterDefaultValue> - <parameterName>clkFreq</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>clk</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>avalon_jtag_slave</key> - <value> - <connectionPointName>avalon_jtag_slave</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='avalon_jtag_slave' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_jtag_uart_0</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_jtag_uart_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_jtag_uart_0</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_jtag_uart_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_jtag_uart_0</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_jtag_uart_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_jtag_uart_0</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jtag_uart_0.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap> - <entry> - <key>embeddedsw.CMacro.READ_DEPTH</key> - <value>64</value> - </entry> - <entry> - <key>embeddedsw.CMacro.READ_THRESHOLD</key> - <value>8</value> - </entry> - <entry> - <key>embeddedsw.CMacro.WRITE_DEPTH</key> - <value>64</value> - </entry> - <entry> - <key>embeddedsw.CMacro.WRITE_THRESHOLD</key> - <value>8</value> - </entry> - <entry> - <key>embeddedsw.dts.compatible</key> - <value>altr,juart-1.0</value> - </entry> - <entry> - <key>embeddedsw.dts.group</key> - <value>serial</value> - </entry> - <entry> - <key>embeddedsw.dts.name</key> - <value>juart</value> - </entry> - <entry> - <key>embeddedsw.dts.vendor</key> - <value>altr</value> - </entry> - </assignmentValueMap> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="onchip_memory2_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>clk1</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset1</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>reset_req</name> - <role>reset_req</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk1</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>clken</name> - <role>clken</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>byteenable</name> - <role>byteenable</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk1</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset1</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>true</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_avalon_onchip_memory2</className> - <version>18.0</version> - <displayName>On-Chip Memory (RAM or ROM) Intel FPGA IP</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>autoInitializationFileName</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>UNIQUE_ID</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>NONE</parameterDefaultValue> - <parameterName>deviceFamily</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_FAMILY</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>NONE</parameterDefaultValue> - <parameterName>deviceFeatures</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_FEATURES</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>s1</key> - <value> - <connectionPointName>s1</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='s1' start='0x0' end='0x20000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>17</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_onchip_memory2_0</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_onchip_memory2_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_onchip_memory2_0</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_onchip_memory2_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_onchip_memory2_0</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_onchip_memory2_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_onchip_memory2_0</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_onchip_memory2_0.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap> - <entry> - <key>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.CONTENTS_INFO</key> - <value>""</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DUAL_PORT</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</key> - <value>AUTO</value> - </entry> - <entry> - <key>embeddedsw.CMacro.INIT_CONTENTS_FILE</key> - <value>onchip_memory2_0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.INIT_MEM_CONTENT</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.INSTANCE_ID</key> - <value>NONE</value> - </entry> - <entry> - <key>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.RAM_BLOCK_TYPE</key> - <value>AUTO</value> - </entry> - <entry> - <key>embeddedsw.CMacro.READ_DURING_WRITE_MODE</key> - <value>DONT_CARE</value> - </entry> - <entry> - <key>embeddedsw.CMacro.SINGLE_CLOCK_OP</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.SIZE_MULTIPLE</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.SIZE_VALUE</key> - <value>131072</value> - </entry> - <entry> - <key>embeddedsw.CMacro.WRITABLE</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</key> - <value>SIM_DIR</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.GENERATE_DAT_SYM</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.GENERATE_HEX</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.HAS_BYTE_LANE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.HEX_INSTALL_DIR</key> - <value>QPF_DIR</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</key> - <value>32</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.MEM_INIT_FILENAME</key> - <value>onchip_memory2_0</value> - </entry> - <entry> - <key>postgeneration.simulation.init_file.param_name</key> - <value>INIT_FILE</value> - </entry> - <entry> - <key>postgeneration.simulation.init_file.type</key> - <value>MEM_INIT</value> - </entry> - </assignmentValueMap> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="pio_jesd_ctrl" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_pio_jesd_ctrl</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_pio_jesd_ctrl</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_pio_jesd_ctrl</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_pio_jesd_ctrl</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_pio_jesd_ctrl</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_pio_jesd_ctrl</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_pio_jesd_ctrl</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_jesd_ctrl.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="pio_pps" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_pio_pps</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_pio_pps</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_pio_pps</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_pio_pps</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_pio_pps</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_pio_pps</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_pio_pps</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_pps.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="pio_system_info" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_pio_system_info</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_pio_system_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_pio_system_info</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_pio_system_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_pio_system_info</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_pio_system_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_pio_system_info</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_system_info.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="pio_wdi" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>external_connection</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>out_port</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>write_n</name> - <role>write_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>NATIVE</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - <cmsisInfo> - <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> -<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > - <peripherals> - <peripheral> - <name>altera_avalon_pio</name><baseAddress>0x00000000</baseAddress> - <addressBlock> - <offset>0x0</offset> - <size>32</size> - <usage>registers</usage> - </addressBlock> - <registers> - <register> - <name>DATA</name> - <displayName>Data</displayName> - <description>Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).</description> - <addressOffset>0x0</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>data</name> - <description>Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>DIRECTION</name> - <displayName>Direction</displayName> - <description>The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.</description> - <addressOffset>0x4</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>direction</name> - <description>Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>IRQ_MASK</name> - <displayName>Interrupt mask</displayName> - <description>Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.</description> - <addressOffset>0x8</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>interruptmask</name> - <description>IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>EDGE_CAP</name> - <displayName>Edge capture</displayName> - <description>Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.</description> - <addressOffset>0xc</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>edgecapture</name> - <description>Edge detection for each input port.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>SET_BIT</name> - <displayName>Outset</displayName> - <description>You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.</description> - <addressOffset>0x10</addressOffset> - <size>32</size> - <access>write-only</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>outset</name> - <description>Specifies which bit of the output port to set.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>write-only</access> - </field> - </fields> - </register> - <register> - <name>CLEAR_BITS</name> - <displayName>Outclear</displayName> - <description>You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.</description> - <addressOffset>0x14</addressOffset> - <size>32</size> - <access>write-only</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>outclear</name> - <description>Specifies which output bit to clear.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>write-only</access> - </field> - </fields> - </register> - </registers> - </peripheral> - </peripherals> -</device> </cmsisSrcFileContents> - <addressGroup></addressGroup> - <cmsisVars/> - </cmsisInfo> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_avalon_pio</className> - <version>18.0</version> - <displayName>PIO (Parallel I/O) Intel FPGA IP</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>0</parameterDefaultValue> - <parameterName>clockRate</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>clk</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>s1</key> - <value> - <connectionPointName>s1</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='s1' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_pio_wdi</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_pio_wdi</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_pio_wdi</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_pio_wdi</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_pio_wdi</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_pio_wdi</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_pio_wdi</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_wdi.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap> - <entry> - <key>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.CAPTURE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DATA_WIDTH</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DRIVEN_SIM_VALUE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.EDGE_TYPE</key> - <value>NONE</value> - </entry> - <entry> - <key>embeddedsw.CMacro.FREQ</key> - <value>100000000</value> - </entry> - <entry> - <key>embeddedsw.CMacro.HAS_IN</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.HAS_OUT</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.HAS_TRI</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.IRQ_TYPE</key> - <value>NONE</value> - </entry> - <entry> - <key>embeddedsw.CMacro.RESET_VALUE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.dts.compatible</key> - <value>altr,pio-1.0</value> - </entry> - <entry> - <key>embeddedsw.dts.group</key> - <value>gpio</value> - </entry> - <entry> - <key>embeddedsw.dts.name</key> - <value>pio</value> - </entry> - <entry> - <key>embeddedsw.dts.params.altr,gpio-bank-width</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.dts.params.resetvalue</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.dts.vendor</key> - <value>altr</value> - </entry> - </assignmentValueMap> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="ram_bf_weights" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>2</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm_readlatency2</className> - <version>1.0</version> - <displayName>avs_common_mm_readlatency2</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>17</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_ram_bf_weights</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_bf_weights</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_bf_weights</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_bf_weights</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_bf_weights</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_bf_weights</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_bf_weights</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_bf_weights.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="ram_diag_data_buffer_bsn" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>21</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>21</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8388608</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x800000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>23</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="ram_equalizer_gains" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>65536</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>2</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm_readlatency2</className> - <version>1.0</version> - <displayName>avs_common_mm_readlatency2</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>16</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_ram_equalizer_gains</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_equalizer_gains</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_equalizer_gains</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_equalizer_gains</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_equalizer_gains</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_equalizer_gains</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_equalizer_gains</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_equalizer_gains.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="ram_fil_coefs" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>17</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_ram_fil_coefs</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_fil_coefs</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_fil_coefs</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_fil_coefs</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_fil_coefs</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_fil_coefs</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_fil_coefs</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_fil_coefs.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="ram_scrap" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>9</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>9</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>2048</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x800' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>11</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_ram_scrap</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_scrap</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_scrap</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_scrap</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_scrap</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_scrap</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_scrap</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_scrap.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="ram_ss_ss_wide" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>65536</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>16</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_ram_ss_ss_wide</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_ss_ss_wide</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_ss_ss_wide</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_ss_ss_wide</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_ss_ss_wide</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_ss_ss_wide</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_ss_ss_wide</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_ss_ss_wide.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="ram_st_bst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16384</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x4000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>14</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_ram_st_bst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_st_bst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_st_bst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_st_bst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_st_bst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_st_bst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_st_bst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_bst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="ram_st_histogram" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>13</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>13</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32768</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>15</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_ram_st_histogram</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_st_histogram</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_st_histogram</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_st_histogram</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_st_histogram</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_st_histogram</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_st_histogram</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_histogram.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="ram_st_sst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>17</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_ram_st_sst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_st_sst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_st_sst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_st_sst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_st_sst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_st_sst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_st_sst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_sst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="ram_st_xsq" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>262144</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>18</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_ram_st_xsq</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_st_xsq</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_st_xsq</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_st_xsq</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_st_xsq</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_st_xsq</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_st_xsq</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_xsq.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="ram_wg" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>65536</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>16</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_ram_wg</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_wg</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_wg</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_wg</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_wg</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_ram_wg</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_ram_wg</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_wg.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_aduh_monitor" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_aduh_monitor</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_aduh_monitor</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_aduh_monitor</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_aduh_monitor</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_aduh_monitor</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_aduh_monitor</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_aduh_monitor</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_aduh_monitor.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bf_scale" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bf_scale</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bf_scale</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bf_scale</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bf_scale</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bf_scale</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bf_scale</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bf_scale</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bf_scale.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_align_v2_bf" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_align_v2_xsub" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_input" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>1024</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x400' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_input</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_input</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_input</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_input</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_input</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_input</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_input</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_input.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_v2_aligned_bf" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_v2_aligned_xsub" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_v2_beamlet_output" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_v2_bst_offload" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_v2_ring_rx_bf" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>1024</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x400' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_v2_ring_rx_xst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>512</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x200' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>9</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_v2_ring_tx_bf" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>1024</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x400' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_v2_ring_tx_xst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>512</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x200' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>9</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_v2_rx_align_bf" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_v2_rx_align_xsub" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>512</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x200' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>9</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_v2_sst_offload" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_monitor_v2_xst_offload" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_scheduler" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_scheduler</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_scheduler</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_scheduler</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_scheduler</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_scheduler</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_scheduler</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_scheduler</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_scheduler.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_source_v2" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_source_v2</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_source_v2</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_source_v2</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_source_v2</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_source_v2</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_source_v2</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_source_v2</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_source_v2.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_bsn_sync_scheduler_xsub" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_crosslets_info" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_crosslets_info</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_crosslets_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_crosslets_info</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_crosslets_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_crosslets_info</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_crosslets_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_crosslets_info</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_crosslets_info.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_diag_data_buffer_bsn" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_dp_block_validate_bsn_at_sync_bf" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_dp_block_validate_bsn_at_sync_xst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_dp_block_validate_err_bf" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_dp_block_validate_err_xst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_dp_selector" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_dp_selector</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_selector</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_selector</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_selector</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_selector</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_selector</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_selector</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_selector.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_dp_shiftram" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_dp_shiftram</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_shiftram</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_shiftram</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_shiftram</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_shiftram</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_shiftram</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_shiftram</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_shiftram.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_dp_xonoff" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_dp_xonoff</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_xonoff</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_xonoff</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_xonoff</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_xonoff</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dp_xonoff</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dp_xonoff</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_xonoff.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_dpmm_ctrl" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_dpmm_ctrl</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dpmm_ctrl</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dpmm_ctrl</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dpmm_ctrl</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dpmm_ctrl</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dpmm_ctrl</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dpmm_ctrl</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_ctrl.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_dpmm_data" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_dpmm_data</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dpmm_data</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dpmm_data</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dpmm_data</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dpmm_data</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_dpmm_data</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_dpmm_data</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_data.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_epcs" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_epcs</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_epcs</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_epcs</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_epcs</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_epcs</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_epcs</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_epcs</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_epcs.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_fpga_temp_sens" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_fpga_temp_sens</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_fpga_temp_sens</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_fpga_temp_sens</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_fpga_temp_sens</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_fpga_temp_sens</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_fpga_temp_sens</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_fpga_temp_sens</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_temp_sens.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_fpga_voltage_sens" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_fpga_voltage_sens</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_fpga_voltage_sens</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_fpga_voltage_sens</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_fpga_voltage_sens</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_fpga_voltage_sens</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_fpga_voltage_sens</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_fpga_voltage_sens</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_voltage_sens.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_hdr_dat" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>512</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x200' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>9</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_hdr_dat</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_hdr_dat</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_hdr_dat</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_hdr_dat</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_hdr_dat</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_hdr_dat</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_hdr_dat</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_hdr_dat.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_mmdp_ctrl" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_mmdp_ctrl</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_mmdp_ctrl</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_mmdp_ctrl</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_mmdp_ctrl</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_mmdp_ctrl</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_mmdp_ctrl</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_mmdp_ctrl</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_ctrl.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_mmdp_data" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_mmdp_data</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_mmdp_data</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_mmdp_data</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_mmdp_data</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_mmdp_data</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_mmdp_data</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_mmdp_data</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_data.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_nof_crosslets" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_nof_crosslets</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_nof_crosslets</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_nof_crosslets</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_nof_crosslets</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_nof_crosslets</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_nof_crosslets</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_nof_crosslets</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nof_crosslets.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_nw_10gbe_eth10g" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_nw_10gbe_mac" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>13</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>13</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32768</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>15</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_nw_10gbe_mac</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_nw_10gbe_mac</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_nw_10gbe_mac</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_nw_10gbe_mac</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_nw_10gbe_mac</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_nw_10gbe_mac</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_nw_10gbe_mac</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_remu" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_remu</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_remu</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_remu</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_remu</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_remu</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_remu</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_remu</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_remu.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_ring_info" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_ring_info</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_ring_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_ring_info</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_ring_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_ring_info</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_ring_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_ring_info</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_info.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_ring_lane_info_bf" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_ring_lane_info_bf</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_ring_lane_info_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_ring_lane_info_bf</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_ring_lane_info_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_ring_lane_info_bf</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_ring_lane_info_bf</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_ring_lane_info_bf</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_ring_lane_info_xst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_ring_lane_info_xst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_ring_lane_info_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_ring_lane_info_xst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_ring_lane_info_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_ring_lane_info_xst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_ring_lane_info_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_ring_lane_info_xst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_sdp_info" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_sdp_info</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_sdp_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_sdp_info</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_sdp_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_sdp_info</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_sdp_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_sdp_info</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_sdp_info.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_si" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_si</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_si</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_si</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_si</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_si</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_si</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_si</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_si.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_stat_enable_bst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_stat_enable_bst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_enable_bst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_enable_bst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_enable_bst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_enable_bst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_enable_bst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_enable_bst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_bst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_stat_enable_sst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_stat_enable_sst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_enable_sst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_enable_sst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_enable_sst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_enable_sst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_enable_sst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_enable_sst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_sst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_stat_enable_xst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_stat_enable_xst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_enable_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_enable_xst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_enable_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_enable_xst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_enable_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_enable_xst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_xst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_stat_hdr_dat_bst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>512</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x200' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>9</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_stat_hdr_dat_sst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_stat_hdr_dat_xst" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_tr_10gbe_eth10g" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_tr_10gbe_mac" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>17</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_tr_10gbe_mac</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_tr_10gbe_mac</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_tr_10gbe_mac</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_tr_10gbe_mac</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_tr_10gbe_mac</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_tr_10gbe_mac</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_tr_10gbe_mac</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_unb_pmbus" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_unb_pmbus</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_unb_pmbus</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_unb_pmbus</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_unb_pmbus</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_unb_pmbus</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_unb_pmbus</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_unb_pmbus</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_pmbus.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_unb_sens" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_unb_sens</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_unb_sens</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_unb_sens</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_unb_sens</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_unb_sens</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_unb_sens</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_unb_sens</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_sens.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_wdi" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_wdi</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_wdi</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_wdi</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_wdi</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_wdi</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_wdi</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_wdi</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wdi.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="reg_wg" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_reg_wg</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_wg</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_wg</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_wg</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_wg</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_reg_wg</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_reg_wg</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wg.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="rom_system_info" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>13</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>13</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32768</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>15</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_rom_system_info</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_rom_system_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_rom_system_info</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_rom_system_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_rom_system_info</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_rom_system_info</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_rom_system_info</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_rom_system_info.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="timer_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>timer_0.s1</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>write_n</name> - <role>write_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isTimerDevice</key> - <value>1</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>NATIVE</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - <cmsisInfo> - <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> -<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > - <peripherals> - <peripheral> - <name>altera_avalon_timer</name><baseAddress>0x00000000</baseAddress> - <addressBlock> - <offset>0x0</offset> - <size>16</size> - <usage>registers</usage> - </addressBlock> - <registers> - <register> - <name>status</name> - <displayName>Status</displayName> - <description>The status register has two defined bits. TO (timeout), RUN</description> - <addressOffset>0x0</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - <fields> - <field><name>TO</name> - <description>The TO (timeout) bit is set to 1 when the internal counter reaches zero. Once set by a timeout event, the TO bit stays set until explicitly cleared by a master peripheral. Write zero to the status register to clear the TO bit.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - <readAction>clear</readAction> - </field> - <field><name>RUN</name> - <description>The RUN bit reads as 1 when the internal counter is running; otherwise this bit reads as 0. The RUN bit is not changed by - a write operation to the status register.</description> - <bitOffset>1</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field> - <name>Reserved</name> - <description>Reserved</description> - <bitOffset>2</bitOffset> - <bitWidth>14</bitWidth> - <access>read-write</access> - <parameters> - <parameter> - <name>Reserved</name> - <value>true</value> - </parameter> - </parameters> - </field> - </fields> - </register> - <register> - <name>control</name> - <description>The control register has four defined bits. ITO (Timeout Interrupt), CONT (continue), START, STOP</description> - <addressOffset>0x1</addressOffset> - <size>16</size> - <access>read-write</access> - <reset> - <value>0x0</value> - </reset> - <field> - <name>ITO</name> - <description>If the ITO bit is 1, the interval timer core generates an IRQ when the status register's TO bit is 1. When the ITO bit is 0, the timer does not generate IRQs.</description> - <bitOffset>0</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field> - <name>CONT</name> - <description>The CONT (continuous) bit determines how the internal counter behaves when it reaches zero. If the CONT bit is 1, the counter runs continuously until it is stopped by the STOP bit. If CONT is 0, the counter stops after it reaches zero. When the counter reaches zero, it reloads with the value stored in the period registers, regardless of the CONT bit.</description> - <bitOffset>1</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field> - <name>START</name> - <description>Writing a 1 to the START bit starts the internal counter running (counting down). The START bit is an event bit that enables the counter when a write operation is performed. If the timer is stopped, writing a 1 to the START bit causes the timer to restart counting from the number currently stored in its counter. If the timer is already running, writing a 1 to START has no effect. Writing 0 to the START bit has no effect.</description> - <bitOffset>2</bitOffset> - <bitWidth>1</bitWidth> - <access>write-only</access> - </field> - <field> - <name>STOP</name> - <description>Writing a 1 to the STOP bit stops the internal counter. The STOP bit is an event bit that causes the counter to stop when a write operation is performed. If the timer is already stopped, writing a 1 to STOP has no effect. Writing a 0 to the stop bit has no effect. If the timer hardware is configured with Start/Stop control bits off, writing the STOP bit has no effect.</description> - <bitOffset>3</bitOffset> - <bitWidth>1</bitWidth> - <access>write-only</access> - </field> - <field> - <name>Reserved</name> - <description>Reserved</description> - <bitOffset>4</bitOffset> - <bitWidth>12</bitWidth> - <access>read-write</access> - <parameters> - <parameter> - <name>Reserved</name> - <value>true</value> - </parameter> - </parameters> - </field> - </register> - <register> - <name>${period_name_0}</name> - <description>The period_n registers together store the timeout period value when a write operation to one of the period_n register or the internal counter reaches 0. The timer's actual period is one cycle greater than the value stored in the period_n registers because the counter assumes the value zero for one clock cycle. Writing to one of the period_n registers stops the internal counter, except when the hardware is configured with Start/Stop control bits off. If Start/Stop control bits is off, writing either register does not stop the counter. When the hardware is configured with Writeable period disabled, writing to one of the period_n registers causes the counter to reset to the fixed Timeout Period specified at system generation time.</description> - <addressOffset>0x2</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>${period_name_0_reset_value}</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${period_name_1}</name> - <description></description> - <addressOffset>0x3</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>${period_name_1_reset_value}</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${period_snap_0}</name> - <description></description> - <addressOffset>0x4</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>${period_snap_0_reset_value}</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${period_snap_1}</name> - <description></description> - <addressOffset>0x5</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>${period_snap_1_reset_value}</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${snap_0}</name> - <description>A master peripheral may request a coherent snapshot of the current internal counter by performing a write operation (write-data ignored) to one of the snap_n registers. When a write occurs, the value of the counter is copied to snap_n registers. The snapshot occurs whether or not the counter is running. Requesting a snapshot does not change the internal counter's operation.</description> - <addressOffset>0x6</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${snap_1}</name> - <description></description> - <addressOffset>0x7</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${snap_2}</name> - <description></description> - <addressOffset>0x8</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${snap_3}</name> - <description></description> - <addressOffset>0x9</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - </register> - </registers> - </peripheral> - </peripherals> -</device> </cmsisSrcFileContents> - <addressGroup></addressGroup> - <cmsisVars> - <entry> - <key>period_name_1_reset_value</key> - <value>0x1</value> - </entry> - <entry> - <key>snap_0</key> - <value>Reserved</value> - </entry> - <entry> - <key>period_name_0_reset_value</key> - <value>0x869f</value> - </entry> - <entry> - <key>snap_2</key> - <value>Reserved</value> - </entry> - <entry> - <key>snap_1</key> - <value>Reserved</value> - </entry> - <entry> - <key>snap_3</key> - <value>Reserved</value> - </entry> - <entry> - <key>period_name_0</key> - <value>periodl</value> - </entry> - <entry> - <key>period_name_1</key> - <value>periodh</value> - </entry> - <entry> - <key>period_snap_1</key> - <value>snaph</value> - </entry> - <entry> - <key>period_snap_1_reset_value</key> - <value>0x0</value> - </entry> - <entry> - <key>period_snap_0_reset_value</key> - <value>0x0</value> - </entry> - <entry> - <key>period_snap_0</key> - <value>snapl</value> - </entry> - </cmsisVars> - </cmsisInfo> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_avalon_timer</className> - <version>18.0</version> - <displayName>Interval Timer Intel FPGA IP</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>0</parameterDefaultValue> - <parameterName>systemFrequency</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>clk</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>s1</key> - <value> - <connectionPointName>s1</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='s1' start='0x0' end='0x20' datawidth='16' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>16</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>qsys_disturb2_unb2b_station_timer_0</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_timer_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_timer_0</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_timer_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_timer_0</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>qsys_disturb2_unb2b_station_timer_0</fileSetName> - <fileSetFixedName>qsys_disturb2_unb2b_station_timer_0</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">../disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_timer_0.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap> - <entry> - <key>embeddedsw.CMacro.ALWAYS_RUN</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.COUNTER_SIZE</key> - <value>32</value> - </entry> - <entry> - <key>embeddedsw.CMacro.FIXED_PERIOD</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.FREQ</key> - <value>100000000</value> - </entry> - <entry> - <key>embeddedsw.CMacro.LOAD_VALUE</key> - <value>99999</value> - </entry> - <entry> - <key>embeddedsw.CMacro.MULT</key> - <value>0.001</value> - </entry> - <entry> - <key>embeddedsw.CMacro.PERIOD</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.PERIOD_UNITS</key> - <value>ms</value> - </entry> - <entry> - <key>embeddedsw.CMacro.RESET_OUTPUT</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.SNAPSHOT</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.TICKS_PER_SEC</key> - <value>1000</value> - </entry> - <entry> - <key>embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.dts.vendor</key> - <value>altr</value> - </entry> - </assignmentValueMap> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="jtag_uart_0.avalon_jtag_slave"> - <parameter name="baseAddress" value="0x00135390" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="cpu_0.debug_mem_slave"> - <parameter name="baseAddress" value="0x3800" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_unb_sens.mem"> - <parameter name="baseAddress" value="0x00134d00" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="rom_system_info.mem"> - <parameter name="baseAddress" value="0x00010000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="pio_system_info.mem"> - <parameter name="baseAddress" value="0x0000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="pio_pps.mem"> - <parameter name="baseAddress" value="0x00135320" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_wdi.mem"> - <parameter name="baseAddress" value="0x3000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_remu.mem"> - <parameter name="baseAddress" value="0x001352a0" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_epcs.mem"> - <parameter name="baseAddress" value="0x00135280" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_dpmm_ctrl.mem"> - <parameter name="baseAddress" value="0x00135388" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_dpmm_data.mem"> - <parameter name="baseAddress" value="0x00135380" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_mmdp_ctrl.mem"> - <parameter name="baseAddress" value="0x00135378" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_mmdp_data.mem"> - <parameter name="baseAddress" value="0x00135370" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_fpga_temp_sens.mem"> - <parameter name="baseAddress" value="0x00135260" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_unb_pmbus.mem"> - <parameter name="baseAddress" value="0x00134c00" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_fpga_voltage_sens.mem"> - <parameter name="baseAddress" value="0x00135140" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="ram_st_sst.mem"> - <parameter name="baseAddress" value="0x000e0000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_si.mem"> - <parameter name="baseAddress" value="0x00135368" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="ram_fil_coefs.mem"> - <parameter name="baseAddress" value="0x000c0000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="ram_scrap.mem"> - <parameter name="baseAddress" value="0x0800" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_aduh_monitor.mem"> - <parameter name="baseAddress" value="0x00134b00" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="ram_wg.mem"> - <parameter name="baseAddress" value="0x00120000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_dp_shiftram.mem"> - <parameter name="baseAddress" value="0x00134f00" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_scheduler.mem"> - <parameter name="baseAddress" value="0x00135360" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_source_v2.mem"> - <parameter name="baseAddress" value="0x00135240" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_wg.mem"> - <parameter name="baseAddress" value="0x00134a00" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_input.mem"> - <parameter name="baseAddress" value="0x00134000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="jesd204b.mem"> - <parameter name="baseAddress" value="0x00130000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_dp_selector.mem"> - <parameter name="baseAddress" value="0x00135358" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="ram_ss_ss_wide.mem"> - <parameter name="baseAddress" value="0x00110000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bf_scale.mem"> - <parameter name="baseAddress" value="0x00135310" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_hdr_dat.mem"> - <parameter name="baseAddress" value="0x00134800" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_dp_xonoff.mem"> - <parameter name="baseAddress" value="0x00135300" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="ram_st_bst.mem"> - <parameter name="baseAddress" value="0x4000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_sdp_info.mem"> - <parameter name="baseAddress" value="0x00135100" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_nw_10gbe_eth10g.mem"> - <parameter name="baseAddress" value="0x00135350" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_nw_10gbe_mac.mem"> - <parameter name="baseAddress" value="0x00018000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_diag_data_buffer_bsn.mem"> - <parameter name="baseAddress" value="0x00134e80" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="ram_diag_data_buffer_bsn.mem"> - <parameter name="baseAddress" value="0x00800000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="pio_jesd_ctrl.mem"> - <parameter name="baseAddress" value="0x00135348" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_stat_enable_sst.mem"> - <parameter name="baseAddress" value="0x00135340" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_stat_hdr_dat_sst.mem"> - <parameter name="baseAddress" value="0x3100" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_stat_enable_bst.mem"> - <parameter name="baseAddress" value="0x001352f0" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_stat_hdr_dat_bst.mem"> - <parameter name="baseAddress" value="0x00134600" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_crosslets_info.mem"> - <parameter name="baseAddress" value="0x001350c0" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="ram_st_xsq.mem"> - <parameter name="baseAddress" value="0x00040000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_stat_enable_xst.mem"> - <parameter name="baseAddress" value="0x00135338" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_stat_hdr_dat_xst.mem"> - <parameter name="baseAddress" value="0x0100" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_sync_scheduler_xsub.mem"> - <parameter name="baseAddress" value="0x00135080" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="ram_st_histogram.mem"> - <parameter name="baseAddress" value="0x8000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_nof_crosslets.mem"> - <parameter name="baseAddress" value="0x00135330" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_align_v2_xsub.mem"> - <parameter name="baseAddress" value="0x00134e00" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_v2_rx_align_xsub.mem"> - <parameter name="baseAddress" value="0x00134400" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_v2_aligned_xsub.mem"> - <parameter name="baseAddress" value="0x00135220" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_v2_xst_offload.mem"> - <parameter name="baseAddress" value="0x00135200" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_ring_lane_info_xst.mem"> - <parameter name="baseAddress" value="0x3008" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_v2_ring_rx_xst.mem"> - <parameter name="baseAddress" value="0x3200" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_v2_ring_tx_xst.mem"> - <parameter name="baseAddress" value="0x0200" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_dp_block_validate_err_xst.mem"> - <parameter name="baseAddress" value="0x00135040" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_dp_block_validate_bsn_at_sync_xst.mem"> - <parameter name="baseAddress" value="0x001352e0" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_ring_info.mem"> - <parameter name="baseAddress" value="0x001352d0" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_tr_10gbe_eth10g.mem"> - <parameter name="baseAddress" value="0x001351e0" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_tr_10gbe_mac.mem"> - <parameter name="baseAddress" value="0x000a0000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_v2_sst_offload.mem"> - <parameter name="baseAddress" value="0x001351c0" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_v2_bst_offload.mem"> - <parameter name="baseAddress" value="0x00135000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_v2_beamlet_output.mem"> - <parameter name="baseAddress" value="0x00134fc0" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_align_v2_bf.mem"> - <parameter name="baseAddress" value="0x001351a0" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_v2_rx_align_bf.mem"> - <parameter name="baseAddress" value="0x3080" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_v2_aligned_bf.mem"> - <parameter name="baseAddress" value="0x00134f80" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_ring_lane_info_bf.mem"> - <parameter name="baseAddress" value="0x001352c0" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_v2_ring_rx_bf.mem"> - <parameter name="baseAddress" value="0x3400" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_bsn_monitor_v2_ring_tx_bf.mem"> - <parameter name="baseAddress" value="0x0400" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_dp_block_validate_err_bf.mem"> - <parameter name="baseAddress" value="0x0080" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="reg_dp_block_validate_bsn_at_sync_bf.mem"> - <parameter name="baseAddress" value="0x00135180" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="ram_bf_weights.mem"> - <parameter name="baseAddress" value="0x00080000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="ram_equalizer_gains.mem"> - <parameter name="baseAddress" value="0x00100000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="avs_eth_0.mms_ram"> - <parameter name="baseAddress" value="0x2000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="avs_eth_0.mms_reg"> - <parameter name="baseAddress" value="0x3040" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="avs_eth_0.mms_tse"> - <parameter name="baseAddress" value="0x1000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="onchip_memory2_0.s1"> - <parameter name="baseAddress" value="0x00020000" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="pio_wdi.s1"> - <parameter name="baseAddress" value="0x3010" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.data_master" - end="timer_0.s1"> - <parameter name="baseAddress" value="0x3020" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.instruction_master" - end="cpu_0.debug_mem_slave"> - <parameter name="baseAddress" value="0x3800" /> - </connection> - <connection - kind="avalon" - version="18.0" - start="cpu_0.instruction_master" - end="onchip_memory2_0.s1"> - <parameter name="baseAddress" value="0x00020000" /> - </connection> - <connection kind="clock" version="18.0" start="clk_0.clk" end="jtag_uart_0.clk" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="pio_wdi.clk" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="timer_0.clk" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="cpu_0.clk" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="onchip_memory2_0.clk1" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="avs_eth_0.mm" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_unb_sens.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="rom_system_info.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="pio_system_info.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="pio_pps.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_wdi.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_remu.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_epcs.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_dpmm_ctrl.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_mmdp_data.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_dpmm_data.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_mmdp_ctrl.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_fpga_temp_sens.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_unb_pmbus.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_fpga_voltage_sens.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="ram_st_sst.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_si.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="ram_fil_coefs.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="ram_scrap.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_aduh_monitor.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="ram_wg.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_dp_shiftram.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_scheduler.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_source_v2.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_wg.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_input.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="jesd204b.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_dp_selector.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="ram_ss_ss_wide.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bf_scale.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_hdr_dat.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_dp_xonoff.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="ram_st_bst.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_sdp_info.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_nw_10gbe_eth10g.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_nw_10gbe_mac.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_diag_data_buffer_bsn.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="ram_diag_data_buffer_bsn.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="pio_jesd_ctrl.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_stat_hdr_dat_sst.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_stat_enable_sst.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_stat_enable_bst.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_stat_hdr_dat_bst.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_crosslets_info.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="ram_st_xsq.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_stat_enable_xst.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_stat_hdr_dat_xst.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_sync_scheduler_xsub.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="ram_st_histogram.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_nof_crosslets.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_align_v2_xsub.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_v2_rx_align_xsub.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_v2_aligned_xsub.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_v2_xst_offload.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_ring_lane_info_xst.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_v2_ring_rx_xst.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_v2_ring_tx_xst.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_dp_block_validate_err_xst.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_dp_block_validate_bsn_at_sync_xst.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_ring_info.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_tr_10gbe_eth10g.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_tr_10gbe_mac.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_v2_sst_offload.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_v2_bst_offload.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_v2_beamlet_output.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_align_v2_bf.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_v2_rx_align_bf.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_v2_aligned_bf.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_ring_lane_info_bf.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_v2_ring_rx_bf.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_bsn_monitor_v2_ring_tx_bf.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_dp_block_validate_err_bf.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="reg_dp_block_validate_bsn_at_sync_bf.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="ram_bf_weights.system" /> - <connection - kind="clock" - version="18.0" - start="clk_0.clk" - end="ram_equalizer_gains.system" /> - <connection - kind="interrupt" - version="18.0" - start="cpu_0.irq" - end="avs_eth_0.interrupt" /> - <connection - kind="interrupt" - version="18.0" - start="cpu_0.irq" - end="jtag_uart_0.irq"> - <parameter name="irqNumber" value="1" /> - </connection> - <connection kind="interrupt" version="18.0" start="cpu_0.irq" end="timer_0.irq"> - <parameter name="irqNumber" value="2" /> - </connection> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="avs_eth_0.mm_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="jtag_uart_0.reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="pio_wdi.reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="timer_0.reset" /> - <connection kind="reset" version="18.0" start="clk_0.clk_reset" end="cpu_0.reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="onchip_memory2_0.reset1" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_unb_sens.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="rom_system_info.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="pio_system_info.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="pio_pps.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_wdi.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_remu.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_epcs.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_dpmm_ctrl.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_mmdp_data.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_mmdp_ctrl.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_dpmm_data.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_fpga_temp_sens.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_unb_pmbus.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_fpga_voltage_sens.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="ram_st_sst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_si.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="ram_fil_coefs.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="ram_scrap.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_aduh_monitor.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="ram_wg.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_dp_shiftram.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_scheduler.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_source_v2.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_wg.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_input.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="jesd204b.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_dp_selector.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="ram_ss_ss_wide.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bf_scale.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_hdr_dat.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_dp_xonoff.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="ram_st_bst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_sdp_info.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_nw_10gbe_eth10g.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_nw_10gbe_mac.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_diag_data_buffer_bsn.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="ram_diag_data_buffer_bsn.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="pio_jesd_ctrl.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_stat_enable_sst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_stat_hdr_dat_sst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_stat_enable_bst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_stat_hdr_dat_bst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_crosslets_info.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="ram_st_xsq.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_stat_enable_xst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_stat_hdr_dat_xst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_sync_scheduler_xsub.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="ram_st_histogram.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_nof_crosslets.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_align_v2_xsub.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_v2_rx_align_xsub.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_v2_aligned_xsub.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_v2_xst_offload.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_ring_lane_info_xst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_v2_ring_rx_xst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_v2_ring_tx_xst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_dp_block_validate_err_xst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_dp_block_validate_bsn_at_sync_xst.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_ring_info.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_tr_10gbe_eth10g.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_tr_10gbe_mac.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_v2_sst_offload.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_v2_bst_offload.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_v2_beamlet_output.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_align_v2_bf.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_v2_rx_align_bf.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_v2_aligned_bf.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_ring_lane_info_bf.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_v2_ring_rx_bf.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_bsn_monitor_v2_ring_tx_bf.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_dp_block_validate_err_bf.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="reg_dp_block_validate_bsn_at_sync_bf.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="ram_bf_weights.system_reset" /> - <connection - kind="reset" - version="18.0" - start="clk_0.clk_reset" - end="ram_equalizer_gains.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="avs_eth_0.mm_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="jtag_uart_0.reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="pio_wdi.reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="timer_0.reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="cpu_0.reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="onchip_memory2_0.reset1" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="reg_unb_sens.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="rom_system_info.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="pio_system_info.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="pio_pps.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="reg_wdi.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="reg_remu.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="reg_epcs.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="reg_dpmm_ctrl.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="reg_mmdp_data.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="reg_dpmm_data.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="reg_mmdp_ctrl.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="reg_fpga_temp_sens.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="reg_unb_pmbus.system_reset" /> - <connection - kind="reset" - version="18.0" - start="cpu_0.debug_reset_request" - end="reg_fpga_voltage_sens.system_reset" /> - <interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="0" /> -</system> diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/disturb2_unb2b_station_fsub.vhd b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/disturb2_unb2b_station_fsub.vhd deleted file mode 100644 index 4bf8819f49efa5733b81cb244c53036ba0852e35..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/disturb2_unb2b_station_fsub.vhd +++ /dev/null @@ -1,161 +0,0 @@ -------------------------------------------------------------------------------- --- --- Copyright 2021 --- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> --- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands --- --- Licensed under the Apache License, Version 2.0 (the "License"); --- you may not use this file except in compliance with the License. --- You may obtain a copy of the License at --- --- http://www.apache.org/licenses/LICENSE-2.0 --- --- Unless required by applicable law or agreed to in writing, software --- distributed under the License is distributed on an "AS IS" BASIS, --- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --- See the License for the specific language governing permissions and --- limitations under the License. --- -------------------------------------------------------------------------------- - --- Author : R. van der Walle --- Purpose: --- Wrapper for Lofar2 SDP Station filterbank design --- Description: --- Unb2b version for lab testing --- Contains complete AIT input stage with 12 ADC streams and FSUB - - -LIBRARY IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, disturb2_unb2b_station_lib; -USE IEEE.STD_LOGIC_1164.ALL; -USE IEEE.NUMERIC_STD.ALL; -USE common_lib.common_pkg.ALL; -USE common_lib.common_mem_pkg.ALL; -USE unb2b_board_lib.unb2b_board_pkg.ALL; -USE diag_lib.diag_pkg.ALL; -USE dp_lib.dp_stream_pkg.ALL; - -ENTITY disturb2_unb2b_station_fsub IS - GENERIC ( - g_design_name : STRING := "disturb2_unb2b_station_fsub"; - g_design_note : STRING := "Lofar2 SDP station filterbank design"; - g_sim : BOOLEAN := FALSE; --Overridden by TB - g_sim_unb_nr : NATURAL := 0; - g_sim_node_nr : NATURAL := 0; - g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF - g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF - g_revision_id : STRING := "" -- revision ID -- set by QSF - ); - PORT ( - -- GENERAL - CLK : IN STD_LOGIC; -- System Clock - PPS : IN STD_LOGIC; -- System Sync - WDI : OUT STD_LOGIC; -- Watchdog Clear - INTA : INOUT STD_LOGIC; -- FPGA interconnect line - INTB : INOUT STD_LOGIC; -- FPGA interconnect line - - -- Others - VERSION : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.version_w-1 DOWNTO 0); - ID : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.id_w-1 DOWNTO 0); - TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2b_board_aux.testio_w-1 DOWNTO 0); - - -- I2C Interface to Sensors - SENS_SC : INOUT STD_LOGIC; - SENS_SD : INOUT STD_LOGIC; - - PMBUS_SC : INOUT STD_LOGIC; - PMBUS_SD : INOUT STD_LOGIC; - PMBUS_ALERT : IN STD_LOGIC := '0'; - - -- 1GbE Control Interface - ETH_CLK : IN STD_LOGIC; - ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); - ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); - - -- LEDs - QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp_nof_leds-1 DOWNTO 0); - - -- back transceivers (note only 6 are used in unb2b) - BCK_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b-1 downto c_unb2b_board_nof_tr_jesd204b); -- c_unb2b_board_nof_tr_jesd204b = 6, c_disturb_S_pn = 12 - BCK_REF_CLK : IN STD_LOGIC; -- Use as JESD204B_REFCLK - - -- jesd204b syncronization signals (2 syncs) - JESD204B_SYSREF : IN STD_LOGIC; - JESD204B_SYNC_N : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0) -- c_unb2b_board_nof_sync_jesd204b = c_disturb_N_sync_jesd = 4 - ); -END disturb2_unb2b_station_fsub; - -ARCHITECTURE str OF disturb2_unb2b_station_fsub IS - - SIGNAL JESD204B_SERIAL_DATA : STD_LOGIC_VECTOR((c_unb2b_board_tr_jesd204b.bus_w*c_unb2b_board_tr_jesd204b.nof_bus)-1 downto 0); - SIGNAL jesd204b_sync_n_arr : STD_LOGIC_VECTOR((c_unb2b_board_tr_jesd204b.bus_w*c_unb2b_board_tr_jesd204b.nof_bus)-1 downto 0); - SIGNAL JESD204B_REFCLK : STD_LOGIC; - -BEGIN - - -- Mapping between JESD signal names and UNB2B pin/schematic names - JESD204B_REFCLK <= BCK_REF_CLK; - JESD204B_SERIAL_DATA(0) <= BCK_RX(42); - JESD204B_SERIAL_DATA(1) <= BCK_RX(43); - JESD204B_SERIAL_DATA(2) <= BCK_RX(44); - JESD204B_SERIAL_DATA(3) <= BCK_RX(45); - JESD204B_SERIAL_DATA(4) <= BCK_RX(46); - JESD204B_SERIAL_DATA(5) <= BCK_RX(47); - JESD204B_SERIAL_DATA(6) <= '0'; - JESD204B_SERIAL_DATA(7) <= '0'; - JESD204B_SERIAL_DATA(8) <= '0'; - JESD204B_SERIAL_DATA(9) <= '0'; - JESD204B_SERIAL_DATA(10) <= '0'; - JESD204B_SERIAL_DATA(11) <= '0'; - JESD204B_SYNC_N(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0) <= jesd204b_sync_n_arr(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0); - - - u_revision : ENTITY disturb2_unb2b_station_lib.disturb2_unb2b_station - GENERIC MAP ( - g_design_name => g_design_name, - g_design_note => g_design_note, - g_sim => g_sim, - g_sim_unb_nr => g_sim_unb_nr, - g_sim_node_nr => g_sim_node_nr, - g_stamp_date => g_stamp_date, - g_stamp_time => g_stamp_time, - g_revision_id => g_revision_id - ) - PORT MAP ( - -- GENERAL - CLK => CLK, - PPS => PPS, - WDI => WDI, - INTA => INTA, - INTB => INTB, - - -- Others - VERSION => VERSION, - ID => ID, - TESTIO => TESTIO, - - -- I2C Interface to Sensors - SENS_SC => SENS_SC, - SENS_SD => SENS_SD, - - PMBUS_SC => PMBUS_SC, - PMBUS_SD => PMBUS_SD, - PMBUS_ALERT => PMBUS_ALERT, - - -- 1GbE Control Interface - ETH_clk => ETH_clk, - ETH_SGIN => ETH_SGIN, - ETH_SGOUT => ETH_SGOUT, - - -- LEDs - QSFP_LED => QSFP_LED, - - -- back transceivers - JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA, - JESD204B_REFCLK => JESD204B_REFCLK, - - -- jesd204b syncronization signals - JESD204B_SYSREF => JESD204B_SYSREF, - JESD204B_SYNC_N => jesd204b_sync_n_arr - ); -END str; diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/disturb2_unb2b_station_fsub_pins.tcl b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/disturb2_unb2b_station_fsub_pins.tcl deleted file mode 100644 index af0a453b322637ebeb44bac598fffda9dd3176eb..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/disturb2_unb2b_station_fsub_pins.tcl +++ /dev/null @@ -1,24 +0,0 @@ -############################################################################### -# -# Copyright (C) 2022 -# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> -# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands -# -# This program is free software: you can redistribute it and/or modify -# it under the terms of the GNU General Public License as published by -# the Free Software Foundation, either version 3 of the License, or -# (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program. If not, see <http://www.gnu.org/licenses/>. -# -############################################################################### -source $::env(RADIOHDL_WORK)/applications/lofar2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_pins.tcl -source $::env(RADIOHDL_WORK)/applications/lofar2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_jesd_pins.tcl - - diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/hdllib.cfg b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/hdllib.cfg deleted file mode 100644 index 1d4fb559e5043a53d04bc9c28565e119d30b0eee..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/hdllib.cfg +++ /dev/null @@ -1,134 +0,0 @@ -hdl_lib_name = disturb2_unb2b_station_fsub -hdl_library_clause_name = disturb2_unb2b_station_fsub_lib -hdl_lib_uses_synth = common mm technology unb2b_board disturb2_unb2b_station -hdl_lib_uses_sim = eth -hdl_lib_technology = ip_arria10_e1sg - - synth_files = - disturb2_unb2b_station_fsub.vhd - -test_bench_files = - tb_disturb2_unb2b_station_fsub.vhd - tb_disturb2_unb2b_station_fsub_sst_offload.vhd - -regression_test_vhdl = - tb_disturb2_unb2b_station_fsub.vhd - tb_disturb2_unb2b_station_fsub_sst_offload.vhd - - -[modelsim_project_file] -modelsim_copy_files = - ../../src/data data - $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients - -[quartus_project_file] -synth_top_level_entity = - -quartus_copy_files = - # Note: path $RADIOHDL_WORK is equivalent to relative path ../../../../../../ - ../../quartus . - ../../src/data data - $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients - -quartus_qsf_files = - $RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.qsf - -# use disturb2_unb2b_station.sdc instead because BCK_REF_CLK is 200MHz, not 644.33MHz. -quartus_sdc_files = - ../../quartus/disturb2_unb2b_station.sdc - #$RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.sdc - -quartus_tcl_files = - disturb2_unb2b_station_fsub_pins.tcl - -quartus_vhdl_files = - -quartus_qip_files = - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station_fsub/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station.qip - -quartus_ip_files = - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_common_mm_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_common_mm_1.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_eth_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_clk_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_cpu_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jesd204b.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jtag_uart_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_onchip_memory2_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_jesd_ctrl.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_pps.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_system_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_wdi.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_bf_weights.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_equalizer_gains.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_fil_coefs.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_scrap.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_ss_ss_wide.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_bst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_histogram.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_sst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_xsq.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_wg.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_aduh_monitor.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bf_scale.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_input.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_scheduler.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_source_v2.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_crosslets_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_ctrl.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_data.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_selector.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_shiftram.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_xonoff.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_epcs.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_temp_sens.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_voltage_sens.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_hdr_dat.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_ctrl.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_data.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nof_crosslets.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_remu.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_disturb_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_si.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_bst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_sst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_pmbus.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_sens.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wdi.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wg.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_rom_system_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_timer_0.ip - -nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2 diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/tb_disturb2_unb2b_station_fsub.vhd b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/tb_disturb2_unb2b_station_fsub.vhd deleted file mode 100644 index c60186ebe483ffddebde9f9ca452e9f3d4b625d6..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/tb_disturb2_unb2b_station_fsub.vhd +++ /dev/null @@ -1,535 +0,0 @@ -------------------------------------------------------------------------------- --- --- Copyright 2020 --- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> --- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands --- --- Licensed under the Apache License, Version 2.0 (the "License"); --- you may not use this file except in compliance with the License. --- You may obtain a copy of the License at --- --- http://www.apache.org/licenses/LICENSE-2.0 --- --- Unless required by applicable law or agreed to in writing, software --- distributed under the License is distributed on an "AS IS" BASIS, --- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --- See the License for the specific language governing permissions and --- limitations under the License. --- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- --- Author: R. van der Walle (original), E. Kooistra (updates) --- Purpose: Self-checking testbench for simulating disturb2_unb2b_station_fsub using WG data. --- --- Description: --- MM control actions: --- --- 1) Enable calc mode for WG via reg_diag_wg with: --- freq = 19.921875MHz --- ampl = 0.5 * 2**13 --- --- 2) Read current BSN from reg_bsn_scheduler_wg and write reg_bsn_scheduler_wg --- to trigger start of WG at BSN. --- --- 3) Read subband statistics (SST) via MM and verify with exp_subband_sst at g_subband. --- . use weighted subbands (default selected by MM) --- --- 4) View in wave window --- . in_sosi.sop and in_data in u_si_arr(g_sp) to check that: --- - WG starts with zero phase sine when c_subband_phase = 0.0 degrees --- - WG amplitude = 8191 (is full scale - 1) when wg_ampl = 1.0 --- . pfb_sosi_arr(c_pfb_index).im/re and fsub_sosi_arr(c_pfb_index).im/re --- in u_fsub in decimal radix and analog format to check that subband --- phase is g_subband_weight_phase phase as set by the subband weight. --- - Raw: pfb_sosi_arr = atan2(-65195 / 0) = -90 degrees --- - Weighted: fsub_sosi_arr = atan2(-56457 / 32598) = -60 degrees --- --> rotated expected g_subband_weight_phase = -60 - -90 = +30 degrees. --- --- Usage: --- > as 7 # default --- > as 12 # for detailed debugging --- # Manually add missing signal --- > add wave -position insertpoint \ --- sim:/tb_disturb2_unb2b_station_fsub/sp_subband_ssts_arr2 --- > run -a --- # Takes about 30 m when g_read_all_SST = FALSE --- # Takes about 40 m when g_read_all_SST = TRUE --- -------------------------------------------------------------------------------- -LIBRARY IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, disturb_lib, wpfb_lib, disturb2_unb2b_station_lib; -USE IEEE.std_logic_1164.ALL; -USE IEEE.numeric_std.ALL; -USE IEEE.math_real.ALL; -USE common_lib.common_pkg.ALL; -USE common_lib.tb_common_pkg.ALL; -USE common_lib.common_str_pkg.ALL; -USE mm_lib.mm_file_pkg.ALL; -USE mm_lib.mm_file_unb_pkg.ALL; -USE dp_lib.dp_stream_pkg.ALL; -USE diag_lib.diag_pkg.ALL; -USE wpfb_lib.wpfb_pkg.ALL; -USE disturb_lib.disturb_pkg.ALL; -USE unb2b_board_lib.unb2b_board_pkg.ALL; - -ENTITY tb_disturb2_unb2b_station_fsub IS - GENERIC ( - g_sp : NATURAL := 3; -- signal path index in range(S_pn = 12) - g_wg_ampl : REAL := 1.0; -- WG normalized amplitude - g_subband : NATURAL := 102; -- select subband at index 102 = 102/1024 * 200MHz = 19.921875 MHz - g_subband_weight_gain : REAL := 1.0; -- subband weight normalized gain - g_subband_weight_phase : REAL := 30.0; -- subband weight phase rotation in degrees - g_read_all_SST : BOOLEAN := TRUE -- when FALSE only read SST for g_subband, to save sim time - ); -END tb_disturb2_unb2b_station_fsub; - -ARCHITECTURE tb OF tb_disturb2_unb2b_station_fsub IS - - CONSTANT c_sim : BOOLEAN := TRUE; - CONSTANT c_unb_nr : NATURAL := 0; -- UniBoard 0 - CONSTANT c_node_nr : NATURAL := 0; - CONSTANT c_init_bsn : NATURAL := 17; -- some recognizable value >= 0 - - CONSTANT c_id : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; - CONSTANT c_version : STD_LOGIC_VECTOR(1 DOWNTO 0) := "00"; - CONSTANT c_fw_version : t_unb2b_board_fw_version := (1, 0); - - CONSTANT c_eth_clk_period : TIME := 8 ns; -- 125 MHz XO on UniBoard - CONSTANT c_ext_clk_period : TIME := 5 ns; - CONSTANT c_bck_ref_clk_period : TIME := 5 ns; - - CONSTANT c_tb_clk_period : TIME := 100 ps; -- use fast tb_clk to speed up M&C - - CONSTANT c_nof_block_per_sync : NATURAL := 16; - CONSTANT c_nof_clk_per_sync : NATURAL := c_nof_block_per_sync * c_disturb_N_fft; - CONSTANT c_pps_period : NATURAL := c_nof_clk_per_sync; - CONSTANT c_wpfb_sim : t_wpfb := func_wpfb_set_nof_block_per_sync(c_disturb_wpfb_subbands, c_nof_block_per_sync); - CONSTANT c_stat_data_sz : NATURAL := c_wpfb_sim.stat_data_sz; -- = 2 - - CONSTANT c_percentage : REAL := 0.05; -- percentage that actual value may differ from expected value - CONSTANT c_lo_factor : REAL := 1.0 - c_percentage; -- lower boundary - CONSTANT c_hi_factor : REAL := 1.0 + c_percentage; -- higher boundary - - -- WG - CONSTANT c_bsn_start_wg : NATURAL := c_init_bsn + 3; -- start WG at this BSN to instead of some BSN, to avoid mismatches in exact expected data values - -- .ampl - CONSTANT c_wg_ampl : NATURAL := NATURAL(g_wg_ampl * REAL(c_disturb_FS_adc)); -- in number of lsb - CONSTANT c_exp_sp_power : REAL := REAL(c_wg_ampl**2) / 2.0; - CONSTANT c_exp_sp_ast : REAL := c_exp_sp_power * REAL(c_nof_clk_per_sync); - -- . phase - CONSTANT c_subband_phase : REAL := 0.0; -- wanted subband phase in degrees = WG phase at sop - CONSTANT c_subband_freq : REAL := REAL(g_subband) / REAL(c_disturb_N_fft); -- normalized by fs = f_adc = 200 MHz is dp_clk - CONSTANT c_wg_latency : INTEGER := c_diag_wg_latency - 0; -- -0 to account for BSN scheduler start trigger latency - CONSTANT c_wg_phase_offset : REAL := 360.0 * REAL(c_wg_latency) * c_subband_freq; -- c_diag_wg_latency is in dp_clk cycles - CONSTANT c_wg_phase : REAL := c_subband_phase + c_wg_phase_offset; -- WG phase in degrees - - -- FSUB - -- . WPFB - CONSTANT c_pol_index : NATURAL := g_sp MOD c_disturb_Q_fft; - CONSTANT c_pfb_index : NATURAL := g_sp / c_disturb_Q_fft; -- only read used WPFB unit out of range(c_disturb_P_pfb = 6) - CONSTANT c_exp_subband_ampl_raw : REAL := REAL(c_wg_ampl) * c_disturb_wpfb_subband_sp_ampl_ratio; - CONSTANT c_exp_subband_ampl_weighted : REAL := c_exp_subband_ampl_raw * g_subband_weight_gain; - CONSTANT c_exp_subband_power_raw : REAL := c_exp_subband_ampl_raw**2.0; -- complex, so no divide by 2 - CONSTANT c_exp_subband_power_weighted : REAL := c_exp_subband_ampl_weighted**2.0; -- complex, so no divide by 2 - CONSTANT c_exp_subband_sst_raw : REAL := c_exp_subband_power_raw * REAL(c_nof_block_per_sync); - CONSTANT c_exp_subband_sst_weighted : REAL := c_exp_subband_power_weighted * REAL(c_nof_block_per_sync); - - -- . expected limit values, obtained with print_str() for g_subband = 102, - -- g_wg_ampl = 1.0, g_subband_weight_gain = 1.0, g_subband_weight_phase = 30.0 - CONSTANT c_exp_subband_sst_leakage_snr_dB : REAL := 70.0; -- < 74.913 - CONSTANT c_exp_subband_sst_crosstalk_snr_dB : REAL := 90.0; -- < 96.284 - - TYPE t_real_arr IS ARRAY (INTEGER RANGE <>) OF REAL; - TyPE t_slv_64_subbands_arr IS ARRAY (INTEGER RANGE <>) OF t_slv_64_arr(0 TO c_disturb_N_sub-1); - - -- . Subband weights for selected g_sp - CONSTANT c_subband_weight_re : INTEGER := INTEGER(g_subband_weight_gain * REAL(c_disturb_unit_sub_weight) * COS(g_subband_weight_phase * MATH_2_PI / 360.0)); - CONSTANT c_subband_weight_im : INTEGER := INTEGER(g_subband_weight_gain * REAL(c_disturb_unit_sub_weight) * SIN(g_subband_weight_phase * MATH_2_PI / 360.0)); - - -- MM - -- . Address widths of a single MM instance - CONSTANT c_addr_w_reg_diag_wg : NATURAL := 2; - -- . Address spans of a single MM instance - CONSTANT c_mm_span_reg_diag_wg : NATURAL := 2**c_addr_w_reg_diag_wg; - - CONSTANT c_mm_file_reg_bsn_source_v2 : STRING := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "REG_BSN_SOURCE_V2"; - CONSTANT c_mm_file_reg_bsn_scheduler_wg : STRING := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "REG_BSN_SCHEDULER"; - CONSTANT c_mm_file_reg_diag_wg : STRING := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "REG_WG"; - CONSTANT c_mm_file_ram_equalizer_gains : STRING := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "RAM_EQUALIZER_GAINS"; - CONSTANT c_mm_file_reg_dp_selector : STRING := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "REG_DP_SELECTOR"; - CONSTANT c_mm_file_ram_st_sst : STRING := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "RAM_ST_SST"; - - -- Tb - SIGNAL tb_end : STD_LOGIC := '0'; - SIGNAL sim_done : STD_LOGIC := '0'; - SIGNAL tb_clk : STD_LOGIC := '0'; - SIGNAL rd_data : STD_LOGIC_VECTOR(c_32-1 DOWNTO 0) := (OTHERS => '0'); - - SIGNAL pps_rst : STD_LOGIC := '1'; - SIGNAL gen_pps : STD_LOGIC := '0'; - - -- WG - SIGNAL current_bsn_wg : STD_LOGIC_VECTOR(c_dp_stream_bsn_w-1 DOWNTO 0); - - -- FSUB - -- . WPFB - SIGNAL sp_subband_ssts_arr2 : t_slv_64_subbands_arr(c_disturb_N_pol-1 DOWNTO 0); -- [pol][sub] - SIGNAL sp_subband_sst_sum_arr : t_real_arr(c_disturb_N_pol-1 DOWNTO 0) := (OTHERS => 0.0); - SIGNAL sp_subband_sst : REAL := 0.0; - SIGNAL sp_subband_sst_leakage : REAL := 0.0; - SIGNAL sp_subband_sst_leakage_snr_dB : REAL := 0.0; -- signal to noise (leakage) ratio - SIGNAL sp_subband_sst_crosstalk : REAL := 0.0; - SIGNAL sp_subband_sst_crosstalk_snr_dB : REAL := 0.0; -- signal to noise (crosstalk) ration - - SIGNAL exp_subband_ampl : REAL := 0.0; - SIGNAL exp_subband_power : REAL := 0.0; - SIGNAL exp_subband_sst : REAL := 0.0; - SIGNAL stat_data : STD_LOGIC_VECTOR(c_longword_w-1 DOWNTO 0); - - -- . Selector - SIGNAL sst_offload_weighted_subbands : STD_LOGIC; - - -- . Subband equalizer - SIGNAL sp_subband_weight_re : INTEGER := 0; - SIGNAL sp_subband_weight_im : INTEGER := 0; - SIGNAL sp_subband_weight_gain : REAL := 0.0; - SIGNAL sp_subband_weight_phase : REAL := 0.0; - - -- DUT - SIGNAL ext_clk : STD_LOGIC := '0'; - SIGNAL ext_pps : STD_LOGIC := '0'; - - SIGNAL WDI : STD_LOGIC; - SIGNAL INTA : STD_LOGIC; - SIGNAL INTB : STD_LOGIC; - - SIGNAL eth_clk : STD_LOGIC := '0'; - SIGNAL eth_txp : STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 downto 0) := (OTHERS => '0'); - SIGNAL eth_rxp : STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 downto 0) := (OTHERS => '0'); - - SIGNAL sens_scl : STD_LOGIC; - SIGNAL sens_sda : STD_LOGIC; - SIGNAL pmbus_scl : STD_LOGIC; - SIGNAL pmbus_sda : STD_LOGIC; - - -- back transceivers - SIGNAL JESD204B_SERIAL_DATA : STD_LOGIC_VECTOR(c_disturb_S_pn-1 downto 0); - SIGNAL JESD204B_REFCLK : STD_LOGIC := '1'; - - -- jesd204b syncronization signals - SIGNAL jesd204b_sysref : STD_LOGIC; - SIGNAL jesd204b_sync_n : STD_LOGIC_VECTOR(c_disturb_N_sync_jesd-1 DOWNTO 0); - -BEGIN - - ---------------------------------------------------------------------------- - -- System setup - ---------------------------------------------------------------------------- - ext_clk <= NOT ext_clk AFTER c_ext_clk_period/2; -- External clock (200 MHz) - JESD204B_REFCLK <= NOT JESD204B_REFCLK AFTER c_bck_ref_clk_period/2; -- JESD sample clock (200MHz) - - INTA <= 'H'; -- pull up - INTB <= 'H'; -- pull up - - sens_scl <= 'H'; -- pull up - sens_sda <= 'H'; -- pull up - pmbus_scl <= 'H'; -- pull up - pmbus_sda <= 'H'; -- pull up - - ------------------------------------------------------------------------------ - -- External PPS - ------------------------------------------------------------------------------ - proc_common_gen_pulse(5, c_pps_period, '1', pps_rst, ext_clk, gen_pps); - jesd204b_sysref <= gen_pps; - ext_pps <= gen_pps; - - ------------------------------------------------------------------------------ - -- DUT - ------------------------------------------------------------------------------ - u_lofar_unb2b_disturb_station_fsub : ENTITY disturb2_unb2b_station_lib.disturb2_unb2b_station - GENERIC MAP ( - g_design_name => "disturb2_unb2b_station_fsub", - g_design_note => "", - g_sim => c_sim, - g_sim_unb_nr => c_unb_nr, - g_sim_node_nr => c_node_nr, - g_wpfb => c_wpfb_sim, - g_bsn_nof_clk_per_sync => c_nof_clk_per_sync, - g_scope_selected_subband => g_subband - ) - PORT MAP ( - -- GENERAL - CLK => ext_clk, - PPS => ext_pps, - WDI => WDI, - INTA => INTA, - INTB => INTB, - - -- Others - VERSION => c_version, - ID => c_id, - TESTIO => open, - - -- I2C Interface to Sensors - SENS_SC => sens_scl, - SENS_SD => sens_sda, - - PMBUS_SC => pmbus_scl, - PMBUS_SD => pmbus_sda, - PMBUS_ALERT => open, - - -- 1GbE Control Interface - ETH_CLK => eth_clk, - ETH_SGIN => eth_rxp, - ETH_SGOUT => eth_txp, - - -- LEDs - QSFP_LED => open, - - -- back transceivers - JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA, - JESD204B_REFCLK => JESD204B_REFCLK, - - -- jesd204b syncronization signals - JESD204B_SYSREF => jesd204b_sysref, - JESD204B_SYNC_N => jesd204b_sync_n - ); - - -- Raw or weighted subbands - exp_subband_ampl <= sel_a_b(sst_offload_weighted_subbands = '0', c_exp_subband_ampl_raw, c_exp_subband_ampl_weighted); - exp_subband_power <= sel_a_b(sst_offload_weighted_subbands = '0', c_exp_subband_power_raw, c_exp_subband_power_weighted); - exp_subband_sst <= sel_a_b(sst_offload_weighted_subbands = '0', c_exp_subband_sst_raw, c_exp_subband_sst_weighted); - - ------------------------------------------------------------------------------ - -- MM slave accesses via file IO - ------------------------------------------------------------------------------ - tb_clk <= NOT tb_clk AFTER c_tb_clk_period/2; -- Testbench MM clock - - p_mm_stimuli : PROCESS - VARIABLE v_bsn : NATURAL; - VARIABLE v_data_lo, v_data_hi : STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); - VARIABLE v_stat_data : STD_LOGIC_VECTOR(c_longword_w-1 DOWNTO 0); - VARIABLE v_len, v_span, v_offset, v_addr : NATURAL; -- address ranges, indices - VARIABLE v_W, v_P, v_U, v_S, v_B : NATURAL; -- array indicies - VARIABLE v_re, v_im, v_weight : INTEGER; - VARIABLE v_power : REAL; - BEGIN - -- Wait for DUT power up after reset - WAIT FOR 1 us; - - ---------------------------------------------------------------------------- - -- Enable BSN - ---------------------------------------------------------------------------- - mmf_mm_bus_wr(c_mm_file_reg_bsn_source_v2, 2, c_init_bsn, tb_clk); -- Init BSN - mmf_mm_bus_wr(c_mm_file_reg_bsn_source_v2, 3, 0, tb_clk); -- Write high part activates the init BSN - mmf_mm_bus_wr(c_mm_file_reg_bsn_source_v2, 1, c_nof_clk_per_sync, tb_clk); -- nof_block_per_sync - mmf_mm_bus_wr(c_mm_file_reg_bsn_source_v2, 0, 16#00000003#, tb_clk); -- Enable BSN at PPS - - -- Release PPS pulser, to get first PPS now and to start BSN source - WAIT FOR 1 us; - pps_rst <= '0'; - - ---------------------------------------------------------------------------- - -- Read weighted subband selector - ---------------------------------------------------------------------------- - mmf_mm_bus_rd(c_mm_file_reg_dp_selector, 0, rd_data, tb_clk); - proc_common_wait_some_cycles(tb_clk, 1); - sst_offload_weighted_subbands <= NOT rd_data(0); - - ---------------------------------------------------------------------------- - -- Enable and start WG - ---------------------------------------------------------------------------- - -- 0 : mode[7:0] --> off=0, calc=1, repeat=2, single=3) - -- nof_samples[31:16] --> <= c_ram_wg_size=1024 - -- 1 : phase[15:0] - -- 2 : freq[30:0] - -- 3 : ampl[16:0] - v_offset := g_sp * c_mm_span_reg_diag_wg; - mmf_mm_bus_wr(c_mm_file_reg_diag_wg, v_offset + 0, 1024*2**16 + 1, tb_clk); -- nof_samples, mode calc - --mmf_mm_bus_wr(c_mm_file_reg_diag_wg, v_offset + 1, INTEGER(c_wg_phase * c_diag_wg_phase_unit), tb_clk); -- phase offset in degrees - mmf_mm_bus_wr(c_mm_file_reg_diag_wg, v_offset + 1, 0, tb_clk); -- phase offset in degrees - mmf_mm_bus_wr(c_mm_file_reg_diag_wg, v_offset + 2, INTEGER(REAL(g_subband) * c_disturb_wg_subband_freq_unit), tb_clk); -- freq - mmf_mm_bus_wr(c_mm_file_reg_diag_wg, v_offset + 3, INTEGER(REAL(c_wg_ampl) * c_disturb_wg_ampl_lsb), tb_clk); -- ampl - mmf_mm_bus_wr(c_mm_file_reg_diag_wg, v_offset + 4, 1024*2**16 + 1, tb_clk); -- nof_samples, mode calc - --mmf_mm_bus_wr(c_mm_file_reg_diag_wg, v_offset + 5, INTEGER((c_wg_phase + 360.0 * REAL(c_wg_latency) * 0.5 / REAL(c_disturb_N_fft)) * c_diag_wg_phase_unit), tb_clk); -- phase offset in degrees - mmf_mm_bus_wr(c_mm_file_reg_diag_wg, v_offset + 5, 0, tb_clk); -- phase offset in degrees - mmf_mm_bus_wr(c_mm_file_reg_diag_wg, v_offset + 6, INTEGER((REAL(g_subband) - 0.5) * c_disturb_wg_subband_freq_unit), tb_clk); -- freq - mmf_mm_bus_wr(c_mm_file_reg_diag_wg, v_offset + 7, INTEGER(REAL(c_wg_ampl) * c_disturb_wg_ampl_lsb), tb_clk); -- ampl - -- Read current BSN - mmf_mm_bus_rd(c_mm_file_reg_bsn_scheduler_wg, 0, current_bsn_wg(31 DOWNTO 0), tb_clk); - mmf_mm_bus_rd(c_mm_file_reg_bsn_scheduler_wg, 1, current_bsn_wg(63 DOWNTO 32), tb_clk); - proc_common_wait_some_cycles(tb_clk, 1); - - -- Write scheduler BSN to trigger start of WG at next block - v_bsn := TO_UINT(current_bsn_wg) + 2; - ASSERT v_bsn <= c_bsn_start_wg REPORT "Too late to start WG: " & int_to_str(v_bsn) & " > " & int_to_str(c_bsn_start_wg) SEVERITY ERROR; - mmf_mm_bus_wr(c_mm_file_reg_bsn_scheduler_wg, 0, c_bsn_start_wg, tb_clk); -- first write low then high part - mmf_mm_bus_wr(c_mm_file_reg_bsn_scheduler_wg, 1, 0, tb_clk); -- assume v_bsn < 2**31-1 - - ---------------------------------------------------------------------------- - -- Write subband weight for selected g_sp and g_subband - ---------------------------------------------------------------------------- - -- . MM format: (cint16)RAM_EQUALIZER_GAINS[S_pn/Q_fft]_[Q_fft][N_sub] = [S_pn][N_sub] - v_addr := g_sp * c_disturb_N_sub + g_subband; - -- . read - mmf_mm_bus_rd(c_mm_file_ram_equalizer_gains, v_addr, rd_data, tb_clk); - v_re := unpack_complex_re(rd_data, c_disturb_W_sub_weight); - v_im := unpack_complex_im(rd_data, c_disturb_W_sub_weight); - sp_subband_weight_re <= v_re; - sp_subband_weight_im <= v_im; - sp_subband_weight_gain <= SQRT(REAL(v_re)**2.0 + REAL(v_im)**2.0) / REAL(c_disturb_unit_sub_weight); - sp_subband_weight_phase <= atan2(Y => REAL(v_im), X => REAL(v_re)) * 360.0 / MATH_2_PI; - -- . write - v_weight := pack_complex(re => c_subband_weight_re, im => c_subband_weight_im, w => c_disturb_W_sub_weight); -- c_disturb_W_sub_weight = 16 bit - mmf_mm_bus_wr(c_mm_file_ram_equalizer_gains, v_addr, v_weight, tb_clk); - -- . read back - mmf_mm_bus_rd(c_mm_file_ram_equalizer_gains, v_addr, rd_data, tb_clk); - v_re := unpack_complex_re(rd_data, c_disturb_W_sub_weight); - v_im := unpack_complex_im(rd_data, c_disturb_W_sub_weight); - sp_subband_weight_re <= v_re; - sp_subband_weight_im <= v_im; - sp_subband_weight_gain <= SQRT(REAL(v_re)**2.0 + REAL(v_im)**2.0) / REAL(c_disturb_unit_sub_weight); - sp_subband_weight_phase <= atan2(Y => REAL(v_im), X => REAL(v_re)) * 360.0 / MATH_2_PI; - - ---------------------------------------------------------------------------- - -- Wait for enough WG data and start of sync interval - ---------------------------------------------------------------------------- - mmf_mm_wait_until_value(c_mm_file_reg_bsn_scheduler_wg, 0, -- read BSN low - "UNSIGNED", rd_data, ">=", c_init_bsn + c_nof_block_per_sync * 3, -- this is the wait until condition - c_disturb_T_sub, tb_clk); - - --------------------------------------------------------------------------- - -- Read subband statistics - --------------------------------------------------------------------------- - -- . the subband statistics are c_stat_data_sz = 2 word power values. - -- . there are c_disturb_S_pn = 12 signal inputs A, B, C, D, E, F, G, H, I, J, K, L - -- . there are c_disturb_N_sub = 512 subbands per signal input (SI, = signal path, SP) - -- . one complex WPFB can process two real inputs A, B, so there are c_disturb_P_pfb = 6 WPFB units, - -- but only read for the 1 WPFB unit of the selected g_sp, to save sim time - -- . the outputs for A, B are time multiplexed, c_disturb_Q_fft = 2, assume that they - -- correspond to the c_disturb_N_pol = 2 signal polarizations - -- . the subbands are output alternately so A0 B0 A1 B1 ... A511 B511 for input A, B - -- . the subband statistics multiple WPFB units appear in order in the ram_st_sst address map - -- . the subband statistics are stored first lo word 0 then hi word 1 - v_len := c_disturb_N_sub * c_disturb_N_pol * c_stat_data_sz; -- 2048 = 512 * 2 * 64/32 - v_span := true_log_pow2(v_len); -- = 2048 - FOR I IN 0 TO v_len-1 LOOP - v_W := I MOD c_stat_data_sz; -- 0, 1 per statistics word, word index - v_P := (I / c_stat_data_sz) MOD c_disturb_N_pol; -- 0, 1 per SP pol, polarization index - v_B := I / (c_disturb_N_pol * c_stat_data_sz); -- subband index, range(N_sub = 512) per dual pol - v_addr := I + c_pfb_index * v_span; -- MM address for WPFB unit of selected g_sp - -- Only read SST for g_subband for dual pol SP, to save sim time - IF g_read_all_SST = TRUE OR v_B = g_subband THEN - IF v_W = 0 THEN - -- low part - mmf_mm_bus_rd(c_mm_file_ram_st_sst, v_addr, rd_data, tb_clk); - v_data_lo := rd_data; - ELSE - -- high part - mmf_mm_bus_rd(c_mm_file_ram_st_sst, v_addr, rd_data, tb_clk); - v_data_hi := rd_data; - v_stat_data := v_data_hi & v_data_lo; - - sp_subband_ssts_arr2(v_P)(v_B) <= v_stat_data; - stat_data <= v_stat_data; -- for time series view in Wave window - - -- sum of all subband powers per pol - sp_subband_sst_sum_arr(v_P) <= sp_subband_sst_sum_arr(v_P) + TO_UREAL(v_stat_data); - END IF; - END IF; - END LOOP; - proc_common_wait_some_cycles(tb_clk, 1); - - -- Subband power of g_subband in g_sp - -- . For the selected g_subband in g_sp the sp_subband_sst will be close - -- to sp_subband_sst_sum_arr(c_pol_index), because the input is a - -- sinus, so most power will be in 1 subband. - sp_subband_sst <= TO_UREAL(sp_subband_ssts_arr2(c_pol_index)(g_subband)); - proc_common_wait_some_cycles(tb_clk, 1); - - -- The sp_subband_sst_leakage shows how much power from the input sinus at a specific - -- subband has leaked into the N_sub-1 = 511 other subbands. The power ratio yields an - -- indication of the SNR, although that also depends on the SNR of the WG sinus. - v_power := sp_subband_sst_sum_arr(c_pol_index) - sp_subband_sst; - sp_subband_sst_leakage <= v_power; - IF sp_subband_sst > c_eps AND v_power > c_eps THEN - sp_subband_sst_leakage_snr_dB <= 10.0 * LOG10(sp_subband_sst / v_power); - ELSIF g_read_all_SST THEN - REPORT "Wrong, zero leakage is unexpected for SP-" & NATURAL'IMAGE(g_sp) SEVERITY ERROR; - END IF; - - -- The sp_subband_sst_crosstalk shows how much power from one WPFB input cross talks - -- into the other output, due to quantization cross talk in the complex FFT. The power - -- ration indicates the suppression, provided that the other input was zero. - v_power := sp_subband_sst_sum_arr(not_int(c_pol_index)); - sp_subband_sst_crosstalk <= v_power; - IF sp_subband_sst > c_eps AND v_power > c_eps THEN - sp_subband_sst_crosstalk_snr_dB <= 10.0 * LOG10(sp_subband_sst / v_power); - ELSIF g_read_all_SST THEN - REPORT "Zero crosstalk for SP-" & NATURAL'IMAGE(g_sp) SEVERITY NOTE; - END IF; - - proc_common_wait_some_cycles(tb_clk, 10); - - --------------------------------------------------------------------------- - -- Log WG, subband statistics - --------------------------------------------------------------------------- - print_str(""); - print_str("WG:"); - print_str(". c_wg_ampl = " & int_to_str(c_wg_ampl)); - print_str(". c_exp_sp_power = " & real_to_str(c_exp_sp_power, 20, 1)); - print_str(". c_exp_sp_ast = " & real_to_str(c_exp_sp_ast, 20, 1)); - - print_str(""); - print_str("Subband selector:"); - print_str(". sst_offload_weighted_subbands = " & sl_to_str(sst_offload_weighted_subbands)); - - print_str(""); - print_str("Subband weight:"); - print_str(". sp_subband_weight_gain = " & real_to_str(sp_subband_weight_gain, 20, 6)); - print_str(". sp_subband_weight_phase = " & real_to_str(sp_subband_weight_phase, 20, 6)); - - print_str(""); - print_str("SST results:"); - print_str(". exp_subband_ampl = " & int_to_str(NATURAL(exp_subband_ampl))); - print_str(". exp_subband_power = " & real_to_str(exp_subband_power, 20, 1)); - print_str(". exp_subband_sst = " & real_to_str(exp_subband_sst, 20, 1)); - print_str(""); - print_str(". sp_subband_sst = " & real_to_str(sp_subband_sst, 20, 1)); - print_str(". sp_subband_sst / exp_subband_sst = " & real_to_str(sp_subband_sst / exp_subband_sst, 20, 6)); - - IF g_read_all_SST THEN - -- Log WPFB details, these are allready verified in tb of wpfb_unit_dev.vhd, so here - -- quality indicators like leakage and crosstalk are also reported out of interest. - print_str(""); - print_str("SST quality indicators"); - print_str(". sp_subband_sst_leakage = " & real_to_str(sp_subband_sst_leakage, 20, 0)); - print_str(". sp_subband_sst_leakage_snr_dB = " & real_to_str(sp_subband_sst_leakage_snr_dB, 20, 3)); - print_str(". sp_subband_sst_crosstalk = " & real_to_str(sp_subband_sst_crosstalk, 20, 0)); - print_str(". sp_subband_sst_crosstalk_snr_db = " & real_to_str(sp_subband_sst_crosstalk_snr_db, 20, 3)); - END IF; - - --------------------------------------------------------------------------- - -- Verify SST - --------------------------------------------------------------------------- - -- verify expected subband power based on WG power - ASSERT sp_subband_sst > c_lo_factor * exp_subband_sst REPORT "Wrong subband power for SP " & NATURAL'IMAGE(g_sp) SEVERITY ERROR; - ASSERT sp_subband_sst < c_hi_factor * exp_subband_sst REPORT "Wrong subband power for SP " & NATURAL'IMAGE(g_sp) SEVERITY ERROR; - - IF g_read_all_SST THEN - -- Verify expected SNR quality measures - ASSERT sp_subband_sst_leakage = 0.0 OR sp_subband_sst_leakage_snr_dB > c_exp_subband_sst_leakage_snr_dB REPORT "Wrong too much leakage for SP " & NATURAL'IMAGE(g_sp) SEVERITY ERROR; - ASSERT sp_subband_sst_crosstalk = 0.0 OR sp_subband_sst_crosstalk_snr_dB > c_exp_subband_sst_crosstalk_snr_dB REPORT "Wrong too much crosstalk for SP " & NATURAL'IMAGE(g_sp) SEVERITY ERROR; - END IF; - - --------------------------------------------------------------------------- - -- End Simulation - --------------------------------------------------------------------------- - sim_done <= '1'; - proc_common_wait_some_cycles(ext_clk, 100); - proc_common_stop_simulation(TRUE, ext_clk, sim_done, tb_end); - WAIT; - END PROCESS; - -END tb; diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/tb_disturb2_unb2b_station_fsub_sst_offload.vhd b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/tb_disturb2_unb2b_station_fsub_sst_offload.vhd deleted file mode 100644 index 142f5e58665bf35ba5b55f83314fff33374bf067..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_fsub/tb_disturb2_unb2b_station_fsub_sst_offload.vhd +++ /dev/null @@ -1,249 +0,0 @@ -------------------------------------------------------------------------------- --- --- Copyright 2020 --- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> --- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands --- --- Licensed under the Apache License, Version 2.0 (the "License"); --- you may not use this file except in compliance with the License. --- You may obtain a copy of the License at --- --- http://www.apache.org/licenses/LICENSE-2.0 --- --- Unless required by applicable law or agreed to in writing, software --- distributed under the License is distributed on an "AS IS" BASIS, --- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --- See the License for the specific language governing permissions and --- limitations under the License. --- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- --- Author: R. van der Walle --- Purpose: Self-checking testbench for simulating disturb2_unb2b_station_fsub capturing SST UDP offload packets. --- --- Description: --- MM control actions: --- --- 1) Enable BSN source and enable UDP offload --- --- 2) Verify ethernet statistics using eth_statistics, it checks the number of --- received packets and the total number of valid data. The content of the packets is not verified. --- --- Usage: --- > as 7 # default --- > as 12 # for detailed debugging --- > run -a --- -------------------------------------------------------------------------------- -LIBRARY IEEE, common_lib, unb2b_board_lib, i2c_lib, mm_lib, dp_lib, diag_lib, disturb_lib, wpfb_lib, disturb2_unb2b_station_lib, eth_lib; -USE IEEE.std_logic_1164.ALL; -USE IEEE.numeric_std.ALL; -USE IEEE.MATH_REAL.ALL; -USE common_lib.common_pkg.ALL; -USE unb2b_board_lib.unb2b_board_pkg.ALL; -USE common_lib.tb_common_pkg.ALL; -USE common_lib.common_str_pkg.ALL; -USE mm_lib.mm_file_pkg.ALL; -USE dp_lib.dp_stream_pkg.ALL; -USE mm_lib.mm_file_unb_pkg.ALL; -USE diag_lib.diag_pkg.ALL; -USE wpfb_lib.wpfb_pkg.ALL; -USE disturb_lib.disturb_pkg.ALL; - -ENTITY tb_disturb2_unb2b_station_fsub_sst_offload IS -END tb_disturb2_unb2b_station_fsub_sst_offload; - -ARCHITECTURE tb OF tb_disturb2_unb2b_station_fsub_sst_offload IS - - CONSTANT c_sim : BOOLEAN := TRUE; - CONSTANT c_unb_nr : NATURAL := 2; - CONSTANT c_node_nr : NATURAL := 1; - CONSTANT c_id : STD_LOGIC_VECTOR(7 DOWNTO 0) := TO_UVEC(c_unb_nr * 4 + c_node_nr, 8); -- c_unb2b_board_nof_node = 4, c_unb2b_board_aux.id_w = 8 - CONSTANT c_version : STD_LOGIC_VECTOR(1 DOWNTO 0) := "00"; - CONSTANT c_fw_version : t_unb2b_board_fw_version := (1, 0); - - CONSTANT c_eth_clk_period : TIME := 8 ns; -- 125 MHz XO on UniBoard - CONSTANT c_ext_clk_period : TIME := 5 ns; - CONSTANT c_bck_ref_clk_period : TIME := 5 ns; - - CONSTANT c_tb_clk_period : TIME := 100 ps; -- use fast tb_clk to speed up M&C - - CONSTANT c_nof_block_per_sync : NATURAL := 160; -- long enough to stream out udp data - CONSTANT c_nof_clk_per_sync : NATURAL := c_nof_block_per_sync*c_disturb_N_fft; - CONSTANT c_pps_period : NATURAL := c_nof_clk_per_sync; - CONSTANT c_wpfb_sim : t_wpfb := func_wpfb_set_nof_block_per_sync(c_disturb_wpfb_subbands, c_nof_block_per_sync); - - -- MM - CONSTANT c_mm_file_reg_bsn_source_v2 : STRING := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "REG_BSN_SOURCE_V2"; - CONSTANT c_mm_file_reg_stat_enable_sst : STRING := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "REG_STAT_ENABLE_SST"; - - -- Tb - SIGNAL tb_end : STD_LOGIC := '0'; - SIGNAL sim_done : STD_LOGIC := '0'; - SIGNAL tb_clk : STD_LOGIC := '0'; - SIGNAL rd_data : STD_LOGIC_VECTOR(c_32-1 DOWNTO 0) := (OTHERS => '0'); - SIGNAL eth_done : STD_LOGIC := '0'; - - -- . 1GbE output - CONSTANT c_eth_check_nof_packets : NATURAL := c_disturb_V_oversample * c_disturb_S_pn; -- received packets in 1 sync period - CONSTANT c_eth_header_size : NATURAL := 19; -- words - CONSTANT c_eth_crc_size : NATURAL := 1; -- word - CONSTANT c_eth_packet_size : NATURAL := c_eth_header_size + c_eth_crc_size + c_disturb_N_sub * (c_disturb_W_statistic / c_word_w); -- 20 + 512 * 2 = 1044 - CONSTANT c_eth_check_nof_valid : NATURAL := c_eth_check_nof_packets * c_eth_packet_size; - CONSTANT c_eth_runtime_timeout : TIME := 2 * c_nof_clk_per_sync * c_ext_clk_period; -- eth statistics should be done at the second sync interval - - -- DUT - SIGNAL ext_clk : STD_LOGIC := '0'; - SIGNAL pps : STD_LOGIC := '0'; - SIGNAL ext_pps : STD_LOGIC := '0'; - SIGNAL pps_rst : STD_LOGIC := '0'; - - SIGNAL WDI : STD_LOGIC; - SIGNAL INTA : STD_LOGIC; - SIGNAL INTB : STD_LOGIC; - - SIGNAL eth_clk : STD_LOGIC := '0'; - SIGNAL eth_txp : STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 downto 0) := (OTHERS => '0'); - SIGNAL eth_rxp : STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 downto 0) := (OTHERS => '0'); - - SIGNAL sens_scl : STD_LOGIC; - SIGNAL sens_sda : STD_LOGIC; - SIGNAL pmbus_scl : STD_LOGIC; - SIGNAL pmbus_sda : STD_LOGIC; - - -- back transceivers - SIGNAL JESD204B_SERIAL_DATA : STD_LOGIC_VECTOR(c_disturb_S_pn-1 downto 0); - SIGNAL JESD204B_REFCLK : STD_LOGIC := '1'; - - -- jesd204b syncronization signals - SIGNAL jesd204b_sysref : STD_LOGIC; - SIGNAL jesd204b_sync_n : STD_LOGIC_VECTOR(c_disturb_N_sync_jesd-1 DOWNTO 0); - -BEGIN - - ---------------------------------------------------------------------------- - -- System setup - ---------------------------------------------------------------------------- - ext_clk <= NOT ext_clk AFTER c_ext_clk_period/2; -- External clock (200 MHz) - eth_clk <= NOT eth_clk AFTER c_eth_clk_period/2; -- Ethernet ref clock (125 MHz) - JESD204B_REFCLK <= NOT JESD204B_REFCLK AFTER c_bck_ref_clk_period/2; -- JESD sample clock (200MHz) - - INTA <= 'H'; -- pull up - INTB <= 'H'; -- pull up - - sens_scl <= 'H'; -- pull up - sens_sda <= 'H'; -- pull up - pmbus_scl <= 'H'; -- pull up - pmbus_sda <= 'H'; -- pull up - - ------------------------------------------------------------------------------ - -- External PPS - ------------------------------------------------------------------------------ - proc_common_gen_pulse(5, c_pps_period, '1', pps_rst, ext_clk, pps); - jesd204b_sysref <= pps; - ext_pps <= pps; - - ------------------------------------------------------------------------------ - -- DUT - ------------------------------------------------------------------------------ - u_lofar_unb2b_disturb_station_fsub : ENTITY disturb2_unb2b_station_lib.disturb2_unb2b_station - GENERIC MAP ( - g_design_name => "disturb2_unb2b_station_fsub", - g_design_note => "", - g_sim => c_sim, - g_sim_unb_nr => c_unb_nr, - g_sim_node_nr => c_node_nr, - g_wpfb => c_wpfb_sim, - g_bsn_nof_clk_per_sync => c_nof_clk_per_sync - ) - PORT MAP ( - -- GENERAL - CLK => ext_clk, - PPS => pps, - WDI => WDI, - INTA => INTA, - INTB => INTB, - - -- Others - VERSION => c_version, - ID => c_id, - TESTIO => open, - - -- I2C Interface to Sensors - SENS_SC => sens_scl, - SENS_SD => sens_sda, - - PMBUS_SC => pmbus_scl, - PMBUS_SD => pmbus_sda, - PMBUS_ALERT => open, - - -- 1GbE Control Interface - ETH_CLK => eth_clk, - ETH_SGIN => eth_rxp, - ETH_SGOUT => eth_txp, - - -- LEDs - QSFP_LED => open, - - -- back transceivers - JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA, - JESD204B_REFCLK => JESD204B_REFCLK, - - -- jesd204b syncronization signals - JESD204B_SYSREF => jesd204b_sysref, - JESD204B_SYNC_N => jesd204b_sync_n - ); - - ------------------------------------------------------------------------------ - -- MM slave accesses via file IO - ------------------------------------------------------------------------------ - tb_clk <= NOT tb_clk AFTER c_tb_clk_period/2; -- Testbench MM clock - - p_mm_stimuli : PROCESS - BEGIN - -- Wait for DUT power up after reset - WAIT FOR 1 us; - - ---------------------------------------------------------------------------- - -- Enable BSN - ---------------------------------------------------------------------------- - mmf_mm_bus_wr(c_mm_file_reg_bsn_source_v2, 3, 0, tb_clk); - mmf_mm_bus_wr(c_mm_file_reg_bsn_source_v2, 2, 0, tb_clk); -- Init BSN = 0 - mmf_mm_bus_wr(c_mm_file_reg_bsn_source_v2, 1, c_nof_clk_per_sync, tb_clk); -- nof_block_per_sync - mmf_mm_bus_wr(c_mm_file_reg_bsn_source_v2, 0, 16#00000001#, tb_clk); -- Enable BSN immediately - - ---------------------------------------------------------------------------- - -- Offload enable - ---------------------------------------------------------------------------- - mmf_mm_bus_wr(c_mm_file_reg_stat_enable_sst, 0, 1, tb_clk); - - -- wait for udp offload is done - proc_common_wait_until_high(ext_clk, eth_done); - - --------------------------------------------------------------------------- - -- End Simulation - --------------------------------------------------------------------------- - sim_done <= '1'; - proc_common_wait_some_cycles(ext_clk, 100); - proc_common_stop_simulation(TRUE, ext_clk, sim_done, tb_end); - WAIT; - END PROCESS; - - ------------------------------------------------------------------------- - -- Verify proper DUT 1GbE offload output using Ethernet packet statistics - ------------------------------------------------------------------------- - u_eth_statistics : ENTITY eth_lib.eth_statistics - GENERIC MAP ( - g_runtime_nof_packets => c_eth_check_nof_packets, - g_runtime_timeout => c_eth_runtime_timeout, - g_check_nof_valid => TRUE, - g_check_nof_valid_ref => c_eth_check_nof_valid - ) - PORT MAP ( - eth_serial_in => eth_txp(0), - tb_end => eth_done - ); - -END tb; diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full/disturb2_unb2b_station_full.vhd b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full/disturb2_unb2b_station_full.vhd deleted file mode 100644 index f222147d63067adee9dd7d922cb943115cb89aff..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full/disturb2_unb2b_station_full.vhd +++ /dev/null @@ -1,195 +0,0 @@ -------------------------------------------------------------------------------- --- --- Copyright 2021 --- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> --- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands --- --- Licensed under the Apache License, Version 2.0 (the "License"); --- you may not use this file except in compliance with the License. --- You may obtain a copy of the License at --- --- http://www.apache.org/licenses/LICENSE-2.0 --- --- Unless required by applicable law or agreed to in writing, software --- distributed under the License is distributed on an "AS IS" BASIS, --- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --- See the License for the specific language governing permissions and --- limitations under the License. --- -------------------------------------------------------------------------------- - --- Author : R. van der Walle --- Purpose: --- Wrapper for Disturb2 Station full design --- Description: --- Unb2b version for lab testing --- Contains complete station design with AIT input stage with 12 ADC streams, FSUB, XSUB, BF and RING - - -LIBRARY IEEE, common_lib, unb2b_board_lib, technology_lib, diag_lib, dp_lib, tech_jesd204b_lib, disturb2_unb2b_station_lib; -USE IEEE.STD_LOGIC_1164.ALL; -USE IEEE.NUMERIC_STD.ALL; -USE common_lib.common_pkg.ALL; -USE common_lib.common_mem_pkg.ALL; -USE technology_lib.technology_pkg.ALL; -USE unb2b_board_lib.unb2b_board_pkg.ALL; -USE diag_lib.diag_pkg.ALL; -USE dp_lib.dp_stream_pkg.ALL; - -ENTITY disturb2_unb2b_station_full IS - GENERIC ( - g_design_name : STRING := "disturb2_unb2b_station_full"; - g_design_note : STRING := "Disturb2 station full design"; - g_sim : BOOLEAN := FALSE; --Overridden by TB - g_sim_unb_nr : NATURAL := 0; - g_sim_node_nr : NATURAL := 0; - g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF - g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF - g_revision_id : STRING := "" -- revision ID -- set by QSF - ); - PORT ( - -- GENERAL - CLK : IN STD_LOGIC; -- System Clock - PPS : IN STD_LOGIC; -- System Sync - WDI : OUT STD_LOGIC; -- Watchdog Clear - INTA : INOUT STD_LOGIC; -- FPGA interconnect line - INTB : INOUT STD_LOGIC; -- FPGA interconnect line - - -- Others - VERSION : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.version_w-1 DOWNTO 0); - ID : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.id_w-1 DOWNTO 0); - TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2b_board_aux.testio_w-1 DOWNTO 0); - - -- I2C Interface to Sensors - SENS_SC : INOUT STD_LOGIC; - SENS_SD : INOUT STD_LOGIC; - - PMBUS_SC : INOUT STD_LOGIC; - PMBUS_SD : INOUT STD_LOGIC; - PMBUS_ALERT : IN STD_LOGIC := '0'; - - -- 1GbE Control Interface - ETH_CLK : IN STD_LOGIC; - ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); - ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); - - -- Transceiver clocks - SA_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE front (qsfp) and ring lines - - -- front transceivers QSFP0 for Ring. - QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); - QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); - - -- front transceivers QSFP1 for 10GbE output to CEP. - QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); - QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); - -- LEDs - QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp_nof_leds-1 DOWNTO 0); - - -- ring transceivers - RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS => '0'); -- Using qsfp bus width also for ring interfaces - RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0); - RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS=>'0'); - RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0); - - -- back transceivers (note only 6 are used in unb2b) - BCK_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b-1 downto c_unb2b_board_nof_tr_jesd204b); -- c_unb2b_board_nof_tr_jesd204b = 6, c_disturb_S_pn = 12 - BCK_REF_CLK : IN STD_LOGIC; -- Use as JESD204B_REFCLK - - -- jesd204b syncronization signals (2 syncs) - JESD204B_SYSREF : IN STD_LOGIC; - JESD204B_SYNC_N : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0) -- c_unb2b_board_nof_sync_jesd204b = c_disturb_N_sync_jesd = 4 - ); -END disturb2_unb2b_station_full; - -ARCHITECTURE str OF disturb2_unb2b_station_full IS - - SIGNAL JESD204B_SERIAL_DATA : STD_LOGIC_VECTOR((c_unb2b_board_tr_jesd204b.bus_w*c_unb2b_board_tr_jesd204b.nof_bus)-1 downto 0); - SIGNAL jesd204b_sync_n_arr : STD_LOGIC_VECTOR(c_unb2b_board_nof_sync_jesd204b-1 downto 0); - SIGNAL JESD204B_REFCLK : STD_LOGIC; - - -BEGIN - - -- Mapping between JESD signal names and UNB2B pin/schematic names - JESD204B_REFCLK <= BCK_REF_CLK; - JESD204B_SERIAL_DATA(0) <= BCK_RX(42); - JESD204B_SERIAL_DATA(1) <= BCK_RX(43); - JESD204B_SERIAL_DATA(2) <= BCK_RX(44); - JESD204B_SERIAL_DATA(3) <= BCK_RX(45); - JESD204B_SERIAL_DATA(4) <= BCK_RX(46); - JESD204B_SERIAL_DATA(5) <= BCK_RX(47); - JESD204B_SERIAL_DATA(6) <= '0'; - JESD204B_SERIAL_DATA(7) <= '0'; - JESD204B_SERIAL_DATA(8) <= '0'; - JESD204B_SERIAL_DATA(9) <= '0'; - JESD204B_SERIAL_DATA(10) <= '0'; - JESD204B_SERIAL_DATA(11) <= '0'; - JESD204B_SYNC_N(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0) <= jesd204b_sync_n_arr(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0); - - - u_revision : ENTITY disturb2_unb2b_station_lib.disturb2_unb2b_station - GENERIC MAP ( - g_design_name => g_design_name, - g_design_note => g_design_note, - g_sim => g_sim, - g_sim_unb_nr => g_sim_unb_nr, - g_sim_node_nr => g_sim_node_nr, - g_stamp_date => g_stamp_date, - g_stamp_time => g_stamp_time, - g_revision_id => g_revision_id - ) - PORT MAP ( - -- GENERAL - CLK => CLK, - PPS => PPS, - WDI => WDI, - INTA => INTA, - INTB => INTB, - - -- Others - VERSION => VERSION, - ID => ID, - TESTIO => TESTIO, - - -- I2C Interface to Sensors - SENS_SC => SENS_SC, - SENS_SD => SENS_SD, - - PMBUS_SC => PMBUS_SC, - PMBUS_SD => PMBUS_SD, - PMBUS_ALERT => PMBUS_ALERT, - - -- 1GbE Control Interface - ETH_clk => ETH_clk, - ETH_SGIN => ETH_SGIN, - ETH_SGOUT => ETH_SGOUT, - - -- Transceiver clocks - SA_CLK => SA_CLK, - - -- front transceivers QSFP0 for Ring. - QSFP_0_RX => QSFP_0_RX, - QSFP_0_TX => QSFP_0_TX, - - -- front transceivers QSFP1 for 10GbE output to CEP. - QSFP_1_RX => QSFP_1_RX, - QSFP_1_TX => QSFP_1_TX, - -- LEDs - QSFP_LED => QSFP_LED, - - -- ring transceivers - RING_0_RX => RING_0_RX, - RING_0_TX => RING_0_TX, - RING_1_RX => RING_1_RX, - RING_1_TX => RING_1_TX, - - -- back transceivers - JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA, - JESD204B_REFCLK => JESD204B_REFCLK, - - -- jesd204b syncronization signals - JESD204B_SYSREF => JESD204B_SYSREF, - JESD204B_SYNC_N => jesd204b_sync_n_arr - ); -END str; diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full/disturb2_unb2b_station_full_pins.tcl b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full/disturb2_unb2b_station_full_pins.tcl deleted file mode 100644 index 74629af6b326a7a88b0f48e1879a334769a06012..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full/disturb2_unb2b_station_full_pins.tcl +++ /dev/null @@ -1,26 +0,0 @@ -############################################################################### -# -# Copyright (C) 2022 -# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> -# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands -# -# This program is free software: you can redistribute it and/or modify -# it under the terms of the GNU General Public License as published by -# the Free Software Foundation, either version 3 of the License, or -# (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program. If not, see <http://www.gnu.org/licenses/>. -# -############################################################################### -source $::env(RADIOHDL_WORK)/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_pins.tcl -source $::env(RADIOHDL_WORK)/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_jesd_pins.tcl -source $::env(RADIOHDL_WORK)/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_ring_pins.tcl -source $::env(RADIOHDL_WORK)/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_beamlets_pins.tcl - - diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full/hdllib.cfg b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full/hdllib.cfg deleted file mode 100644 index 7be680478e6da28d897249f126f97584010d81b1..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full/hdllib.cfg +++ /dev/null @@ -1,133 +0,0 @@ -hdl_lib_name = disturb2_unb2b_station_full -hdl_library_clause_name = disturb2_unb2b_station_full_lib -hdl_lib_uses_synth = common mm technology unb2b_board disturb2_unb2b_station -hdl_lib_uses_sim = eth -hdl_lib_technology = ip_arria10_e1sg - - synth_files = - disturb2_unb2b_station_full.vhd - -test_bench_files = - tb_disturb2_unb2b_station_full.vhd - -regression_test_vhdl = - tb_disturb2_unb2b_station_full.vhd - -[modelsim_project_file] -modelsim_copy_files = - ../../src/data data - $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients - # Overwrite bf weights with sim data - ../../tb/data data - -[quartus_project_file] -synth_top_level_entity = - -quartus_copy_files = - # Note: path $RADIOHDL_WORK is equivalent to relative path ../../../../../../ - ../../quartus . - ../../src/data data - $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients - -quartus_qsf_files = - $RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.qsf - -# use disturb2_unb2b_station.sdc instead because BCK_REF_CLK is 200MHz, not 644.33MHz. -quartus_sdc_files = - ../../quartus/disturb2_unb2b_station.sdc - #$RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.sdc - -quartus_tcl_files = - disturb2_unb2b_station_full_pins.tcl - -quartus_vhdl_files = - -quartus_qip_files = - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station_full/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station.qip - -quartus_ip_files = - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_common_mm_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_common_mm_1.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_eth_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_clk_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_cpu_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jesd204b.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jtag_uart_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_onchip_memory2_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_jesd_ctrl.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_pps.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_system_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_wdi.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_bf_weights.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_equalizer_gains.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_fil_coefs.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_scrap.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_ss_ss_wide.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_bst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_histogram.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_sst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_xsq.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_wg.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_aduh_monitor.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bf_scale.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_input.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_scheduler.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_source_v2.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_crosslets_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_ctrl.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_data.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_selector.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_shiftram.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_xonoff.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_epcs.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_temp_sens.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_voltage_sens.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_hdr_dat.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_ctrl.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_data.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nof_crosslets.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_remu.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_sdp_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_si.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_bst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_sst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_pmbus.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_sens.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wdi.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wg.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_rom_system_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_timer_0.ip - -nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2 diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full/tb_disturb2_unb2b_station_full.vhd b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full/tb_disturb2_unb2b_station_full.vhd deleted file mode 100644 index e159792f350e26735b51b8c962d382bb75c4ebf0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full/tb_disturb2_unb2b_station_full.vhd +++ /dev/null @@ -1,167 +0,0 @@ -------------------------------------------------------------------------------- --- --- Copyright 2022 --- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> --- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands --- --- Licensed under the Apache License, Version 2.0 (the "License"); --- you may not use this file except in compliance with the License. --- You may obtain a copy of the License at --- --- http://www.apache.org/licenses/LICENSE-2.0 --- --- Unless required by applicable law or agreed to in writing, software --- distributed under the License is distributed on an "AS IS" BASIS, --- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --- See the License for the specific language governing permissions and --- limitations under the License. --- -------------------------------------------------------------------------------- - --- Author: Reinier vd Walle --- Purpose: Tb to show that disturb2_unb2b_station_full can simulate --- Description: --- Must use c_sim = TRUE to speed up simulation --- This is a compile-only test bench --- Usage: --- Load sim # check that design can load in vsim --- > as 10 # check that the hierarchy for g_design_name is complete --- > run -a # check that design can simulate some us without error - -LIBRARY IEEE, common_lib, unb2b_board_lib, i2c_lib, disturb2_unb2b_station_lib; -USE IEEE.std_logic_1164.ALL; -USE IEEE.numeric_std.ALL; -USE common_lib.common_pkg.ALL; -USE unb2b_board_lib.unb2b_board_pkg.ALL; -USE common_lib.tb_common_pkg.ALL; - -ENTITY tb_disturb2_unb2b_station_full IS -END tb_disturb2_unb2b_station_full; - -ARCHITECTURE tb OF tb_disturb2_unb2b_station_full IS - - CONSTANT c_sim : BOOLEAN := TRUE; - CONSTANT c_unb_nr : NATURAL := 0; -- UniBoard 0 - CONSTANT c_node_nr : NATURAL := 0; -- Back node 3 - CONSTANT c_id : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; - CONSTANT c_version : STD_LOGIC_VECTOR(1 DOWNTO 0) := "00"; - CONSTANT c_fw_version : t_unb2b_board_fw_version := (1, 0); - - CONSTANT c_eth_clk_period : TIME := 8 ns; -- 125 MHz XO on UniBoard - CONSTANT c_ext_clk_period : TIME := 5 ns; - CONSTANT c_bck_ref_clk_period : TIME := 5 ns; - CONSTANT c_pps_period : NATURAL := 1000; - - -- Tb - SIGNAL tb_end : STD_LOGIC := '0'; - SIGNAL sim_done : STD_LOGIC := '0'; - - -- DUT - SIGNAL ext_clk : STD_LOGIC := '0'; - SIGNAL pps : STD_LOGIC := '0'; - SIGNAL pps_rst : STD_LOGIC := '0'; - - SIGNAL WDI : STD_LOGIC; - SIGNAL INTA : STD_LOGIC; - SIGNAL INTB : STD_LOGIC; - - SIGNAL eth_clk : STD_LOGIC := '0'; - SIGNAL eth_txp : STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 downto 0); - SIGNAL eth_rxp : STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 downto 0); - - SIGNAL sens_scl : STD_LOGIC; - SIGNAL sens_sda : STD_LOGIC; - SIGNAL pmbus_scl : STD_LOGIC; - SIGNAL pmbus_sda : STD_LOGIC; - - -- back transceivers - SIGNAL JESD204B_SERIAL_DATA : STD_LOGIC_VECTOR((c_unb2b_board_tr_jesd204b.bus_w * c_unb2b_board_tr_jesd204b.nof_bus)-1 downto 0); - SIGNAL JESD204B_REFCLK : STD_LOGIC := '1'; - - -- jesd204b syncronization signals - SIGNAL jesd204b_sysref : STD_LOGIC; - SIGNAL jesd204b_sync_n : STD_LOGIC_VECTOR(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0); - - -BEGIN - - - ---------------------------------------------------------------------------- - -- System setup - ---------------------------------------------------------------------------- - ext_clk <= NOT ext_clk AFTER c_ext_clk_period/2; -- External clock (200 MHz) - eth_clk <= NOT eth_clk AFTER c_eth_clk_period/2; -- Ethernet ref clock (125 MHz) - JESD204B_REFCLK <= NOT JESD204B_REFCLK AFTER c_bck_ref_clk_period/2; -- JESD sample clock (200MHz) - - INTA <= 'H'; -- pull up - INTB <= 'H'; -- pull up - - sens_scl <= 'H'; -- pull up - sens_sda <= 'H'; -- pull up - pmbus_scl <= 'H'; -- pull up - pmbus_sda <= 'H'; -- pull up - - ------------------------------------------------------------------------------ - -- External PPS - ------------------------------------------------------------------------------ - proc_common_gen_pulse(1, c_pps_period, '1', pps_rst, ext_clk, pps); - jesd204b_sysref <= pps; - - ------------------------------------------------------------------------------ - -- DUT - ------------------------------------------------------------------------------ - u_lofar_unb2b_adc : ENTITY disturb2_unb2b_station_lib.disturb2_unb2b_station - GENERIC MAP ( - g_design_name => "disturb2_unb2b_station_full", - g_design_note => "Disturb2 full station design", - g_sim => c_sim, - g_sim_unb_nr => c_unb_nr, - g_sim_node_nr => c_node_nr - ) - PORT MAP ( - -- GENERAL - CLK => ext_clk, - PPS => pps, - WDI => WDI, - INTA => INTA, - INTB => INTB, - - -- Others - VERSION => c_version, - ID => c_id, - TESTIO => open, - - -- I2C Interface to Sensors - SENS_SC => sens_scl, - SENS_SD => sens_sda, - - PMBUS_SC => pmbus_scl, - PMBUS_SD => pmbus_sda, - PMBUS_ALERT => open, - - -- 1GbE Control Interface - ETH_CLK => eth_clk, - ETH_SGIN => eth_rxp, - ETH_SGOUT => eth_txp, - - -- LEDs - QSFP_LED => open, - - -- back transceivers - JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA, - JESD204B_REFCLK => JESD204B_REFCLK, - - -- jesd204b syncronization signals - JESD204B_SYSREF => jesd204b_sysref, - JESD204B_SYNC_N => jesd204b_sync_n - ); - - - ------------------------------------------------------------------------------ - -- Simulation end - ------------------------------------------------------------------------------ - sim_done <= '0', '1' AFTER 1 us; - - proc_common_stop_simulation(TRUE, ext_clk, sim_done, tb_end); - -END tb; diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full_wg/disturb2_unb2b_station_full_wg.vhd b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full_wg/disturb2_unb2b_station_full_wg.vhd deleted file mode 100644 index fba911349100f0f07853c811c2d5566ae5f0fd03..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full_wg/disturb2_unb2b_station_full_wg.vhd +++ /dev/null @@ -1,158 +0,0 @@ -------------------------------------------------------------------------------- --- --- Copyright 2022 --- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> --- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands --- --- Licensed under the Apache License, Version 2.0 (the "License"); --- you may not use this file except in compliance with the License. --- You may obtain a copy of the License at --- --- http://www.apache.org/licenses/LICENSE-2.0 --- --- Unless required by applicable law or agreed to in writing, software --- distributed under the License is distributed on an "AS IS" BASIS, --- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --- See the License for the specific language governing permissions and --- limitations under the License. --- -------------------------------------------------------------------------------- - --- Author : R. van der Walle --- Purpose: --- Wrapper for Disturb2 SDP Station full design. --- Description: --- Unb2b version for lab testing of SDP using the WG. --- Contains AIT input stage with WG, FSUB, XSUB, BF and RING, so without ADC JESD. - - -LIBRARY IEEE, common_lib, unb2b_board_lib, technology_lib, diag_lib, dp_lib, tech_jesd204b_lib, disturb2_unb2b_station_lib; -USE IEEE.STD_LOGIC_1164.ALL; -USE IEEE.NUMERIC_STD.ALL; -USE common_lib.common_pkg.ALL; -USE common_lib.common_mem_pkg.ALL; -USE technology_lib.technology_pkg.ALL; -USE unb2b_board_lib.unb2b_board_pkg.ALL; -USE diag_lib.diag_pkg.ALL; -USE dp_lib.dp_stream_pkg.ALL; - -ENTITY disturb2_unb2b_station_full_wg IS - GENERIC ( - g_design_name : STRING := "disturb2_unb2b_station_full_wg"; - g_design_note : STRING := "Disturb2 station full design WG"; - g_sim : BOOLEAN := FALSE; --Overridden by TB - g_sim_unb_nr : NATURAL := 0; - g_sim_node_nr : NATURAL := 0; - g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF - g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF - g_revision_id : STRING := "" -- revision ID -- set by QSF - ); - PORT ( - -- GENERAL - CLK : IN STD_LOGIC; -- System Clock - PPS : IN STD_LOGIC; -- System Sync - WDI : OUT STD_LOGIC; -- Watchdog Clear - INTA : INOUT STD_LOGIC; -- FPGA interconnect line - INTB : INOUT STD_LOGIC; -- FPGA interconnect line - - -- Others - VERSION : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.version_w-1 DOWNTO 0); - ID : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.id_w-1 DOWNTO 0); - TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2b_board_aux.testio_w-1 DOWNTO 0); - - -- I2C Interface to Sensors - SENS_SC : INOUT STD_LOGIC; - SENS_SD : INOUT STD_LOGIC; - - PMBUS_SC : INOUT STD_LOGIC; - PMBUS_SD : INOUT STD_LOGIC; - PMBUS_ALERT : IN STD_LOGIC := '0'; - - -- 1GbE Control Interface - ETH_CLK : IN STD_LOGIC; - ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); - ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); - - -- Transceiver clocks - SA_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE front (qsfp) and ring lines - - -- front transceivers QSFP0 for Ring. - QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); - QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); - - -- front transceivers - QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); - QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); - - -- LEDs - QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp_nof_leds-1 DOWNTO 0); - - -- ring transceivers - RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS => '0'); -- Using qsfp bus width also for ring interfaces - RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0); - RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS=>'0'); - RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) - ); -END disturb2_unb2b_station_full_wg; - -ARCHITECTURE str OF disturb2_unb2b_station_full_wg IS - -BEGIN - - u_revision : ENTITY disturb2_unb2b_station_lib.disturb2_unb2b_station - GENERIC MAP ( - g_design_name => g_design_name, - g_design_note => g_design_note, - g_sim => g_sim, - g_sim_unb_nr => g_sim_unb_nr, - g_sim_node_nr => g_sim_node_nr, - g_stamp_date => g_stamp_date, - g_stamp_time => g_stamp_time, - g_revision_id => g_revision_id - ) - PORT MAP ( - -- GENERAL - CLK => CLK, - PPS => PPS, - WDI => WDI, - INTA => INTA, - INTB => INTB, - - -- Others - VERSION => VERSION, - ID => ID, - TESTIO => TESTIO, - - -- I2C Interface to Sensors - SENS_SC => SENS_SC, - SENS_SD => SENS_SD, - - PMBUS_SC => PMBUS_SC, - PMBUS_SD => PMBUS_SD, - PMBUS_ALERT => PMBUS_ALERT, - - -- 1GbE Control Interface - ETH_clk => ETH_clk, - ETH_SGIN => ETH_SGIN, - ETH_SGOUT => ETH_SGOUT, - - -- Transceiver clocks - SA_CLK => SA_CLK, - - -- front transceivers QSFP0 for Ring. - QSFP_0_RX => QSFP_0_RX, - QSFP_0_TX => QSFP_0_TX, - - -- front transceivers QSFP1 for 10GbE output to CEP. - QSFP_1_RX => QSFP_1_RX, - QSFP_1_TX => QSFP_1_TX, - -- LEDs - QSFP_LED => QSFP_LED, - - -- ring transceivers - RING_0_RX => RING_0_RX, - RING_0_TX => RING_0_TX, - RING_1_RX => RING_1_RX, - RING_1_TX => RING_1_TX - ); -END str; diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full_wg/disturb2_unb2b_station_full_wg_pins.tcl b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full_wg/disturb2_unb2b_station_full_wg_pins.tcl deleted file mode 100644 index cea542cc00f3b5da5a6653b721e0f87747a2699b..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full_wg/disturb2_unb2b_station_full_wg_pins.tcl +++ /dev/null @@ -1,25 +0,0 @@ -############################################################################### -# -# Copyright (C) 2022 -# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> -# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands -# -# This program is free software: you can redistribute it and/or modify -# it under the terms of the GNU General Public License as published by -# the Free Software Foundation, either version 3 of the License, or -# (at your option) any later version. -# -# This program is distributed in the hope that it will be useful, -# but WITHOUT ANY WARRANTY; without even the implied warranty of -# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -# GNU General Public License for more details. -# -# You should have received a copy of the GNU General Public License -# along with this program. If not, see <http://www.gnu.org/licenses/>. -# -############################################################################### -source $::env(RADIOHDL_WORK)/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_pins.tcl -source $::env(RADIOHDL_WORK)/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_ring_pins.tcl -source $::env(RADIOHDL_WORK)/applications/disturb2/designs/disturb2_unb2b_station/quartus/disturb2_unb2b_station_beamlets_pins.tcl - - diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full_wg/hdllib.cfg b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full_wg/hdllib.cfg deleted file mode 100644 index 6c87d434e9d8d7e0620701019547790e8376cc4e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full_wg/hdllib.cfg +++ /dev/null @@ -1,133 +0,0 @@ -hdl_lib_name = disturb2_unb2b_station_full_wg -hdl_library_clause_name = disturb2_unb2b_station_full_wg_lib -hdl_lib_uses_synth = common mm technology unb2b_board disturb2_unb2b_station -hdl_lib_uses_sim = eth -hdl_lib_technology = ip_arria10_e1sg - - synth_files = - disturb2_unb2b_station_full_wg.vhd - -test_bench_files = - tb_disturb2_unb2b_station_full_wg.vhd - -regression_test_vhdl = - tb_disturb2_unb2b_station_full_wg.vhd - -[modelsim_project_file] -modelsim_copy_files = - ../../src/data data - $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients - # Overwrite bf weights with sim data - ../../tb/data data - -[quartus_project_file] -synth_top_level_entity = - -quartus_copy_files = - # Note: path $RADIOHDL_WORK is equivalent to relative path ../../../../../../ - ../../quartus . - ../../src/data data - $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients - -quartus_qsf_files = - $RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.qsf - -# use disturb2_unb2b_station.sdc instead because BCK_REF_CLK is 200MHz, not 644.33MHz. -quartus_sdc_files = - ../../quartus/disturb2_unb2b_station.sdc - #$RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.sdc - -quartus_tcl_files = - disturb2_unb2b_station_full_wg_pins.tcl - -quartus_vhdl_files = - -quartus_qip_files = - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station_full_wg/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station.qip - -quartus_ip_files = - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_common_mm_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_common_mm_1.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_avs_eth_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_clk_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_cpu_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jesd204b.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_jtag_uart_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_onchip_memory2_0.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_jesd_ctrl.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_pps.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_system_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_pio_wdi.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_bf_weights.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_diag_data_buffer_bsn.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_equalizer_gains.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_fil_coefs.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_scrap.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_ss_ss_wide.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_bst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_histogram.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_sst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_st_xsq.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_ram_wg.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_aduh_monitor.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bf_scale.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_align_v2_xsub.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_input.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_aligned_xsub.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_beamlet_output.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_bst_offload.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_rx_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_ring_tx_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_rx_align_xsub.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_sst_offload.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_monitor_v2_xst_offload.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_scheduler.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_source_v2.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_bsn_sync_scheduler_xsub.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_crosslets_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_diag_data_buffer_bsn.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_bsn_at_sync_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_block_validate_err_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_ctrl.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dpmm_data.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_selector.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_shiftram.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_dp_xonoff.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_epcs.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_temp_sens.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_fpga_voltage_sens.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_hdr_dat.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_ctrl.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_mmdp_data.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nof_crosslets.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_eth10g.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_nw_10gbe_mac.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_remu.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_bf.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_ring_lane_info_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_sdp_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_si.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_bst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_sst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_enable_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_bst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_sst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_stat_hdr_dat_xst.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_eth10g.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_tr_10gbe_mac.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_pmbus.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_unb_sens.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wdi.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_reg_wg.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_rom_system_info.ip - $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_station/ip/qsys_disturb2_unb2b_station/qsys_disturb2_unb2b_station_timer_0.ip - -nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2 diff --git a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full_wg/tb_disturb2_unb2b_station_full_wg.vhd b/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full_wg/tb_disturb2_unb2b_station_full_wg.vhd deleted file mode 100644 index 8cf7a9df1e73af723b12921f55612a20c7275fe4..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/revisions/disturb2_unb2b_station_full_wg/tb_disturb2_unb2b_station_full_wg.vhd +++ /dev/null @@ -1,167 +0,0 @@ -------------------------------------------------------------------------------- --- --- Copyright 2022 --- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> --- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands --- --- Licensed under the Apache License, Version 2.0 (the "License"); --- you may not use this file except in compliance with the License. --- You may obtain a copy of the License at --- --- http://www.apache.org/licenses/LICENSE-2.0 --- --- Unless required by applicable law or agreed to in writing, software --- distributed under the License is distributed on an "AS IS" BASIS, --- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --- See the License for the specific language governing permissions and --- limitations under the License. --- -------------------------------------------------------------------------------- - --- Author: Reinier vd Walle --- Purpose: Tb to show that disturb2_unb2b_station_full_wg can simulate --- Description: --- Must use c_sim = TRUE to speed up simulation --- This is a compile-only test bench --- Usage: --- Load sim # check that design can load in vsim --- > as 10 # check that the hierarchy for g_design_name is complete --- > run -a # check that design can simulate some us without error - -LIBRARY IEEE, common_lib, unb2b_board_lib, i2c_lib, disturb2_unb2b_station_lib; -USE IEEE.std_logic_1164.ALL; -USE IEEE.numeric_std.ALL; -USE common_lib.common_pkg.ALL; -USE unb2b_board_lib.unb2b_board_pkg.ALL; -USE common_lib.tb_common_pkg.ALL; - -ENTITY tb_disturb2_unb2b_station_full_wg IS -END tb_disturb2_unb2b_station_full_wg; - -ARCHITECTURE tb OF tb_disturb2_unb2b_station_full_wg IS - - CONSTANT c_sim : BOOLEAN := TRUE; - CONSTANT c_unb_nr : NATURAL := 0; -- UniBoard 0 - CONSTANT c_node_nr : NATURAL := 0; -- Back node 3 - CONSTANT c_id : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; - CONSTANT c_version : STD_LOGIC_VECTOR(1 DOWNTO 0) := "00"; - CONSTANT c_fw_version : t_unb2b_board_fw_version := (1, 0); - - CONSTANT c_eth_clk_period : TIME := 8 ns; -- 125 MHz XO on UniBoard - CONSTANT c_ext_clk_period : TIME := 5 ns; - CONSTANT c_bck_ref_clk_period : TIME := 5 ns; - CONSTANT c_pps_period : NATURAL := 1000; - - -- Tb - SIGNAL tb_end : STD_LOGIC := '0'; - SIGNAL sim_done : STD_LOGIC := '0'; - - -- DUT - SIGNAL ext_clk : STD_LOGIC := '0'; - SIGNAL pps : STD_LOGIC := '0'; - SIGNAL pps_rst : STD_LOGIC := '0'; - - SIGNAL WDI : STD_LOGIC; - SIGNAL INTA : STD_LOGIC; - SIGNAL INTB : STD_LOGIC; - - SIGNAL eth_clk : STD_LOGIC := '0'; - SIGNAL eth_txp : STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 downto 0); - SIGNAL eth_rxp : STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 downto 0); - - SIGNAL sens_scl : STD_LOGIC; - SIGNAL sens_sda : STD_LOGIC; - SIGNAL pmbus_scl : STD_LOGIC; - SIGNAL pmbus_sda : STD_LOGIC; - - -- back transceivers - SIGNAL JESD204B_SERIAL_DATA : STD_LOGIC_VECTOR((c_unb2b_board_tr_jesd204b.bus_w * c_unb2b_board_tr_jesd204b.nof_bus)-1 downto 0); - SIGNAL JESD204B_REFCLK : STD_LOGIC := '1'; - - -- jesd204b syncronization signals - SIGNAL jesd204b_sysref : STD_LOGIC; - SIGNAL jesd204b_sync_n : STD_LOGIC_VECTOR(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0); - - -BEGIN - - - ---------------------------------------------------------------------------- - -- System setup - ---------------------------------------------------------------------------- - ext_clk <= NOT ext_clk AFTER c_ext_clk_period/2; -- External clock (200 MHz) - eth_clk <= NOT eth_clk AFTER c_eth_clk_period/2; -- Ethernet ref clock (125 MHz) - JESD204B_REFCLK <= NOT JESD204B_REFCLK AFTER c_bck_ref_clk_period/2; -- JESD sample clock (200MHz) - - INTA <= 'H'; -- pull up - INTB <= 'H'; -- pull up - - sens_scl <= 'H'; -- pull up - sens_sda <= 'H'; -- pull up - pmbus_scl <= 'H'; -- pull up - pmbus_sda <= 'H'; -- pull up - - ------------------------------------------------------------------------------ - -- External PPS - ------------------------------------------------------------------------------ - proc_common_gen_pulse(1, c_pps_period, '1', pps_rst, ext_clk, pps); - jesd204b_sysref <= pps; - - ------------------------------------------------------------------------------ - -- DUT - ------------------------------------------------------------------------------ - u_lofar_unb2b_adc : ENTITY disturb2_unb2b_station_lib.disturb2_unb2b_station - GENERIC MAP ( - g_design_name => "disturb2_unb2b_station_full_wg", - g_design_note => "Disturb2 full station design without ADC", - g_sim => c_sim, - g_sim_unb_nr => c_unb_nr, - g_sim_node_nr => c_node_nr - ) - PORT MAP ( - -- GENERAL - CLK => ext_clk, - PPS => pps, - WDI => WDI, - INTA => INTA, - INTB => INTB, - - -- Others - VERSION => c_version, - ID => c_id, - TESTIO => open, - - -- I2C Interface to Sensors - SENS_SC => sens_scl, - SENS_SD => sens_sda, - - PMBUS_SC => pmbus_scl, - PMBUS_SD => pmbus_sda, - PMBUS_ALERT => open, - - -- 1GbE Control Interface - ETH_CLK => eth_clk, - ETH_SGIN => eth_rxp, - ETH_SGOUT => eth_txp, - - -- LEDs - QSFP_LED => open, - - -- back transceivers - JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA, - JESD204B_REFCLK => JESD204B_REFCLK, - - -- jesd204b syncronization signals - JESD204B_SYSREF => jesd204b_sysref, - JESD204B_SYNC_N => jesd204b_sync_n - ); - - - ------------------------------------------------------------------------------ - -- Simulation end - ------------------------------------------------------------------------------ - sim_done <= '0', '1' AFTER 1 us; - - proc_common_stop_simulation(TRUE, ext_clk, sim_done, tb_end); - -END tb; diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_0.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_0.hex deleted file mode 100644 index b2c4a5514ae33570a8620cb1ffd89b1a5e5ddfcd..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_0.hex +++ /dev/null @@ -1,977 +0,0 @@ -:020000000000FE -:020001000001FC -:020002000002FA -:020003000003F8 -:020004000004F6 -:020005000005F4 -:020006000006F2 -:020007000007F0 -:020008000008EE -:020009000009EC -:02000A00000AEA -:02000B00000BE8 -:02000C00000CE6 -:02000D00000DE4 -:02000E00000EE2 -:02000F00000FE0 -:020010000010DE -:020011000011DC -:020012000012DA -:020013000013D8 -:020014000014D6 -:020015000015D4 -:020016000016D2 -:020017000017D0 -:020018000018CE -:020019000019CC -:02001A00001ACA -:02001B00001BC8 -:02001C00001CC6 -:02001D00001DC4 -:02001E00001EC2 -:02001F00001FC0 -:020020000020BE -:020021000021BC -:020022000022BA -:020023000023B8 -:020024000024B6 -:020025000025B4 -:020026000026B2 -:020027000027B0 -:020028000028AE -:020029000029AC -:02002A00002AAA -:02002B00002BA8 -:02002C00002CA6 -:02002D00002DA4 -:02002E00002EA2 -:02002F00002FA0 -:0200300000309E -:0200310000319C -:0200320000329A -:02003300003398 -:02003400003496 -:02003500003594 -:02003600003692 -:02003700003790 -:0200380000388E -:0200390000398C -:02003A00003A8A -:02003B00003B88 -:02003C00003C86 -:02003D00003D84 -:02003E00003E82 -:02003F00003F80 -:0200400000407E -:0200410000417C -:0200420000427A -:02004300004378 -:02004400004476 -:02004500004574 -:02004600004672 -:02004700004770 -:0200480000486E -:0200490000496C -:02004A00004A6A -:02004B00004B68 -:02004C00004C66 -:02004D00004D64 -:02004E00004E62 -:02004F00004F60 -:0200500000505E -:0200510000515C -:0200520000525A -:02005300005358 -:02005400005456 -:02005500005554 -:02005600005652 -:02005700005750 -:0200580000584E -:0200590000594C -:02005A00005A4A -:02005B00005B48 -:02005C00005C46 -:02005D00005D44 -:02005E00005E42 -:02005F00005F40 -:0200600000603E -:0200610000613C -:0200620000623A -:02006300006338 -:02006400006436 -:02006500006534 -:02006600006632 -:02006700006730 -:0200680000682E -:0200690000692C -:02006A00006A2A -:02006B00006B28 -:02006C00006C26 -:02006D00006D24 -:02006E00006E22 -:02006F00006F20 -:0200700000701E -:0200710000711C -:0200720000721A -:02007300007318 -:02007400007416 -:02007500007514 -:02007600007612 -:02007700007710 -:0200780000780E -:0200790000790C -:02007A00007A0A -:02007B00007B08 -:02007C00007C06 -:02007D00007D04 -:02007E00007E02 -:02007F00007F00 -:020080000080FE -:020081000081FC -:020082000082FA -:020083000083F8 -:020084000084F6 -:020085000085F4 -:020086000086F2 -:020087000087F0 -:020088000088EE -:020089000089EC -:02008A00008AEA -:02008B00008BE8 -:02008C00008CE6 -:02008D00008DE4 -:02008E00008EE2 -:02008F00008FE0 -:020090000090DE -:020091000091DC -:020092000092DA -:020093000093D8 -:020094000094D6 -:020095000095D4 -:020096000096D2 -:020097000097D0 -:020098000098CE -:020099000099CC -:02009A00009ACA -:02009B00009BC8 -:02009C00009CC6 -:02009D00009DC4 -:02009E00009EC2 -:02009F00009FC0 -:0200A00000A0BE -:0200A10000A1BC -:0200A20000A2BA -:0200A30000A3B8 -:0200A40000A4B6 -:0200A50000A5B4 -:0200A60000A6B2 -:0200A70000A7B0 -:0200A80000A8AE -:0200A90000A9AC -:0200AA0000AAAA -:0200AB0000ABA8 -:0200AC0000ACA6 -:0200AD0000ADA4 -:0200AE0000AEA2 -:0200AF0000AFA0 -:0200B00000B09E -:0200B10000B19C -:0200B20000B29A -:0200B30000B398 -:0200B40000B496 -:0200B50000B594 -:0200B60000B692 -:0200B70000B790 -:0200B80000B88E -:0200B90000B98C -:0200BA0000BA8A -:0200BB0000BB88 -:0200BC0000BC86 -:0200BD0000BD84 -:0200BE0000BE82 -:0200BF0000BF80 -:0200C00000C07E -:0200C10000C17C -:0200C20000C27A -:0200C30000C378 -:0200C40000C476 -:0200C50000C574 -:0200C60000C672 -:0200C70000C770 -:0200C80000C86E -:0200C90000C96C -:0200CA0000CA6A -:0200CB0000CB68 -:0200CC0000CC66 -:0200CD0000CD64 -:0200CE0000CE62 -:0200CF0000CF60 -:0200D00000D05E -:0200D10000D15C -:0200D20000D25A -:0200D30000D358 -:0200D40000D456 -:0200D50000D554 -:0200D60000D652 -:0200D70000D750 -:0200D80000D84E -:0200D90000D94C -:0200DA0000DA4A -:0200DB0000DB48 -:0200DC0000DC46 -:0200DD0000DD44 -:0200DE0000DE42 -:0200DF0000DF40 -:0200E00000E03E -:0200E10000E13C -:0200E20000E23A -:0200E30000E338 -:0200E40000E436 -:0200E50000E534 -:0200E60000E632 -:0200E70000E730 -:0200E80000E82E -:0200E90000E92C -:0200EA0000EA2A -:0200EB0000EB28 -:0200EC0000EC26 -:0200ED0000ED24 -:0200EE0000EE22 -:0200EF0000EF20 -:0200F00000F01E -:0200F10000F11C -:0200F20000F21A -:0200F30000F318 -:0200F40000F416 -:0200F50000F514 -:0200F60000F612 -:0200F70000F710 -:0200F80000F80E -:0200F90000F90C -:0200FA0000FA0A -:0200FB0000FB08 -:0200FC0000FC06 -:0200FD0000FD04 -:0200FE0000FE02 -:0200FF0000FF00 -:020100000100FC -:020101000101FA -:020102000102F8 -:020103000103F6 -:020104000104F4 -:020105000105F2 -:020106000106F0 -:020107000107EE -:020108000108EC -:020109000109EA -:02010A00010AE8 -:02010B00010BE6 -:02010C00010CE4 -:02010D00010DE2 -:02010E00010EE0 -:02010F00010FDE -:020110000110DC -:020111000111DA -:020112000112D8 -:020113000113D6 -:020114000114D4 -:020115000115D2 -:020116000116D0 -:020117000117CE -:020118000118CC -:020119000119CA -:02011A00011AC8 -:02011B00011BC6 -:02011C00011CC4 -:02011D00011DC2 -:02011E00011EC0 -:02011F00011FBE -:020120000120BC -:020121000121BA -:020122000122B8 -:020123000123B6 -:020124000124B4 -:020125000125B2 -:020126000126B0 -:020127000127AE -:020128000128AC -:020129000129AA -:02012A00012AA8 -:02012B00012BA6 -:02012C00012CA4 -:02012D00012DA2 -:02012E00012EA0 -:02012F00012F9E -:0201300001309C -:0201310001319A -:02013200013298 -:02013300013396 -:02013400013494 -:02013500013592 -:02013600013690 -:0201370001378E -:0201380001388C -:0201390001398A -:02013A00013A88 -:02013B00013B86 -:02013C00013C84 -:02013D00013D82 -:02013E00013E80 -:02013F00013F7E -:0201400001407C -:0201410001417A -:02014200014278 -:02014300014376 -:02014400014474 -:02014500014572 -:02014600014670 -:0201470001476E -:0201480001486C -:0201490001496A -:02014A00014A68 -:02014B00014B66 -:02014C00014C64 -:02014D00014D62 -:02014E00014E60 -:02014F00014F5E -:0201500001505C -:0201510001515A -:02015200015258 -:02015300015356 -:02015400015454 -:02015500015552 -:02015600015650 -:0201570001574E -:0201580001584C -:0201590001594A -:02015A00015A48 -:02015B00015B46 -:02015C00015C44 -:02015D00015D42 -:02015E00015E40 -:02015F00015F3E -:0201600001603C -:0201610001613A -:02016200016238 -:02016300016336 -:02016400016434 -:02016500016532 -:02016600016630 -:0201670001672E -:0201680001682C -:0201690001692A -:02016A00016A28 -:02016B00016B26 -:02016C00016C24 -:02016D00016D22 -:02016E00016E20 -:02016F00016F1E -:0201700001701C -:0201710001711A -:02017200017218 -:02017300017316 -:02017400017414 -:02017500017512 -:02017600017610 -:0201770001770E -:0201780001780C -:0201790001790A -:02017A00017A08 -:02017B00017B06 -:02017C00017C04 -:02017D00017D02 -:02017E00017E00 -:02017F00017FFE -:020180000180FC -:020181000181FA -:020182000182F8 -:020183000183F6 -:020184000184F4 -:020185000185F2 -:020186000186F0 -:020187000187EE -:020188000188EC -:020189000189EA -:02018A00018AE8 -:02018B00018BE6 -:02018C00018CE4 -:02018D00018DE2 -:02018E00018EE0 -:02018F00018FDE -:020190000190DC -:020191000191DA -:020192000192D8 -:020193000193D6 -:020194000194D4 -:020195000195D2 -:020196000196D0 -:020197000197CE -:020198000198CC -:020199000199CA -:02019A00019AC8 -:02019B00019BC6 -:02019C00019CC4 -:02019D00019DC2 -:02019E00019EC0 -:02019F00019FBE -:0201A00001A0BC -:0201A10001A1BA -:0201A20001A2B8 -:0201A30001A3B6 -:0201A40001A4B4 -:0201A50001A5B2 -:0201A60001A6B0 -:0201A70001A7AE -:0201A80001A8AC -:0201A90001A9AA -:0201AA0001AAA8 -:0201AB0001ABA6 -:0201AC0001ACA4 -:0201AD0001ADA2 -:0201AE0001AEA0 -:0201AF0001AF9E -:0201B00001B09C -:0201B10001B19A -:0201B20001B298 -:0201B30001B396 -:0201B40001B494 -:0201B50001B592 -:0201B60001B690 -:0201B70001B78E -:0201B80001B88C -:0201B90001B98A -:0201BA0001BA88 -:0201BB0001BB86 -:0201BC0001BC84 -:0201BD0001BD82 -:0201BE0001BE80 -:0201BF0001BF7E -:0201C00001C07C -:0201C10001C17A -:0201C20001C278 -:0201C30001C376 -:0201C40001C474 -:0201C50001C572 -:0201C60001C670 -:0201C70001C76E -:0201C80001C86C -:0201C90001C96A -:0201CA0001CA68 -:0201CB0001CB66 -:0201CC0001CC64 -:0201CD0001CD62 -:0201CE0001CE60 -:0201CF0001CF5E -:0201D00001D05C -:0201D10001D15A -:0201D20001D258 -:0201D30001D356 -:0201D40001D454 -:0201D50001D552 -:0201D60001D650 -:0201D70001D74E -:0201D80001D84C -:0201D90001D94A -:0201DA0001DA48 -:0201DB0001DB46 -:0201DC0001DC44 -:0201DD0001DD42 -:0201DE0001DE40 -:0201DF0001DF3E -:0201E00001E03C -:0201E10001E13A -:0201E20001E238 -:0201E30001E336 -:0201E40001E434 -:0201E50001E532 -:0201E60001E630 -:0201E70001E72E -:0201E80001E82C -:0201E90001E92A -:0201EA0001EA28 -:0201EB0001EB26 -:0201EC0001EC24 -:0201ED0001ED22 -:0201EE0001EE20 -:0201EF0001EF1E -:0201F00001F01C -:0201F10001F11A -:0201F20001F218 -:0201F30001F316 -:0201F40001F414 -:0201F50001F512 -:0201F60001F610 -:0201F70001F70E -:0201F80001F80C -:0201F90001F90A -:0201FA0001FA08 -:0201FB0001FB06 -:0201FC0001FC04 -:0201FD0001FD02 -:0201FE0001FE00 -:0201FF0001FFFE -:020200000200FA -:020201000201F8 -:020202000202F6 -:020203000203F4 -:020204000204F2 -:020205000205F0 -:020206000206EE -:020207000207EC -:020208000208EA -:020209000209E8 -:02020A00020AE6 -:02020B00020BE4 -:02020C00020CE2 -:02020D00020DE0 -:02020E00020EDE -:02020F00020FDC -:020210000210DA -:020211000211D8 -:020212000212D6 -:020213000213D4 -:020214000214D2 -:020215000215D0 -:020216000216CE -:020217000217CC -:020218000218CA -:020219000219C8 -:02021A00021AC6 -:02021B00021BC4 -:02021C00021CC2 -:02021D00021DC0 -:02021E00021EBE -:02021F00021FBC -:020220000220BA -:020221000221B8 -:020222000222B6 -:020223000223B4 -:020224000224B2 -:020225000225B0 -:020226000226AE -:020227000227AC -:020228000228AA -:020229000229A8 -:02022A00022AA6 -:02022B00022BA4 -:02022C00022CA2 -:02022D00022DA0 -:02022E00022E9E -:02022F00022F9C -:0202300002309A -:02023100023198 -:02023200023296 -:02023300023394 -:02023400023492 -:02023500023590 -:0202360002368E -:0202370002378C -:0202380002388A -:02023900023988 -:02023A00023A86 -:02023B00023B84 -:02023C00023C82 -:02023D00023D80 -:02023E00023E7E -:02023F00023F7C -:0202400002407A -:02024100024178 -:02024200024276 -:02024300024374 -:02024400024472 -:02024500024570 -:0202460002466E -:0202470002476C -:0202480002486A -:02024900024968 -:02024A00024A66 -:02024B00024B64 -:02024C00024C62 -:02024D00024D60 -:02024E00024E5E -:02024F00024F5C -:0202500002505A -:02025100025158 -:02025200025256 -:02025300025354 -:02025400025452 -:02025500025550 -:0202560002564E -:0202570002574C -:0202580002584A -:02025900025948 -:02025A00025A46 -:02025B00025B44 -:02025C00025C42 -:02025D00025D40 -:02025E00025E3E -:02025F00025F3C -:0202600002603A -:02026100026138 -:02026200026236 -:02026300026334 -:02026400026432 -:02026500026530 -:0202660002662E -:0202670002672C -:0202680002682A -:02026900026928 -:02026A00026A26 -:02026B00026B24 -:02026C00026C22 -:02026D00026D20 -:02026E00026E1E -:02026F00026F1C -:0202700002701A -:02027100027118 -:02027200027216 -:02027300027314 -:02027400027412 -:02027500027510 -:0202760002760E -:0202770002770C -:0202780002780A -:02027900027908 -:02027A00027A06 -:02027B00027B04 -:02027C00027C02 -:02027D00027D00 -:02027E00027EFE -:02027F00027FFC -:020280000280FA -:020281000281F8 -:020282000282F6 -:020283000283F4 -:020284000284F2 -:020285000285F0 -:020286000286EE -:020287000287EC -:020288000288EA -:020289000289E8 -:02028A00028AE6 -:02028B00028BE4 -:02028C00028CE2 -:02028D00028DE0 -:02028E00028EDE -:02028F00028FDC -:020290000290DA -:020291000291D8 -:020292000292D6 -:020293000293D4 -:020294000294D2 -:020295000295D0 -:020296000296CE -:020297000297CC -:020298000298CA -:020299000299C8 -:02029A00029AC6 -:02029B00029BC4 -:02029C00029CC2 -:02029D00029DC0 -:02029E00029EBE -:02029F00029FBC -:0202A00002A0BA -:0202A10002A1B8 -:0202A20002A2B6 -:0202A30002A3B4 -:0202A40002A4B2 -:0202A50002A5B0 -:0202A60002A6AE -:0202A70002A7AC -:0202A80002A8AA -:0202A90002A9A8 -:0202AA0002AAA6 -:0202AB0002ABA4 -:0202AC0002ACA2 -:0202AD0002ADA0 -:0202AE0002AE9E -:0202AF0002AF9C -:0202B00002B09A -:0202B10002B198 -:0202B20002B296 -:0202B30002B394 -:0202B40002B492 -:0202B50002B590 -:0202B60002B68E -:0202B70002B78C -:0202B80002B88A -:0202B90002B988 -:0202BA0002BA86 -:0202BB0002BB84 -:0202BC0002BC82 -:0202BD0002BD80 -:0202BE0002BE7E -:0202BF0002BF7C -:0202C00002C07A -:0202C10002C178 -:0202C20002C276 -:0202C30002C374 -:0202C40002C472 -:0202C50002C570 -:0202C60002C66E -:0202C70002C76C -:0202C80002C86A -:0202C90002C968 -:0202CA0002CA66 -:0202CB0002CB64 -:0202CC0002CC62 -:0202CD0002CD60 -:0202CE0002CE5E -:0202CF0002CF5C -:0202D00002D05A -:0202D10002D158 -:0202D20002D256 -:0202D30002D354 -:0202D40002D452 -:0202D50002D550 -:0202D60002D64E -:0202D70002D74C -:0202D80002D84A -:0202D90002D948 -:0202DA0002DA46 -:0202DB0002DB44 -:0202DC0002DC42 -:0202DD0002DD40 -:0202DE0002DE3E -:0202DF0002DF3C -:0202E00002E03A -:0202E10002E138 -:0202E20002E236 -:0202E30002E334 -:0202E40002E432 -:0202E50002E530 -:0202E60002E62E -:0202E70002E72C -:0202E80002E82A -:0202E90002E928 -:0202EA0002EA26 -:0202EB0002EB24 -:0202EC0002EC22 -:0202ED0002ED20 -:0202EE0002EE1E -:0202EF0002EF1C -:0202F00002F01A -:0202F10002F118 -:0202F20002F216 -:0202F30002F314 -:0202F40002F412 -:0202F50002F510 -:0202F60002F60E -:0202F70002F70C -:0202F80002F80A -:0202F90002F908 -:0202FA0002FA06 -:0202FB0002FB04 -:0202FC0002FC02 -:0202FD0002FD00 -:0202FE0002FEFE -:0202FF0002FFFC -:020300000300F8 -:020301000301F6 -:020302000302F4 -:020303000303F2 -:020304000304F0 -:020305000305EE -:020306000306EC -:020307000307EA -:020308000308E8 -:020309000309E6 -:02030A00030AE4 -:02030B00030BE2 -:02030C00030CE0 -:02030D00030DDE -:02030E00030EDC -:02030F00030FDA -:020310000310D8 -:020311000311D6 -:020312000312D4 -:020313000313D2 -:020314000314D0 -:020315000315CE -:020316000316CC -:020317000317CA -:020318000318C8 -:020319000319C6 -:02031A00031AC4 -:02031B00031BC2 -:02031C00031CC0 -:02031D00031DBE -:02031E00031EBC -:02031F00031FBA -:020320000320B8 -:020321000321B6 -:020322000322B4 -:020323000323B2 -:020324000324B0 -:020325000325AE -:020326000326AC -:020327000327AA -:020328000328A8 -:020329000329A6 -:02032A00032AA4 -:02032B00032BA2 -:02032C00032CA0 -:02032D00032D9E -:02032E00032E9C -:02032F00032F9A -:02033000033098 -:02033100033196 -:02033200033294 -:02033300033392 -:02033400033490 -:0203350003358E -:0203360003368C -:0203370003378A -:02033800033888 -:02033900033986 -:02033A00033A84 -:02033B00033B82 -:02033C00033C80 -:02033D00033D7E -:02033E00033E7C -:02033F00033F7A -:02034000034078 -:02034100034176 -:02034200034274 -:02034300034372 -:02034400034470 -:0203450003456E -:0203460003466C -:0203470003476A -:02034800034868 -:02034900034966 -:02034A00034A64 -:02034B00034B62 -:02034C00034C60 -:02034D00034D5E -:02034E00034E5C -:02034F00034F5A -:02035000035058 -:02035100035156 -:02035200035254 -:02035300035352 -:02035400035450 -:0203550003554E -:0203560003564C -:0203570003574A -:02035800035848 -:02035900035946 -:02035A00035A44 -:02035B00035B42 -:02035C00035C40 -:02035D00035D3E -:02035E00035E3C -:02035F00035F3A -:02036000036038 -:02036100036136 -:02036200036234 -:02036300036332 -:02036400036430 -:0203650003652E -:0203660003662C -:0203670003672A -:02036800036828 -:02036900036926 -:02036A00036A24 -:02036B00036B22 -:02036C00036C20 -:02036D00036D1E -:02036E00036E1C -:02036F00036F1A -:02037000037018 -:02037100037116 -:02037200037214 -:02037300037312 -:02037400037410 -:0203750003750E -:0203760003760C -:0203770003770A -:02037800037808 -:02037900037906 -:02037A00037A04 -:02037B00037B02 -:02037C00037C00 -:02037D00037DFE -:02037E00037EFC -:02037F00037FFA -:020380000380F8 -:020381000381F6 -:020382000382F4 -:020383000383F2 -:020384000384F0 -:020385000385EE -:020386000386EC -:020387000387EA -:020388000388E8 -:020389000389E6 -:02038A00038AE4 -:02038B00038BE2 -:02038C00038CE0 -:02038D00038DDE -:02038E00038EDC -:02038F00038FDA -:020390000390D8 -:020391000391D6 -:020392000392D4 -:020393000393D2 -:020394000394D0 -:020395000395CE -:020396000396CC -:020397000397CA -:020398000398C8 -:020399000399C6 -:02039A00039AC4 -:02039B00039BC2 -:02039C00039CC0 -:02039D00039DBE -:02039E00039EBC -:02039F00039FBA -:0203A00003A0B8 -:0203A10003A1B6 -:0203A20003A2B4 -:0203A30003A3B2 -:0203A40003A4B0 -:0203A50003A5AE -:0203A60003A6AC -:0203A70003A7AA -:0203A80003A8A8 -:0203A90003A9A6 -:0203AA0003AAA4 -:0203AB0003ABA2 -:0203AC0003ACA0 -:0203AD0003AD9E -:0203AE0003AE9C -:0203AF0003AF9A -:0203B00003B098 -:0203B10003B196 -:0203B20003B294 -:0203B30003B392 -:0203B40003B490 -:0203B50003B58E -:0203B60003B68C -:0203B70003B78A -:0203B80003B888 -:0203B90003B986 -:0203BA0003BA84 -:0203BB0003BB82 -:0203BC0003BC80 -:0203BD0003BD7E -:0203BE0003BE7C -:0203BF0003BF7A -:0203C00003C078 -:0203C10003C176 -:0203C20003C274 -:0203C30003C372 -:0203C40003C470 -:0203C50003C56E -:0203C60003C66C -:0203C70003C76A -:0203C80003C868 -:0203C90003C966 -:0203CA0003CA64 -:0203CB0003CB62 -:0203CC0003CC60 -:0203CD0003CD5E -:0203CE0003CE5C -:0203CF0003CF5A -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_1.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_1.hex deleted file mode 100644 index b2c4a5514ae33570a8620cb1ffd89b1a5e5ddfcd..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_1.hex +++ /dev/null @@ -1,977 +0,0 @@ -:020000000000FE -:020001000001FC -:020002000002FA -:020003000003F8 -:020004000004F6 -:020005000005F4 -:020006000006F2 -:020007000007F0 -:020008000008EE -:020009000009EC -:02000A00000AEA -:02000B00000BE8 -:02000C00000CE6 -:02000D00000DE4 -:02000E00000EE2 -:02000F00000FE0 -:020010000010DE -:020011000011DC -:020012000012DA -:020013000013D8 -:020014000014D6 -:020015000015D4 -:020016000016D2 -:020017000017D0 -:020018000018CE -:020019000019CC -:02001A00001ACA -:02001B00001BC8 -:02001C00001CC6 -:02001D00001DC4 -:02001E00001EC2 -:02001F00001FC0 -:020020000020BE -:020021000021BC -:020022000022BA -:020023000023B8 -:020024000024B6 -:020025000025B4 -:020026000026B2 -:020027000027B0 -:020028000028AE -:020029000029AC -:02002A00002AAA -:02002B00002BA8 -:02002C00002CA6 -:02002D00002DA4 -:02002E00002EA2 -:02002F00002FA0 -:0200300000309E -:0200310000319C -:0200320000329A -:02003300003398 -:02003400003496 -:02003500003594 -:02003600003692 -:02003700003790 -:0200380000388E -:0200390000398C -:02003A00003A8A -:02003B00003B88 -:02003C00003C86 -:02003D00003D84 -:02003E00003E82 -:02003F00003F80 -:0200400000407E -:0200410000417C -:0200420000427A -:02004300004378 -:02004400004476 -:02004500004574 -:02004600004672 -:02004700004770 -:0200480000486E -:0200490000496C -:02004A00004A6A -:02004B00004B68 -:02004C00004C66 -:02004D00004D64 -:02004E00004E62 -:02004F00004F60 -:0200500000505E -:0200510000515C -:0200520000525A -:02005300005358 -:02005400005456 -:02005500005554 -:02005600005652 -:02005700005750 -:0200580000584E -:0200590000594C -:02005A00005A4A -:02005B00005B48 -:02005C00005C46 -:02005D00005D44 -:02005E00005E42 -:02005F00005F40 -:0200600000603E -:0200610000613C -:0200620000623A -:02006300006338 -:02006400006436 -:02006500006534 -:02006600006632 -:02006700006730 -:0200680000682E -:0200690000692C -:02006A00006A2A -:02006B00006B28 -:02006C00006C26 -:02006D00006D24 -:02006E00006E22 -:02006F00006F20 -:0200700000701E -:0200710000711C -:0200720000721A -:02007300007318 -:02007400007416 -:02007500007514 -:02007600007612 -:02007700007710 -:0200780000780E -:0200790000790C -:02007A00007A0A -:02007B00007B08 -:02007C00007C06 -:02007D00007D04 -:02007E00007E02 -:02007F00007F00 -:020080000080FE -:020081000081FC -:020082000082FA -:020083000083F8 -:020084000084F6 -:020085000085F4 -:020086000086F2 -:020087000087F0 -:020088000088EE -:020089000089EC -:02008A00008AEA -:02008B00008BE8 -:02008C00008CE6 -:02008D00008DE4 -:02008E00008EE2 -:02008F00008FE0 -:020090000090DE -:020091000091DC -:020092000092DA -:020093000093D8 -:020094000094D6 -:020095000095D4 -:020096000096D2 -:020097000097D0 -:020098000098CE -:020099000099CC -:02009A00009ACA -:02009B00009BC8 -:02009C00009CC6 -:02009D00009DC4 -:02009E00009EC2 -:02009F00009FC0 -:0200A00000A0BE -:0200A10000A1BC -:0200A20000A2BA -:0200A30000A3B8 -:0200A40000A4B6 -:0200A50000A5B4 -:0200A60000A6B2 -:0200A70000A7B0 -:0200A80000A8AE -:0200A90000A9AC -:0200AA0000AAAA -:0200AB0000ABA8 -:0200AC0000ACA6 -:0200AD0000ADA4 -:0200AE0000AEA2 -:0200AF0000AFA0 -:0200B00000B09E -:0200B10000B19C -:0200B20000B29A -:0200B30000B398 -:0200B40000B496 -:0200B50000B594 -:0200B60000B692 -:0200B70000B790 -:0200B80000B88E -:0200B90000B98C -:0200BA0000BA8A -:0200BB0000BB88 -:0200BC0000BC86 -:0200BD0000BD84 -:0200BE0000BE82 -:0200BF0000BF80 -:0200C00000C07E -:0200C10000C17C -:0200C20000C27A -:0200C30000C378 -:0200C40000C476 -:0200C50000C574 -:0200C60000C672 -:0200C70000C770 -:0200C80000C86E -:0200C90000C96C -:0200CA0000CA6A -:0200CB0000CB68 -:0200CC0000CC66 -:0200CD0000CD64 -:0200CE0000CE62 -:0200CF0000CF60 -:0200D00000D05E -:0200D10000D15C -:0200D20000D25A -:0200D30000D358 -:0200D40000D456 -:0200D50000D554 -:0200D60000D652 -:0200D70000D750 -:0200D80000D84E -:0200D90000D94C -:0200DA0000DA4A -:0200DB0000DB48 -:0200DC0000DC46 -:0200DD0000DD44 -:0200DE0000DE42 -:0200DF0000DF40 -:0200E00000E03E -:0200E10000E13C -:0200E20000E23A -:0200E30000E338 -:0200E40000E436 -:0200E50000E534 -:0200E60000E632 -:0200E70000E730 -:0200E80000E82E -:0200E90000E92C -:0200EA0000EA2A -:0200EB0000EB28 -:0200EC0000EC26 -:0200ED0000ED24 -:0200EE0000EE22 -:0200EF0000EF20 -:0200F00000F01E -:0200F10000F11C -:0200F20000F21A -:0200F30000F318 -:0200F40000F416 -:0200F50000F514 -:0200F60000F612 -:0200F70000F710 -:0200F80000F80E -:0200F90000F90C -:0200FA0000FA0A -:0200FB0000FB08 -:0200FC0000FC06 -:0200FD0000FD04 -:0200FE0000FE02 -:0200FF0000FF00 -:020100000100FC -:020101000101FA -:020102000102F8 -:020103000103F6 -:020104000104F4 -:020105000105F2 -:020106000106F0 -:020107000107EE -:020108000108EC -:020109000109EA -:02010A00010AE8 -:02010B00010BE6 -:02010C00010CE4 -:02010D00010DE2 -:02010E00010EE0 -:02010F00010FDE -:020110000110DC -:020111000111DA -:020112000112D8 -:020113000113D6 -:020114000114D4 -:020115000115D2 -:020116000116D0 -:020117000117CE -:020118000118CC -:020119000119CA -:02011A00011AC8 -:02011B00011BC6 -:02011C00011CC4 -:02011D00011DC2 -:02011E00011EC0 -:02011F00011FBE -:020120000120BC -:020121000121BA -:020122000122B8 -:020123000123B6 -:020124000124B4 -:020125000125B2 -:020126000126B0 -:020127000127AE -:020128000128AC -:020129000129AA -:02012A00012AA8 -:02012B00012BA6 -:02012C00012CA4 -:02012D00012DA2 -:02012E00012EA0 -:02012F00012F9E -:0201300001309C -:0201310001319A -:02013200013298 -:02013300013396 -:02013400013494 -:02013500013592 -:02013600013690 -:0201370001378E -:0201380001388C -:0201390001398A -:02013A00013A88 -:02013B00013B86 -:02013C00013C84 -:02013D00013D82 -:02013E00013E80 -:02013F00013F7E -:0201400001407C -:0201410001417A -:02014200014278 -:02014300014376 -:02014400014474 -:02014500014572 -:02014600014670 -:0201470001476E -:0201480001486C -:0201490001496A -:02014A00014A68 -:02014B00014B66 -:02014C00014C64 -:02014D00014D62 -:02014E00014E60 -:02014F00014F5E -:0201500001505C -:0201510001515A -:02015200015258 -:02015300015356 -:02015400015454 -:02015500015552 -:02015600015650 -:0201570001574E -:0201580001584C -:0201590001594A -:02015A00015A48 -:02015B00015B46 -:02015C00015C44 -:02015D00015D42 -:02015E00015E40 -:02015F00015F3E -:0201600001603C -:0201610001613A -:02016200016238 -:02016300016336 -:02016400016434 -:02016500016532 -:02016600016630 -:0201670001672E -:0201680001682C -:0201690001692A -:02016A00016A28 -:02016B00016B26 -:02016C00016C24 -:02016D00016D22 -:02016E00016E20 -:02016F00016F1E -:0201700001701C -:0201710001711A -:02017200017218 -:02017300017316 -:02017400017414 -:02017500017512 -:02017600017610 -:0201770001770E -:0201780001780C -:0201790001790A -:02017A00017A08 -:02017B00017B06 -:02017C00017C04 -:02017D00017D02 -:02017E00017E00 -:02017F00017FFE -:020180000180FC -:020181000181FA -:020182000182F8 -:020183000183F6 -:020184000184F4 -:020185000185F2 -:020186000186F0 -:020187000187EE -:020188000188EC -:020189000189EA -:02018A00018AE8 -:02018B00018BE6 -:02018C00018CE4 -:02018D00018DE2 -:02018E00018EE0 -:02018F00018FDE -:020190000190DC -:020191000191DA -:020192000192D8 -:020193000193D6 -:020194000194D4 -:020195000195D2 -:020196000196D0 -:020197000197CE -:020198000198CC -:020199000199CA -:02019A00019AC8 -:02019B00019BC6 -:02019C00019CC4 -:02019D00019DC2 -:02019E00019EC0 -:02019F00019FBE -:0201A00001A0BC -:0201A10001A1BA -:0201A20001A2B8 -:0201A30001A3B6 -:0201A40001A4B4 -:0201A50001A5B2 -:0201A60001A6B0 -:0201A70001A7AE -:0201A80001A8AC -:0201A90001A9AA -:0201AA0001AAA8 -:0201AB0001ABA6 -:0201AC0001ACA4 -:0201AD0001ADA2 -:0201AE0001AEA0 -:0201AF0001AF9E -:0201B00001B09C -:0201B10001B19A -:0201B20001B298 -:0201B30001B396 -:0201B40001B494 -:0201B50001B592 -:0201B60001B690 -:0201B70001B78E -:0201B80001B88C -:0201B90001B98A -:0201BA0001BA88 -:0201BB0001BB86 -:0201BC0001BC84 -:0201BD0001BD82 -:0201BE0001BE80 -:0201BF0001BF7E -:0201C00001C07C -:0201C10001C17A -:0201C20001C278 -:0201C30001C376 -:0201C40001C474 -:0201C50001C572 -:0201C60001C670 -:0201C70001C76E -:0201C80001C86C -:0201C90001C96A -:0201CA0001CA68 -:0201CB0001CB66 -:0201CC0001CC64 -:0201CD0001CD62 -:0201CE0001CE60 -:0201CF0001CF5E -:0201D00001D05C -:0201D10001D15A -:0201D20001D258 -:0201D30001D356 -:0201D40001D454 -:0201D50001D552 -:0201D60001D650 -:0201D70001D74E -:0201D80001D84C -:0201D90001D94A -:0201DA0001DA48 -:0201DB0001DB46 -:0201DC0001DC44 -:0201DD0001DD42 -:0201DE0001DE40 -:0201DF0001DF3E -:0201E00001E03C -:0201E10001E13A -:0201E20001E238 -:0201E30001E336 -:0201E40001E434 -:0201E50001E532 -:0201E60001E630 -:0201E70001E72E -:0201E80001E82C -:0201E90001E92A -:0201EA0001EA28 -:0201EB0001EB26 -:0201EC0001EC24 -:0201ED0001ED22 -:0201EE0001EE20 -:0201EF0001EF1E -:0201F00001F01C -:0201F10001F11A -:0201F20001F218 -:0201F30001F316 -:0201F40001F414 -:0201F50001F512 -:0201F60001F610 -:0201F70001F70E -:0201F80001F80C -:0201F90001F90A -:0201FA0001FA08 -:0201FB0001FB06 -:0201FC0001FC04 -:0201FD0001FD02 -:0201FE0001FE00 -:0201FF0001FFFE -:020200000200FA -:020201000201F8 -:020202000202F6 -:020203000203F4 -:020204000204F2 -:020205000205F0 -:020206000206EE -:020207000207EC -:020208000208EA -:020209000209E8 -:02020A00020AE6 -:02020B00020BE4 -:02020C00020CE2 -:02020D00020DE0 -:02020E00020EDE -:02020F00020FDC -:020210000210DA -:020211000211D8 -:020212000212D6 -:020213000213D4 -:020214000214D2 -:020215000215D0 -:020216000216CE -:020217000217CC -:020218000218CA -:020219000219C8 -:02021A00021AC6 -:02021B00021BC4 -:02021C00021CC2 -:02021D00021DC0 -:02021E00021EBE -:02021F00021FBC -:020220000220BA -:020221000221B8 -:020222000222B6 -:020223000223B4 -:020224000224B2 -:020225000225B0 -:020226000226AE -:020227000227AC -:020228000228AA -:020229000229A8 -:02022A00022AA6 -:02022B00022BA4 -:02022C00022CA2 -:02022D00022DA0 -:02022E00022E9E -:02022F00022F9C -:0202300002309A -:02023100023198 -:02023200023296 -:02023300023394 -:02023400023492 -:02023500023590 -:0202360002368E -:0202370002378C -:0202380002388A -:02023900023988 -:02023A00023A86 -:02023B00023B84 -:02023C00023C82 -:02023D00023D80 -:02023E00023E7E -:02023F00023F7C -:0202400002407A -:02024100024178 -:02024200024276 -:02024300024374 -:02024400024472 -:02024500024570 -:0202460002466E -:0202470002476C -:0202480002486A -:02024900024968 -:02024A00024A66 -:02024B00024B64 -:02024C00024C62 -:02024D00024D60 -:02024E00024E5E -:02024F00024F5C -:0202500002505A -:02025100025158 -:02025200025256 -:02025300025354 -:02025400025452 -:02025500025550 -:0202560002564E -:0202570002574C -:0202580002584A -:02025900025948 -:02025A00025A46 -:02025B00025B44 -:02025C00025C42 -:02025D00025D40 -:02025E00025E3E -:02025F00025F3C -:0202600002603A -:02026100026138 -:02026200026236 -:02026300026334 -:02026400026432 -:02026500026530 -:0202660002662E -:0202670002672C -:0202680002682A -:02026900026928 -:02026A00026A26 -:02026B00026B24 -:02026C00026C22 -:02026D00026D20 -:02026E00026E1E -:02026F00026F1C -:0202700002701A -:02027100027118 -:02027200027216 -:02027300027314 -:02027400027412 -:02027500027510 -:0202760002760E -:0202770002770C -:0202780002780A -:02027900027908 -:02027A00027A06 -:02027B00027B04 -:02027C00027C02 -:02027D00027D00 -:02027E00027EFE -:02027F00027FFC -:020280000280FA -:020281000281F8 -:020282000282F6 -:020283000283F4 -:020284000284F2 -:020285000285F0 -:020286000286EE -:020287000287EC -:020288000288EA -:020289000289E8 -:02028A00028AE6 -:02028B00028BE4 -:02028C00028CE2 -:02028D00028DE0 -:02028E00028EDE -:02028F00028FDC -:020290000290DA -:020291000291D8 -:020292000292D6 -:020293000293D4 -:020294000294D2 -:020295000295D0 -:020296000296CE -:020297000297CC -:020298000298CA -:020299000299C8 -:02029A00029AC6 -:02029B00029BC4 -:02029C00029CC2 -:02029D00029DC0 -:02029E00029EBE -:02029F00029FBC -:0202A00002A0BA -:0202A10002A1B8 -:0202A20002A2B6 -:0202A30002A3B4 -:0202A40002A4B2 -:0202A50002A5B0 -:0202A60002A6AE -:0202A70002A7AC -:0202A80002A8AA -:0202A90002A9A8 -:0202AA0002AAA6 -:0202AB0002ABA4 -:0202AC0002ACA2 -:0202AD0002ADA0 -:0202AE0002AE9E -:0202AF0002AF9C -:0202B00002B09A -:0202B10002B198 -:0202B20002B296 -:0202B30002B394 -:0202B40002B492 -:0202B50002B590 -:0202B60002B68E -:0202B70002B78C -:0202B80002B88A -:0202B90002B988 -:0202BA0002BA86 -:0202BB0002BB84 -:0202BC0002BC82 -:0202BD0002BD80 -:0202BE0002BE7E -:0202BF0002BF7C -:0202C00002C07A -:0202C10002C178 -:0202C20002C276 -:0202C30002C374 -:0202C40002C472 -:0202C50002C570 -:0202C60002C66E -:0202C70002C76C -:0202C80002C86A -:0202C90002C968 -:0202CA0002CA66 -:0202CB0002CB64 -:0202CC0002CC62 -:0202CD0002CD60 -:0202CE0002CE5E -:0202CF0002CF5C -:0202D00002D05A -:0202D10002D158 -:0202D20002D256 -:0202D30002D354 -:0202D40002D452 -:0202D50002D550 -:0202D60002D64E -:0202D70002D74C -:0202D80002D84A -:0202D90002D948 -:0202DA0002DA46 -:0202DB0002DB44 -:0202DC0002DC42 -:0202DD0002DD40 -:0202DE0002DE3E -:0202DF0002DF3C -:0202E00002E03A -:0202E10002E138 -:0202E20002E236 -:0202E30002E334 -:0202E40002E432 -:0202E50002E530 -:0202E60002E62E -:0202E70002E72C -:0202E80002E82A -:0202E90002E928 -:0202EA0002EA26 -:0202EB0002EB24 -:0202EC0002EC22 -:0202ED0002ED20 -:0202EE0002EE1E -:0202EF0002EF1C -:0202F00002F01A -:0202F10002F118 -:0202F20002F216 -:0202F30002F314 -:0202F40002F412 -:0202F50002F510 -:0202F60002F60E -:0202F70002F70C -:0202F80002F80A -:0202F90002F908 -:0202FA0002FA06 -:0202FB0002FB04 -:0202FC0002FC02 -:0202FD0002FD00 -:0202FE0002FEFE -:0202FF0002FFFC -:020300000300F8 -:020301000301F6 -:020302000302F4 -:020303000303F2 -:020304000304F0 -:020305000305EE -:020306000306EC -:020307000307EA -:020308000308E8 -:020309000309E6 -:02030A00030AE4 -:02030B00030BE2 -:02030C00030CE0 -:02030D00030DDE -:02030E00030EDC -:02030F00030FDA -:020310000310D8 -:020311000311D6 -:020312000312D4 -:020313000313D2 -:020314000314D0 -:020315000315CE -:020316000316CC -:020317000317CA -:020318000318C8 -:020319000319C6 -:02031A00031AC4 -:02031B00031BC2 -:02031C00031CC0 -:02031D00031DBE -:02031E00031EBC -:02031F00031FBA -:020320000320B8 -:020321000321B6 -:020322000322B4 -:020323000323B2 -:020324000324B0 -:020325000325AE -:020326000326AC -:020327000327AA -:020328000328A8 -:020329000329A6 -:02032A00032AA4 -:02032B00032BA2 -:02032C00032CA0 -:02032D00032D9E -:02032E00032E9C -:02032F00032F9A -:02033000033098 -:02033100033196 -:02033200033294 -:02033300033392 -:02033400033490 -:0203350003358E -:0203360003368C -:0203370003378A -:02033800033888 -:02033900033986 -:02033A00033A84 -:02033B00033B82 -:02033C00033C80 -:02033D00033D7E -:02033E00033E7C -:02033F00033F7A -:02034000034078 -:02034100034176 -:02034200034274 -:02034300034372 -:02034400034470 -:0203450003456E -:0203460003466C -:0203470003476A -:02034800034868 -:02034900034966 -:02034A00034A64 -:02034B00034B62 -:02034C00034C60 -:02034D00034D5E -:02034E00034E5C -:02034F00034F5A -:02035000035058 -:02035100035156 -:02035200035254 -:02035300035352 -:02035400035450 -:0203550003554E -:0203560003564C -:0203570003574A -:02035800035848 -:02035900035946 -:02035A00035A44 -:02035B00035B42 -:02035C00035C40 -:02035D00035D3E -:02035E00035E3C -:02035F00035F3A -:02036000036038 -:02036100036136 -:02036200036234 -:02036300036332 -:02036400036430 -:0203650003652E -:0203660003662C -:0203670003672A -:02036800036828 -:02036900036926 -:02036A00036A24 -:02036B00036B22 -:02036C00036C20 -:02036D00036D1E -:02036E00036E1C -:02036F00036F1A -:02037000037018 -:02037100037116 -:02037200037214 -:02037300037312 -:02037400037410 -:0203750003750E -:0203760003760C -:0203770003770A -:02037800037808 -:02037900037906 -:02037A00037A04 -:02037B00037B02 -:02037C00037C00 -:02037D00037DFE -:02037E00037EFC -:02037F00037FFA -:020380000380F8 -:020381000381F6 -:020382000382F4 -:020383000383F2 -:020384000384F0 -:020385000385EE -:020386000386EC -:020387000387EA -:020388000388E8 -:020389000389E6 -:02038A00038AE4 -:02038B00038BE2 -:02038C00038CE0 -:02038D00038DDE -:02038E00038EDC -:02038F00038FDA -:020390000390D8 -:020391000391D6 -:020392000392D4 -:020393000393D2 -:020394000394D0 -:020395000395CE -:020396000396CC -:020397000397CA -:020398000398C8 -:020399000399C6 -:02039A00039AC4 -:02039B00039BC2 -:02039C00039CC0 -:02039D00039DBE -:02039E00039EBC -:02039F00039FBA -:0203A00003A0B8 -:0203A10003A1B6 -:0203A20003A2B4 -:0203A30003A3B2 -:0203A40003A4B0 -:0203A50003A5AE -:0203A60003A6AC -:0203A70003A7AA -:0203A80003A8A8 -:0203A90003A9A6 -:0203AA0003AAA4 -:0203AB0003ABA2 -:0203AC0003ACA0 -:0203AD0003AD9E -:0203AE0003AE9C -:0203AF0003AF9A -:0203B00003B098 -:0203B10003B196 -:0203B20003B294 -:0203B30003B392 -:0203B40003B490 -:0203B50003B58E -:0203B60003B68C -:0203B70003B78A -:0203B80003B888 -:0203B90003B986 -:0203BA0003BA84 -:0203BB0003BB82 -:0203BC0003BC80 -:0203BD0003BD7E -:0203BE0003BE7C -:0203BF0003BF7A -:0203C00003C078 -:0203C10003C176 -:0203C20003C274 -:0203C30003C372 -:0203C40003C470 -:0203C50003C56E -:0203C60003C66C -:0203C70003C76A -:0203C80003C868 -:0203C90003C966 -:0203CA0003CA64 -:0203CB0003CB62 -:0203CC0003CC60 -:0203CD0003CD5E -:0203CE0003CE5C -:0203CF0003CF5A -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_2.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_2.hex deleted file mode 100644 index b2c4a5514ae33570a8620cb1ffd89b1a5e5ddfcd..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_2.hex +++ /dev/null @@ -1,977 +0,0 @@ -:020000000000FE -:020001000001FC -:020002000002FA -:020003000003F8 -:020004000004F6 -:020005000005F4 -:020006000006F2 -:020007000007F0 -:020008000008EE -:020009000009EC -:02000A00000AEA -:02000B00000BE8 -:02000C00000CE6 -:02000D00000DE4 -:02000E00000EE2 -:02000F00000FE0 -:020010000010DE -:020011000011DC -:020012000012DA -:020013000013D8 -:020014000014D6 -:020015000015D4 -:020016000016D2 -:020017000017D0 -:020018000018CE -:020019000019CC -:02001A00001ACA -:02001B00001BC8 -:02001C00001CC6 -:02001D00001DC4 -:02001E00001EC2 -:02001F00001FC0 -:020020000020BE -:020021000021BC -:020022000022BA -:020023000023B8 -:020024000024B6 -:020025000025B4 -:020026000026B2 -:020027000027B0 -:020028000028AE -:020029000029AC -:02002A00002AAA -:02002B00002BA8 -:02002C00002CA6 -:02002D00002DA4 -:02002E00002EA2 -:02002F00002FA0 -:0200300000309E -:0200310000319C -:0200320000329A -:02003300003398 -:02003400003496 -:02003500003594 -:02003600003692 -:02003700003790 -:0200380000388E -:0200390000398C -:02003A00003A8A -:02003B00003B88 -:02003C00003C86 -:02003D00003D84 -:02003E00003E82 -:02003F00003F80 -:0200400000407E -:0200410000417C -:0200420000427A -:02004300004378 -:02004400004476 -:02004500004574 -:02004600004672 -:02004700004770 -:0200480000486E -:0200490000496C -:02004A00004A6A -:02004B00004B68 -:02004C00004C66 -:02004D00004D64 -:02004E00004E62 -:02004F00004F60 -:0200500000505E -:0200510000515C -:0200520000525A -:02005300005358 -:02005400005456 -:02005500005554 -:02005600005652 -:02005700005750 -:0200580000584E -:0200590000594C -:02005A00005A4A -:02005B00005B48 -:02005C00005C46 -:02005D00005D44 -:02005E00005E42 -:02005F00005F40 -:0200600000603E -:0200610000613C -:0200620000623A -:02006300006338 -:02006400006436 -:02006500006534 -:02006600006632 -:02006700006730 -:0200680000682E -:0200690000692C -:02006A00006A2A -:02006B00006B28 -:02006C00006C26 -:02006D00006D24 -:02006E00006E22 -:02006F00006F20 -:0200700000701E -:0200710000711C -:0200720000721A -:02007300007318 -:02007400007416 -:02007500007514 -:02007600007612 -:02007700007710 -:0200780000780E -:0200790000790C -:02007A00007A0A -:02007B00007B08 -:02007C00007C06 -:02007D00007D04 -:02007E00007E02 -:02007F00007F00 -:020080000080FE -:020081000081FC -:020082000082FA -:020083000083F8 -:020084000084F6 -:020085000085F4 -:020086000086F2 -:020087000087F0 -:020088000088EE -:020089000089EC -:02008A00008AEA -:02008B00008BE8 -:02008C00008CE6 -:02008D00008DE4 -:02008E00008EE2 -:02008F00008FE0 -:020090000090DE -:020091000091DC -:020092000092DA -:020093000093D8 -:020094000094D6 -:020095000095D4 -:020096000096D2 -:020097000097D0 -:020098000098CE -:020099000099CC -:02009A00009ACA -:02009B00009BC8 -:02009C00009CC6 -:02009D00009DC4 -:02009E00009EC2 -:02009F00009FC0 -:0200A00000A0BE -:0200A10000A1BC -:0200A20000A2BA -:0200A30000A3B8 -:0200A40000A4B6 -:0200A50000A5B4 -:0200A60000A6B2 -:0200A70000A7B0 -:0200A80000A8AE -:0200A90000A9AC -:0200AA0000AAAA -:0200AB0000ABA8 -:0200AC0000ACA6 -:0200AD0000ADA4 -:0200AE0000AEA2 -:0200AF0000AFA0 -:0200B00000B09E -:0200B10000B19C -:0200B20000B29A -:0200B30000B398 -:0200B40000B496 -:0200B50000B594 -:0200B60000B692 -:0200B70000B790 -:0200B80000B88E -:0200B90000B98C -:0200BA0000BA8A -:0200BB0000BB88 -:0200BC0000BC86 -:0200BD0000BD84 -:0200BE0000BE82 -:0200BF0000BF80 -:0200C00000C07E -:0200C10000C17C -:0200C20000C27A -:0200C30000C378 -:0200C40000C476 -:0200C50000C574 -:0200C60000C672 -:0200C70000C770 -:0200C80000C86E -:0200C90000C96C -:0200CA0000CA6A -:0200CB0000CB68 -:0200CC0000CC66 -:0200CD0000CD64 -:0200CE0000CE62 -:0200CF0000CF60 -:0200D00000D05E -:0200D10000D15C -:0200D20000D25A -:0200D30000D358 -:0200D40000D456 -:0200D50000D554 -:0200D60000D652 -:0200D70000D750 -:0200D80000D84E -:0200D90000D94C -:0200DA0000DA4A -:0200DB0000DB48 -:0200DC0000DC46 -:0200DD0000DD44 -:0200DE0000DE42 -:0200DF0000DF40 -:0200E00000E03E -:0200E10000E13C -:0200E20000E23A -:0200E30000E338 -:0200E40000E436 -:0200E50000E534 -:0200E60000E632 -:0200E70000E730 -:0200E80000E82E -:0200E90000E92C -:0200EA0000EA2A -:0200EB0000EB28 -:0200EC0000EC26 -:0200ED0000ED24 -:0200EE0000EE22 -:0200EF0000EF20 -:0200F00000F01E -:0200F10000F11C -:0200F20000F21A -:0200F30000F318 -:0200F40000F416 -:0200F50000F514 -:0200F60000F612 -:0200F70000F710 -:0200F80000F80E -:0200F90000F90C -:0200FA0000FA0A -:0200FB0000FB08 -:0200FC0000FC06 -:0200FD0000FD04 -:0200FE0000FE02 -:0200FF0000FF00 -:020100000100FC -:020101000101FA -:020102000102F8 -:020103000103F6 -:020104000104F4 -:020105000105F2 -:020106000106F0 -:020107000107EE -:020108000108EC -:020109000109EA -:02010A00010AE8 -:02010B00010BE6 -:02010C00010CE4 -:02010D00010DE2 -:02010E00010EE0 -:02010F00010FDE -:020110000110DC -:020111000111DA -:020112000112D8 -:020113000113D6 -:020114000114D4 -:020115000115D2 -:020116000116D0 -:020117000117CE -:020118000118CC -:020119000119CA -:02011A00011AC8 -:02011B00011BC6 -:02011C00011CC4 -:02011D00011DC2 -:02011E00011EC0 -:02011F00011FBE -:020120000120BC -:020121000121BA -:020122000122B8 -:020123000123B6 -:020124000124B4 -:020125000125B2 -:020126000126B0 -:020127000127AE -:020128000128AC -:020129000129AA -:02012A00012AA8 -:02012B00012BA6 -:02012C00012CA4 -:02012D00012DA2 -:02012E00012EA0 -:02012F00012F9E -:0201300001309C -:0201310001319A -:02013200013298 -:02013300013396 -:02013400013494 -:02013500013592 -:02013600013690 -:0201370001378E -:0201380001388C -:0201390001398A -:02013A00013A88 -:02013B00013B86 -:02013C00013C84 -:02013D00013D82 -:02013E00013E80 -:02013F00013F7E -:0201400001407C -:0201410001417A -:02014200014278 -:02014300014376 -:02014400014474 -:02014500014572 -:02014600014670 -:0201470001476E -:0201480001486C -:0201490001496A -:02014A00014A68 -:02014B00014B66 -:02014C00014C64 -:02014D00014D62 -:02014E00014E60 -:02014F00014F5E -:0201500001505C -:0201510001515A -:02015200015258 -:02015300015356 -:02015400015454 -:02015500015552 -:02015600015650 -:0201570001574E -:0201580001584C -:0201590001594A -:02015A00015A48 -:02015B00015B46 -:02015C00015C44 -:02015D00015D42 -:02015E00015E40 -:02015F00015F3E -:0201600001603C -:0201610001613A -:02016200016238 -:02016300016336 -:02016400016434 -:02016500016532 -:02016600016630 -:0201670001672E -:0201680001682C -:0201690001692A -:02016A00016A28 -:02016B00016B26 -:02016C00016C24 -:02016D00016D22 -:02016E00016E20 -:02016F00016F1E -:0201700001701C -:0201710001711A -:02017200017218 -:02017300017316 -:02017400017414 -:02017500017512 -:02017600017610 -:0201770001770E -:0201780001780C -:0201790001790A -:02017A00017A08 -:02017B00017B06 -:02017C00017C04 -:02017D00017D02 -:02017E00017E00 -:02017F00017FFE -:020180000180FC -:020181000181FA -:020182000182F8 -:020183000183F6 -:020184000184F4 -:020185000185F2 -:020186000186F0 -:020187000187EE -:020188000188EC -:020189000189EA -:02018A00018AE8 -:02018B00018BE6 -:02018C00018CE4 -:02018D00018DE2 -:02018E00018EE0 -:02018F00018FDE -:020190000190DC -:020191000191DA -:020192000192D8 -:020193000193D6 -:020194000194D4 -:020195000195D2 -:020196000196D0 -:020197000197CE -:020198000198CC -:020199000199CA -:02019A00019AC8 -:02019B00019BC6 -:02019C00019CC4 -:02019D00019DC2 -:02019E00019EC0 -:02019F00019FBE -:0201A00001A0BC -:0201A10001A1BA -:0201A20001A2B8 -:0201A30001A3B6 -:0201A40001A4B4 -:0201A50001A5B2 -:0201A60001A6B0 -:0201A70001A7AE -:0201A80001A8AC -:0201A90001A9AA -:0201AA0001AAA8 -:0201AB0001ABA6 -:0201AC0001ACA4 -:0201AD0001ADA2 -:0201AE0001AEA0 -:0201AF0001AF9E -:0201B00001B09C -:0201B10001B19A -:0201B20001B298 -:0201B30001B396 -:0201B40001B494 -:0201B50001B592 -:0201B60001B690 -:0201B70001B78E -:0201B80001B88C -:0201B90001B98A -:0201BA0001BA88 -:0201BB0001BB86 -:0201BC0001BC84 -:0201BD0001BD82 -:0201BE0001BE80 -:0201BF0001BF7E -:0201C00001C07C -:0201C10001C17A -:0201C20001C278 -:0201C30001C376 -:0201C40001C474 -:0201C50001C572 -:0201C60001C670 -:0201C70001C76E -:0201C80001C86C -:0201C90001C96A -:0201CA0001CA68 -:0201CB0001CB66 -:0201CC0001CC64 -:0201CD0001CD62 -:0201CE0001CE60 -:0201CF0001CF5E -:0201D00001D05C -:0201D10001D15A -:0201D20001D258 -:0201D30001D356 -:0201D40001D454 -:0201D50001D552 -:0201D60001D650 -:0201D70001D74E -:0201D80001D84C -:0201D90001D94A -:0201DA0001DA48 -:0201DB0001DB46 -:0201DC0001DC44 -:0201DD0001DD42 -:0201DE0001DE40 -:0201DF0001DF3E -:0201E00001E03C -:0201E10001E13A -:0201E20001E238 -:0201E30001E336 -:0201E40001E434 -:0201E50001E532 -:0201E60001E630 -:0201E70001E72E -:0201E80001E82C -:0201E90001E92A -:0201EA0001EA28 -:0201EB0001EB26 -:0201EC0001EC24 -:0201ED0001ED22 -:0201EE0001EE20 -:0201EF0001EF1E -:0201F00001F01C -:0201F10001F11A -:0201F20001F218 -:0201F30001F316 -:0201F40001F414 -:0201F50001F512 -:0201F60001F610 -:0201F70001F70E -:0201F80001F80C -:0201F90001F90A -:0201FA0001FA08 -:0201FB0001FB06 -:0201FC0001FC04 -:0201FD0001FD02 -:0201FE0001FE00 -:0201FF0001FFFE -:020200000200FA -:020201000201F8 -:020202000202F6 -:020203000203F4 -:020204000204F2 -:020205000205F0 -:020206000206EE -:020207000207EC -:020208000208EA -:020209000209E8 -:02020A00020AE6 -:02020B00020BE4 -:02020C00020CE2 -:02020D00020DE0 -:02020E00020EDE -:02020F00020FDC -:020210000210DA -:020211000211D8 -:020212000212D6 -:020213000213D4 -:020214000214D2 -:020215000215D0 -:020216000216CE -:020217000217CC -:020218000218CA -:020219000219C8 -:02021A00021AC6 -:02021B00021BC4 -:02021C00021CC2 -:02021D00021DC0 -:02021E00021EBE -:02021F00021FBC -:020220000220BA -:020221000221B8 -:020222000222B6 -:020223000223B4 -:020224000224B2 -:020225000225B0 -:020226000226AE -:020227000227AC -:020228000228AA -:020229000229A8 -:02022A00022AA6 -:02022B00022BA4 -:02022C00022CA2 -:02022D00022DA0 -:02022E00022E9E -:02022F00022F9C -:0202300002309A -:02023100023198 -:02023200023296 -:02023300023394 -:02023400023492 -:02023500023590 -:0202360002368E -:0202370002378C -:0202380002388A -:02023900023988 -:02023A00023A86 -:02023B00023B84 -:02023C00023C82 -:02023D00023D80 -:02023E00023E7E -:02023F00023F7C -:0202400002407A -:02024100024178 -:02024200024276 -:02024300024374 -:02024400024472 -:02024500024570 -:0202460002466E -:0202470002476C -:0202480002486A -:02024900024968 -:02024A00024A66 -:02024B00024B64 -:02024C00024C62 -:02024D00024D60 -:02024E00024E5E -:02024F00024F5C -:0202500002505A -:02025100025158 -:02025200025256 -:02025300025354 -:02025400025452 -:02025500025550 -:0202560002564E -:0202570002574C -:0202580002584A -:02025900025948 -:02025A00025A46 -:02025B00025B44 -:02025C00025C42 -:02025D00025D40 -:02025E00025E3E -:02025F00025F3C -:0202600002603A -:02026100026138 -:02026200026236 -:02026300026334 -:02026400026432 -:02026500026530 -:0202660002662E -:0202670002672C -:0202680002682A -:02026900026928 -:02026A00026A26 -:02026B00026B24 -:02026C00026C22 -:02026D00026D20 -:02026E00026E1E -:02026F00026F1C -:0202700002701A -:02027100027118 -:02027200027216 -:02027300027314 -:02027400027412 -:02027500027510 -:0202760002760E -:0202770002770C -:0202780002780A -:02027900027908 -:02027A00027A06 -:02027B00027B04 -:02027C00027C02 -:02027D00027D00 -:02027E00027EFE -:02027F00027FFC -:020280000280FA -:020281000281F8 -:020282000282F6 -:020283000283F4 -:020284000284F2 -:020285000285F0 -:020286000286EE -:020287000287EC -:020288000288EA -:020289000289E8 -:02028A00028AE6 -:02028B00028BE4 -:02028C00028CE2 -:02028D00028DE0 -:02028E00028EDE -:02028F00028FDC -:020290000290DA -:020291000291D8 -:020292000292D6 -:020293000293D4 -:020294000294D2 -:020295000295D0 -:020296000296CE -:020297000297CC -:020298000298CA -:020299000299C8 -:02029A00029AC6 -:02029B00029BC4 -:02029C00029CC2 -:02029D00029DC0 -:02029E00029EBE -:02029F00029FBC -:0202A00002A0BA -:0202A10002A1B8 -:0202A20002A2B6 -:0202A30002A3B4 -:0202A40002A4B2 -:0202A50002A5B0 -:0202A60002A6AE -:0202A70002A7AC -:0202A80002A8AA -:0202A90002A9A8 -:0202AA0002AAA6 -:0202AB0002ABA4 -:0202AC0002ACA2 -:0202AD0002ADA0 -:0202AE0002AE9E -:0202AF0002AF9C -:0202B00002B09A -:0202B10002B198 -:0202B20002B296 -:0202B30002B394 -:0202B40002B492 -:0202B50002B590 -:0202B60002B68E -:0202B70002B78C -:0202B80002B88A -:0202B90002B988 -:0202BA0002BA86 -:0202BB0002BB84 -:0202BC0002BC82 -:0202BD0002BD80 -:0202BE0002BE7E -:0202BF0002BF7C -:0202C00002C07A -:0202C10002C178 -:0202C20002C276 -:0202C30002C374 -:0202C40002C472 -:0202C50002C570 -:0202C60002C66E -:0202C70002C76C -:0202C80002C86A -:0202C90002C968 -:0202CA0002CA66 -:0202CB0002CB64 -:0202CC0002CC62 -:0202CD0002CD60 -:0202CE0002CE5E -:0202CF0002CF5C -:0202D00002D05A -:0202D10002D158 -:0202D20002D256 -:0202D30002D354 -:0202D40002D452 -:0202D50002D550 -:0202D60002D64E -:0202D70002D74C -:0202D80002D84A -:0202D90002D948 -:0202DA0002DA46 -:0202DB0002DB44 -:0202DC0002DC42 -:0202DD0002DD40 -:0202DE0002DE3E -:0202DF0002DF3C -:0202E00002E03A -:0202E10002E138 -:0202E20002E236 -:0202E30002E334 -:0202E40002E432 -:0202E50002E530 -:0202E60002E62E -:0202E70002E72C -:0202E80002E82A -:0202E90002E928 -:0202EA0002EA26 -:0202EB0002EB24 -:0202EC0002EC22 -:0202ED0002ED20 -:0202EE0002EE1E -:0202EF0002EF1C -:0202F00002F01A -:0202F10002F118 -:0202F20002F216 -:0202F30002F314 -:0202F40002F412 -:0202F50002F510 -:0202F60002F60E -:0202F70002F70C -:0202F80002F80A -:0202F90002F908 -:0202FA0002FA06 -:0202FB0002FB04 -:0202FC0002FC02 -:0202FD0002FD00 -:0202FE0002FEFE -:0202FF0002FFFC -:020300000300F8 -:020301000301F6 -:020302000302F4 -:020303000303F2 -:020304000304F0 -:020305000305EE -:020306000306EC -:020307000307EA -:020308000308E8 -:020309000309E6 -:02030A00030AE4 -:02030B00030BE2 -:02030C00030CE0 -:02030D00030DDE -:02030E00030EDC -:02030F00030FDA -:020310000310D8 -:020311000311D6 -:020312000312D4 -:020313000313D2 -:020314000314D0 -:020315000315CE -:020316000316CC -:020317000317CA -:020318000318C8 -:020319000319C6 -:02031A00031AC4 -:02031B00031BC2 -:02031C00031CC0 -:02031D00031DBE -:02031E00031EBC -:02031F00031FBA -:020320000320B8 -:020321000321B6 -:020322000322B4 -:020323000323B2 -:020324000324B0 -:020325000325AE -:020326000326AC -:020327000327AA -:020328000328A8 -:020329000329A6 -:02032A00032AA4 -:02032B00032BA2 -:02032C00032CA0 -:02032D00032D9E -:02032E00032E9C -:02032F00032F9A -:02033000033098 -:02033100033196 -:02033200033294 -:02033300033392 -:02033400033490 -:0203350003358E -:0203360003368C -:0203370003378A -:02033800033888 -:02033900033986 -:02033A00033A84 -:02033B00033B82 -:02033C00033C80 -:02033D00033D7E -:02033E00033E7C -:02033F00033F7A -:02034000034078 -:02034100034176 -:02034200034274 -:02034300034372 -:02034400034470 -:0203450003456E -:0203460003466C -:0203470003476A -:02034800034868 -:02034900034966 -:02034A00034A64 -:02034B00034B62 -:02034C00034C60 -:02034D00034D5E -:02034E00034E5C -:02034F00034F5A -:02035000035058 -:02035100035156 -:02035200035254 -:02035300035352 -:02035400035450 -:0203550003554E -:0203560003564C -:0203570003574A -:02035800035848 -:02035900035946 -:02035A00035A44 -:02035B00035B42 -:02035C00035C40 -:02035D00035D3E -:02035E00035E3C -:02035F00035F3A -:02036000036038 -:02036100036136 -:02036200036234 -:02036300036332 -:02036400036430 -:0203650003652E -:0203660003662C -:0203670003672A -:02036800036828 -:02036900036926 -:02036A00036A24 -:02036B00036B22 -:02036C00036C20 -:02036D00036D1E -:02036E00036E1C -:02036F00036F1A -:02037000037018 -:02037100037116 -:02037200037214 -:02037300037312 -:02037400037410 -:0203750003750E -:0203760003760C -:0203770003770A -:02037800037808 -:02037900037906 -:02037A00037A04 -:02037B00037B02 -:02037C00037C00 -:02037D00037DFE -:02037E00037EFC -:02037F00037FFA -:020380000380F8 -:020381000381F6 -:020382000382F4 -:020383000383F2 -:020384000384F0 -:020385000385EE -:020386000386EC -:020387000387EA -:020388000388E8 -:020389000389E6 -:02038A00038AE4 -:02038B00038BE2 -:02038C00038CE0 -:02038D00038DDE -:02038E00038EDC -:02038F00038FDA -:020390000390D8 -:020391000391D6 -:020392000392D4 -:020393000393D2 -:020394000394D0 -:020395000395CE -:020396000396CC -:020397000397CA -:020398000398C8 -:020399000399C6 -:02039A00039AC4 -:02039B00039BC2 -:02039C00039CC0 -:02039D00039DBE -:02039E00039EBC -:02039F00039FBA -:0203A00003A0B8 -:0203A10003A1B6 -:0203A20003A2B4 -:0203A30003A3B2 -:0203A40003A4B0 -:0203A50003A5AE -:0203A60003A6AC -:0203A70003A7AA -:0203A80003A8A8 -:0203A90003A9A6 -:0203AA0003AAA4 -:0203AB0003ABA2 -:0203AC0003ACA0 -:0203AD0003AD9E -:0203AE0003AE9C -:0203AF0003AF9A -:0203B00003B098 -:0203B10003B196 -:0203B20003B294 -:0203B30003B392 -:0203B40003B490 -:0203B50003B58E -:0203B60003B68C -:0203B70003B78A -:0203B80003B888 -:0203B90003B986 -:0203BA0003BA84 -:0203BB0003BB82 -:0203BC0003BC80 -:0203BD0003BD7E -:0203BE0003BE7C -:0203BF0003BF7A -:0203C00003C078 -:0203C10003C176 -:0203C20003C274 -:0203C30003C372 -:0203C40003C470 -:0203C50003C56E -:0203C60003C66C -:0203C70003C76A -:0203C80003C868 -:0203C90003C966 -:0203CA0003CA64 -:0203CB0003CB62 -:0203CC0003CC60 -:0203CD0003CD5E -:0203CE0003CE5C -:0203CF0003CF5A -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_3.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_3.hex deleted file mode 100644 index b2c4a5514ae33570a8620cb1ffd89b1a5e5ddfcd..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_3.hex +++ /dev/null @@ -1,977 +0,0 @@ -:020000000000FE -:020001000001FC -:020002000002FA -:020003000003F8 -:020004000004F6 -:020005000005F4 -:020006000006F2 -:020007000007F0 -:020008000008EE -:020009000009EC -:02000A00000AEA -:02000B00000BE8 -:02000C00000CE6 -:02000D00000DE4 -:02000E00000EE2 -:02000F00000FE0 -:020010000010DE -:020011000011DC -:020012000012DA -:020013000013D8 -:020014000014D6 -:020015000015D4 -:020016000016D2 -:020017000017D0 -:020018000018CE -:020019000019CC -:02001A00001ACA -:02001B00001BC8 -:02001C00001CC6 -:02001D00001DC4 -:02001E00001EC2 -:02001F00001FC0 -:020020000020BE -:020021000021BC -:020022000022BA -:020023000023B8 -:020024000024B6 -:020025000025B4 -:020026000026B2 -:020027000027B0 -:020028000028AE -:020029000029AC -:02002A00002AAA -:02002B00002BA8 -:02002C00002CA6 -:02002D00002DA4 -:02002E00002EA2 -:02002F00002FA0 -:0200300000309E -:0200310000319C -:0200320000329A -:02003300003398 -:02003400003496 -:02003500003594 -:02003600003692 -:02003700003790 -:0200380000388E -:0200390000398C -:02003A00003A8A -:02003B00003B88 -:02003C00003C86 -:02003D00003D84 -:02003E00003E82 -:02003F00003F80 -:0200400000407E -:0200410000417C -:0200420000427A -:02004300004378 -:02004400004476 -:02004500004574 -:02004600004672 -:02004700004770 -:0200480000486E -:0200490000496C -:02004A00004A6A -:02004B00004B68 -:02004C00004C66 -:02004D00004D64 -:02004E00004E62 -:02004F00004F60 -:0200500000505E -:0200510000515C -:0200520000525A -:02005300005358 -:02005400005456 -:02005500005554 -:02005600005652 -:02005700005750 -:0200580000584E -:0200590000594C -:02005A00005A4A -:02005B00005B48 -:02005C00005C46 -:02005D00005D44 -:02005E00005E42 -:02005F00005F40 -:0200600000603E -:0200610000613C -:0200620000623A -:02006300006338 -:02006400006436 -:02006500006534 -:02006600006632 -:02006700006730 -:0200680000682E -:0200690000692C -:02006A00006A2A -:02006B00006B28 -:02006C00006C26 -:02006D00006D24 -:02006E00006E22 -:02006F00006F20 -:0200700000701E -:0200710000711C -:0200720000721A -:02007300007318 -:02007400007416 -:02007500007514 -:02007600007612 -:02007700007710 -:0200780000780E -:0200790000790C -:02007A00007A0A -:02007B00007B08 -:02007C00007C06 -:02007D00007D04 -:02007E00007E02 -:02007F00007F00 -:020080000080FE -:020081000081FC -:020082000082FA -:020083000083F8 -:020084000084F6 -:020085000085F4 -:020086000086F2 -:020087000087F0 -:020088000088EE -:020089000089EC -:02008A00008AEA -:02008B00008BE8 -:02008C00008CE6 -:02008D00008DE4 -:02008E00008EE2 -:02008F00008FE0 -:020090000090DE -:020091000091DC -:020092000092DA -:020093000093D8 -:020094000094D6 -:020095000095D4 -:020096000096D2 -:020097000097D0 -:020098000098CE -:020099000099CC -:02009A00009ACA -:02009B00009BC8 -:02009C00009CC6 -:02009D00009DC4 -:02009E00009EC2 -:02009F00009FC0 -:0200A00000A0BE -:0200A10000A1BC -:0200A20000A2BA -:0200A30000A3B8 -:0200A40000A4B6 -:0200A50000A5B4 -:0200A60000A6B2 -:0200A70000A7B0 -:0200A80000A8AE -:0200A90000A9AC -:0200AA0000AAAA -:0200AB0000ABA8 -:0200AC0000ACA6 -:0200AD0000ADA4 -:0200AE0000AEA2 -:0200AF0000AFA0 -:0200B00000B09E -:0200B10000B19C -:0200B20000B29A -:0200B30000B398 -:0200B40000B496 -:0200B50000B594 -:0200B60000B692 -:0200B70000B790 -:0200B80000B88E -:0200B90000B98C -:0200BA0000BA8A -:0200BB0000BB88 -:0200BC0000BC86 -:0200BD0000BD84 -:0200BE0000BE82 -:0200BF0000BF80 -:0200C00000C07E -:0200C10000C17C -:0200C20000C27A -:0200C30000C378 -:0200C40000C476 -:0200C50000C574 -:0200C60000C672 -:0200C70000C770 -:0200C80000C86E -:0200C90000C96C -:0200CA0000CA6A -:0200CB0000CB68 -:0200CC0000CC66 -:0200CD0000CD64 -:0200CE0000CE62 -:0200CF0000CF60 -:0200D00000D05E -:0200D10000D15C -:0200D20000D25A -:0200D30000D358 -:0200D40000D456 -:0200D50000D554 -:0200D60000D652 -:0200D70000D750 -:0200D80000D84E -:0200D90000D94C -:0200DA0000DA4A -:0200DB0000DB48 -:0200DC0000DC46 -:0200DD0000DD44 -:0200DE0000DE42 -:0200DF0000DF40 -:0200E00000E03E -:0200E10000E13C -:0200E20000E23A -:0200E30000E338 -:0200E40000E436 -:0200E50000E534 -:0200E60000E632 -:0200E70000E730 -:0200E80000E82E -:0200E90000E92C -:0200EA0000EA2A -:0200EB0000EB28 -:0200EC0000EC26 -:0200ED0000ED24 -:0200EE0000EE22 -:0200EF0000EF20 -:0200F00000F01E -:0200F10000F11C -:0200F20000F21A -:0200F30000F318 -:0200F40000F416 -:0200F50000F514 -:0200F60000F612 -:0200F70000F710 -:0200F80000F80E -:0200F90000F90C -:0200FA0000FA0A -:0200FB0000FB08 -:0200FC0000FC06 -:0200FD0000FD04 -:0200FE0000FE02 -:0200FF0000FF00 -:020100000100FC -:020101000101FA -:020102000102F8 -:020103000103F6 -:020104000104F4 -:020105000105F2 -:020106000106F0 -:020107000107EE -:020108000108EC -:020109000109EA -:02010A00010AE8 -:02010B00010BE6 -:02010C00010CE4 -:02010D00010DE2 -:02010E00010EE0 -:02010F00010FDE -:020110000110DC -:020111000111DA -:020112000112D8 -:020113000113D6 -:020114000114D4 -:020115000115D2 -:020116000116D0 -:020117000117CE -:020118000118CC -:020119000119CA -:02011A00011AC8 -:02011B00011BC6 -:02011C00011CC4 -:02011D00011DC2 -:02011E00011EC0 -:02011F00011FBE -:020120000120BC -:020121000121BA -:020122000122B8 -:020123000123B6 -:020124000124B4 -:020125000125B2 -:020126000126B0 -:020127000127AE -:020128000128AC -:020129000129AA -:02012A00012AA8 -:02012B00012BA6 -:02012C00012CA4 -:02012D00012DA2 -:02012E00012EA0 -:02012F00012F9E -:0201300001309C -:0201310001319A -:02013200013298 -:02013300013396 -:02013400013494 -:02013500013592 -:02013600013690 -:0201370001378E -:0201380001388C -:0201390001398A -:02013A00013A88 -:02013B00013B86 -:02013C00013C84 -:02013D00013D82 -:02013E00013E80 -:02013F00013F7E -:0201400001407C -:0201410001417A -:02014200014278 -:02014300014376 -:02014400014474 -:02014500014572 -:02014600014670 -:0201470001476E -:0201480001486C -:0201490001496A -:02014A00014A68 -:02014B00014B66 -:02014C00014C64 -:02014D00014D62 -:02014E00014E60 -:02014F00014F5E -:0201500001505C -:0201510001515A -:02015200015258 -:02015300015356 -:02015400015454 -:02015500015552 -:02015600015650 -:0201570001574E -:0201580001584C -:0201590001594A -:02015A00015A48 -:02015B00015B46 -:02015C00015C44 -:02015D00015D42 -:02015E00015E40 -:02015F00015F3E -:0201600001603C -:0201610001613A -:02016200016238 -:02016300016336 -:02016400016434 -:02016500016532 -:02016600016630 -:0201670001672E -:0201680001682C -:0201690001692A -:02016A00016A28 -:02016B00016B26 -:02016C00016C24 -:02016D00016D22 -:02016E00016E20 -:02016F00016F1E -:0201700001701C -:0201710001711A -:02017200017218 -:02017300017316 -:02017400017414 -:02017500017512 -:02017600017610 -:0201770001770E -:0201780001780C -:0201790001790A -:02017A00017A08 -:02017B00017B06 -:02017C00017C04 -:02017D00017D02 -:02017E00017E00 -:02017F00017FFE -:020180000180FC -:020181000181FA -:020182000182F8 -:020183000183F6 -:020184000184F4 -:020185000185F2 -:020186000186F0 -:020187000187EE -:020188000188EC -:020189000189EA -:02018A00018AE8 -:02018B00018BE6 -:02018C00018CE4 -:02018D00018DE2 -:02018E00018EE0 -:02018F00018FDE -:020190000190DC -:020191000191DA -:020192000192D8 -:020193000193D6 -:020194000194D4 -:020195000195D2 -:020196000196D0 -:020197000197CE -:020198000198CC -:020199000199CA -:02019A00019AC8 -:02019B00019BC6 -:02019C00019CC4 -:02019D00019DC2 -:02019E00019EC0 -:02019F00019FBE -:0201A00001A0BC -:0201A10001A1BA -:0201A20001A2B8 -:0201A30001A3B6 -:0201A40001A4B4 -:0201A50001A5B2 -:0201A60001A6B0 -:0201A70001A7AE -:0201A80001A8AC -:0201A90001A9AA -:0201AA0001AAA8 -:0201AB0001ABA6 -:0201AC0001ACA4 -:0201AD0001ADA2 -:0201AE0001AEA0 -:0201AF0001AF9E -:0201B00001B09C -:0201B10001B19A -:0201B20001B298 -:0201B30001B396 -:0201B40001B494 -:0201B50001B592 -:0201B60001B690 -:0201B70001B78E -:0201B80001B88C -:0201B90001B98A -:0201BA0001BA88 -:0201BB0001BB86 -:0201BC0001BC84 -:0201BD0001BD82 -:0201BE0001BE80 -:0201BF0001BF7E -:0201C00001C07C -:0201C10001C17A -:0201C20001C278 -:0201C30001C376 -:0201C40001C474 -:0201C50001C572 -:0201C60001C670 -:0201C70001C76E -:0201C80001C86C -:0201C90001C96A -:0201CA0001CA68 -:0201CB0001CB66 -:0201CC0001CC64 -:0201CD0001CD62 -:0201CE0001CE60 -:0201CF0001CF5E -:0201D00001D05C -:0201D10001D15A -:0201D20001D258 -:0201D30001D356 -:0201D40001D454 -:0201D50001D552 -:0201D60001D650 -:0201D70001D74E -:0201D80001D84C -:0201D90001D94A -:0201DA0001DA48 -:0201DB0001DB46 -:0201DC0001DC44 -:0201DD0001DD42 -:0201DE0001DE40 -:0201DF0001DF3E -:0201E00001E03C -:0201E10001E13A -:0201E20001E238 -:0201E30001E336 -:0201E40001E434 -:0201E50001E532 -:0201E60001E630 -:0201E70001E72E -:0201E80001E82C -:0201E90001E92A -:0201EA0001EA28 -:0201EB0001EB26 -:0201EC0001EC24 -:0201ED0001ED22 -:0201EE0001EE20 -:0201EF0001EF1E -:0201F00001F01C -:0201F10001F11A -:0201F20001F218 -:0201F30001F316 -:0201F40001F414 -:0201F50001F512 -:0201F60001F610 -:0201F70001F70E -:0201F80001F80C -:0201F90001F90A -:0201FA0001FA08 -:0201FB0001FB06 -:0201FC0001FC04 -:0201FD0001FD02 -:0201FE0001FE00 -:0201FF0001FFFE -:020200000200FA -:020201000201F8 -:020202000202F6 -:020203000203F4 -:020204000204F2 -:020205000205F0 -:020206000206EE -:020207000207EC -:020208000208EA -:020209000209E8 -:02020A00020AE6 -:02020B00020BE4 -:02020C00020CE2 -:02020D00020DE0 -:02020E00020EDE -:02020F00020FDC -:020210000210DA -:020211000211D8 -:020212000212D6 -:020213000213D4 -:020214000214D2 -:020215000215D0 -:020216000216CE -:020217000217CC -:020218000218CA -:020219000219C8 -:02021A00021AC6 -:02021B00021BC4 -:02021C00021CC2 -:02021D00021DC0 -:02021E00021EBE -:02021F00021FBC -:020220000220BA -:020221000221B8 -:020222000222B6 -:020223000223B4 -:020224000224B2 -:020225000225B0 -:020226000226AE -:020227000227AC -:020228000228AA -:020229000229A8 -:02022A00022AA6 -:02022B00022BA4 -:02022C00022CA2 -:02022D00022DA0 -:02022E00022E9E -:02022F00022F9C -:0202300002309A -:02023100023198 -:02023200023296 -:02023300023394 -:02023400023492 -:02023500023590 -:0202360002368E -:0202370002378C -:0202380002388A -:02023900023988 -:02023A00023A86 -:02023B00023B84 -:02023C00023C82 -:02023D00023D80 -:02023E00023E7E -:02023F00023F7C -:0202400002407A -:02024100024178 -:02024200024276 -:02024300024374 -:02024400024472 -:02024500024570 -:0202460002466E -:0202470002476C -:0202480002486A -:02024900024968 -:02024A00024A66 -:02024B00024B64 -:02024C00024C62 -:02024D00024D60 -:02024E00024E5E -:02024F00024F5C -:0202500002505A -:02025100025158 -:02025200025256 -:02025300025354 -:02025400025452 -:02025500025550 -:0202560002564E -:0202570002574C -:0202580002584A -:02025900025948 -:02025A00025A46 -:02025B00025B44 -:02025C00025C42 -:02025D00025D40 -:02025E00025E3E -:02025F00025F3C -:0202600002603A -:02026100026138 -:02026200026236 -:02026300026334 -:02026400026432 -:02026500026530 -:0202660002662E -:0202670002672C -:0202680002682A -:02026900026928 -:02026A00026A26 -:02026B00026B24 -:02026C00026C22 -:02026D00026D20 -:02026E00026E1E -:02026F00026F1C -:0202700002701A -:02027100027118 -:02027200027216 -:02027300027314 -:02027400027412 -:02027500027510 -:0202760002760E -:0202770002770C -:0202780002780A -:02027900027908 -:02027A00027A06 -:02027B00027B04 -:02027C00027C02 -:02027D00027D00 -:02027E00027EFE -:02027F00027FFC -:020280000280FA -:020281000281F8 -:020282000282F6 -:020283000283F4 -:020284000284F2 -:020285000285F0 -:020286000286EE -:020287000287EC -:020288000288EA -:020289000289E8 -:02028A00028AE6 -:02028B00028BE4 -:02028C00028CE2 -:02028D00028DE0 -:02028E00028EDE -:02028F00028FDC -:020290000290DA -:020291000291D8 -:020292000292D6 -:020293000293D4 -:020294000294D2 -:020295000295D0 -:020296000296CE -:020297000297CC -:020298000298CA -:020299000299C8 -:02029A00029AC6 -:02029B00029BC4 -:02029C00029CC2 -:02029D00029DC0 -:02029E00029EBE -:02029F00029FBC -:0202A00002A0BA -:0202A10002A1B8 -:0202A20002A2B6 -:0202A30002A3B4 -:0202A40002A4B2 -:0202A50002A5B0 -:0202A60002A6AE -:0202A70002A7AC -:0202A80002A8AA -:0202A90002A9A8 -:0202AA0002AAA6 -:0202AB0002ABA4 -:0202AC0002ACA2 -:0202AD0002ADA0 -:0202AE0002AE9E -:0202AF0002AF9C -:0202B00002B09A -:0202B10002B198 -:0202B20002B296 -:0202B30002B394 -:0202B40002B492 -:0202B50002B590 -:0202B60002B68E -:0202B70002B78C -:0202B80002B88A -:0202B90002B988 -:0202BA0002BA86 -:0202BB0002BB84 -:0202BC0002BC82 -:0202BD0002BD80 -:0202BE0002BE7E -:0202BF0002BF7C -:0202C00002C07A -:0202C10002C178 -:0202C20002C276 -:0202C30002C374 -:0202C40002C472 -:0202C50002C570 -:0202C60002C66E -:0202C70002C76C -:0202C80002C86A -:0202C90002C968 -:0202CA0002CA66 -:0202CB0002CB64 -:0202CC0002CC62 -:0202CD0002CD60 -:0202CE0002CE5E -:0202CF0002CF5C -:0202D00002D05A -:0202D10002D158 -:0202D20002D256 -:0202D30002D354 -:0202D40002D452 -:0202D50002D550 -:0202D60002D64E -:0202D70002D74C -:0202D80002D84A -:0202D90002D948 -:0202DA0002DA46 -:0202DB0002DB44 -:0202DC0002DC42 -:0202DD0002DD40 -:0202DE0002DE3E -:0202DF0002DF3C -:0202E00002E03A -:0202E10002E138 -:0202E20002E236 -:0202E30002E334 -:0202E40002E432 -:0202E50002E530 -:0202E60002E62E -:0202E70002E72C -:0202E80002E82A -:0202E90002E928 -:0202EA0002EA26 -:0202EB0002EB24 -:0202EC0002EC22 -:0202ED0002ED20 -:0202EE0002EE1E -:0202EF0002EF1C -:0202F00002F01A -:0202F10002F118 -:0202F20002F216 -:0202F30002F314 -:0202F40002F412 -:0202F50002F510 -:0202F60002F60E -:0202F70002F70C -:0202F80002F80A -:0202F90002F908 -:0202FA0002FA06 -:0202FB0002FB04 -:0202FC0002FC02 -:0202FD0002FD00 -:0202FE0002FEFE -:0202FF0002FFFC -:020300000300F8 -:020301000301F6 -:020302000302F4 -:020303000303F2 -:020304000304F0 -:020305000305EE -:020306000306EC -:020307000307EA -:020308000308E8 -:020309000309E6 -:02030A00030AE4 -:02030B00030BE2 -:02030C00030CE0 -:02030D00030DDE -:02030E00030EDC -:02030F00030FDA -:020310000310D8 -:020311000311D6 -:020312000312D4 -:020313000313D2 -:020314000314D0 -:020315000315CE -:020316000316CC -:020317000317CA -:020318000318C8 -:020319000319C6 -:02031A00031AC4 -:02031B00031BC2 -:02031C00031CC0 -:02031D00031DBE -:02031E00031EBC -:02031F00031FBA -:020320000320B8 -:020321000321B6 -:020322000322B4 -:020323000323B2 -:020324000324B0 -:020325000325AE -:020326000326AC -:020327000327AA -:020328000328A8 -:020329000329A6 -:02032A00032AA4 -:02032B00032BA2 -:02032C00032CA0 -:02032D00032D9E -:02032E00032E9C -:02032F00032F9A -:02033000033098 -:02033100033196 -:02033200033294 -:02033300033392 -:02033400033490 -:0203350003358E -:0203360003368C -:0203370003378A -:02033800033888 -:02033900033986 -:02033A00033A84 -:02033B00033B82 -:02033C00033C80 -:02033D00033D7E -:02033E00033E7C -:02033F00033F7A -:02034000034078 -:02034100034176 -:02034200034274 -:02034300034372 -:02034400034470 -:0203450003456E -:0203460003466C -:0203470003476A -:02034800034868 -:02034900034966 -:02034A00034A64 -:02034B00034B62 -:02034C00034C60 -:02034D00034D5E -:02034E00034E5C -:02034F00034F5A -:02035000035058 -:02035100035156 -:02035200035254 -:02035300035352 -:02035400035450 -:0203550003554E -:0203560003564C -:0203570003574A -:02035800035848 -:02035900035946 -:02035A00035A44 -:02035B00035B42 -:02035C00035C40 -:02035D00035D3E -:02035E00035E3C -:02035F00035F3A -:02036000036038 -:02036100036136 -:02036200036234 -:02036300036332 -:02036400036430 -:0203650003652E -:0203660003662C -:0203670003672A -:02036800036828 -:02036900036926 -:02036A00036A24 -:02036B00036B22 -:02036C00036C20 -:02036D00036D1E -:02036E00036E1C -:02036F00036F1A -:02037000037018 -:02037100037116 -:02037200037214 -:02037300037312 -:02037400037410 -:0203750003750E -:0203760003760C -:0203770003770A -:02037800037808 -:02037900037906 -:02037A00037A04 -:02037B00037B02 -:02037C00037C00 -:02037D00037DFE -:02037E00037EFC -:02037F00037FFA -:020380000380F8 -:020381000381F6 -:020382000382F4 -:020383000383F2 -:020384000384F0 -:020385000385EE -:020386000386EC -:020387000387EA -:020388000388E8 -:020389000389E6 -:02038A00038AE4 -:02038B00038BE2 -:02038C00038CE0 -:02038D00038DDE -:02038E00038EDC -:02038F00038FDA -:020390000390D8 -:020391000391D6 -:020392000392D4 -:020393000393D2 -:020394000394D0 -:020395000395CE -:020396000396CC -:020397000397CA -:020398000398C8 -:020399000399C6 -:02039A00039AC4 -:02039B00039BC2 -:02039C00039CC0 -:02039D00039DBE -:02039E00039EBC -:02039F00039FBA -:0203A00003A0B8 -:0203A10003A1B6 -:0203A20003A2B4 -:0203A30003A3B2 -:0203A40003A4B0 -:0203A50003A5AE -:0203A60003A6AC -:0203A70003A7AA -:0203A80003A8A8 -:0203A90003A9A6 -:0203AA0003AAA4 -:0203AB0003ABA2 -:0203AC0003ACA0 -:0203AD0003AD9E -:0203AE0003AE9C -:0203AF0003AF9A -:0203B00003B098 -:0203B10003B196 -:0203B20003B294 -:0203B30003B392 -:0203B40003B490 -:0203B50003B58E -:0203B60003B68C -:0203B70003B78A -:0203B80003B888 -:0203B90003B986 -:0203BA0003BA84 -:0203BB0003BB82 -:0203BC0003BC80 -:0203BD0003BD7E -:0203BE0003BE7C -:0203BF0003BF7A -:0203C00003C078 -:0203C10003C176 -:0203C20003C274 -:0203C30003C372 -:0203C40003C470 -:0203C50003C56E -:0203C60003C66C -:0203C70003C76A -:0203C80003C868 -:0203C90003C966 -:0203CA0003CA64 -:0203CB0003CB62 -:0203CC0003CC60 -:0203CD0003CD5E -:0203CE0003CE5C -:0203CF0003CF5A -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_4.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_4.hex deleted file mode 100644 index b2c4a5514ae33570a8620cb1ffd89b1a5e5ddfcd..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_4.hex +++ /dev/null @@ -1,977 +0,0 @@ -:020000000000FE -:020001000001FC -:020002000002FA -:020003000003F8 -:020004000004F6 -:020005000005F4 -:020006000006F2 -:020007000007F0 -:020008000008EE -:020009000009EC -:02000A00000AEA -:02000B00000BE8 -:02000C00000CE6 -:02000D00000DE4 -:02000E00000EE2 -:02000F00000FE0 -:020010000010DE -:020011000011DC -:020012000012DA -:020013000013D8 -:020014000014D6 -:020015000015D4 -:020016000016D2 -:020017000017D0 -:020018000018CE -:020019000019CC -:02001A00001ACA -:02001B00001BC8 -:02001C00001CC6 -:02001D00001DC4 -:02001E00001EC2 -:02001F00001FC0 -:020020000020BE -:020021000021BC -:020022000022BA -:020023000023B8 -:020024000024B6 -:020025000025B4 -:020026000026B2 -:020027000027B0 -:020028000028AE -:020029000029AC -:02002A00002AAA -:02002B00002BA8 -:02002C00002CA6 -:02002D00002DA4 -:02002E00002EA2 -:02002F00002FA0 -:0200300000309E -:0200310000319C -:0200320000329A -:02003300003398 -:02003400003496 -:02003500003594 -:02003600003692 -:02003700003790 -:0200380000388E -:0200390000398C -:02003A00003A8A -:02003B00003B88 -:02003C00003C86 -:02003D00003D84 -:02003E00003E82 -:02003F00003F80 -:0200400000407E -:0200410000417C -:0200420000427A -:02004300004378 -:02004400004476 -:02004500004574 -:02004600004672 -:02004700004770 -:0200480000486E -:0200490000496C -:02004A00004A6A -:02004B00004B68 -:02004C00004C66 -:02004D00004D64 -:02004E00004E62 -:02004F00004F60 -:0200500000505E -:0200510000515C -:0200520000525A -:02005300005358 -:02005400005456 -:02005500005554 -:02005600005652 -:02005700005750 -:0200580000584E -:0200590000594C -:02005A00005A4A -:02005B00005B48 -:02005C00005C46 -:02005D00005D44 -:02005E00005E42 -:02005F00005F40 -:0200600000603E -:0200610000613C -:0200620000623A -:02006300006338 -:02006400006436 -:02006500006534 -:02006600006632 -:02006700006730 -:0200680000682E -:0200690000692C -:02006A00006A2A -:02006B00006B28 -:02006C00006C26 -:02006D00006D24 -:02006E00006E22 -:02006F00006F20 -:0200700000701E -:0200710000711C -:0200720000721A -:02007300007318 -:02007400007416 -:02007500007514 -:02007600007612 -:02007700007710 -:0200780000780E -:0200790000790C -:02007A00007A0A -:02007B00007B08 -:02007C00007C06 -:02007D00007D04 -:02007E00007E02 -:02007F00007F00 -:020080000080FE -:020081000081FC -:020082000082FA -:020083000083F8 -:020084000084F6 -:020085000085F4 -:020086000086F2 -:020087000087F0 -:020088000088EE -:020089000089EC -:02008A00008AEA -:02008B00008BE8 -:02008C00008CE6 -:02008D00008DE4 -:02008E00008EE2 -:02008F00008FE0 -:020090000090DE -:020091000091DC -:020092000092DA -:020093000093D8 -:020094000094D6 -:020095000095D4 -:020096000096D2 -:020097000097D0 -:020098000098CE -:020099000099CC -:02009A00009ACA -:02009B00009BC8 -:02009C00009CC6 -:02009D00009DC4 -:02009E00009EC2 -:02009F00009FC0 -:0200A00000A0BE -:0200A10000A1BC -:0200A20000A2BA -:0200A30000A3B8 -:0200A40000A4B6 -:0200A50000A5B4 -:0200A60000A6B2 -:0200A70000A7B0 -:0200A80000A8AE -:0200A90000A9AC -:0200AA0000AAAA -:0200AB0000ABA8 -:0200AC0000ACA6 -:0200AD0000ADA4 -:0200AE0000AEA2 -:0200AF0000AFA0 -:0200B00000B09E -:0200B10000B19C -:0200B20000B29A -:0200B30000B398 -:0200B40000B496 -:0200B50000B594 -:0200B60000B692 -:0200B70000B790 -:0200B80000B88E -:0200B90000B98C -:0200BA0000BA8A -:0200BB0000BB88 -:0200BC0000BC86 -:0200BD0000BD84 -:0200BE0000BE82 -:0200BF0000BF80 -:0200C00000C07E -:0200C10000C17C -:0200C20000C27A -:0200C30000C378 -:0200C40000C476 -:0200C50000C574 -:0200C60000C672 -:0200C70000C770 -:0200C80000C86E -:0200C90000C96C -:0200CA0000CA6A -:0200CB0000CB68 -:0200CC0000CC66 -:0200CD0000CD64 -:0200CE0000CE62 -:0200CF0000CF60 -:0200D00000D05E -:0200D10000D15C -:0200D20000D25A -:0200D30000D358 -:0200D40000D456 -:0200D50000D554 -:0200D60000D652 -:0200D70000D750 -:0200D80000D84E -:0200D90000D94C -:0200DA0000DA4A -:0200DB0000DB48 -:0200DC0000DC46 -:0200DD0000DD44 -:0200DE0000DE42 -:0200DF0000DF40 -:0200E00000E03E -:0200E10000E13C -:0200E20000E23A -:0200E30000E338 -:0200E40000E436 -:0200E50000E534 -:0200E60000E632 -:0200E70000E730 -:0200E80000E82E -:0200E90000E92C -:0200EA0000EA2A -:0200EB0000EB28 -:0200EC0000EC26 -:0200ED0000ED24 -:0200EE0000EE22 -:0200EF0000EF20 -:0200F00000F01E -:0200F10000F11C -:0200F20000F21A -:0200F30000F318 -:0200F40000F416 -:0200F50000F514 -:0200F60000F612 -:0200F70000F710 -:0200F80000F80E -:0200F90000F90C -:0200FA0000FA0A -:0200FB0000FB08 -:0200FC0000FC06 -:0200FD0000FD04 -:0200FE0000FE02 -:0200FF0000FF00 -:020100000100FC -:020101000101FA -:020102000102F8 -:020103000103F6 -:020104000104F4 -:020105000105F2 -:020106000106F0 -:020107000107EE -:020108000108EC -:020109000109EA -:02010A00010AE8 -:02010B00010BE6 -:02010C00010CE4 -:02010D00010DE2 -:02010E00010EE0 -:02010F00010FDE -:020110000110DC -:020111000111DA -:020112000112D8 -:020113000113D6 -:020114000114D4 -:020115000115D2 -:020116000116D0 -:020117000117CE -:020118000118CC -:020119000119CA -:02011A00011AC8 -:02011B00011BC6 -:02011C00011CC4 -:02011D00011DC2 -:02011E00011EC0 -:02011F00011FBE -:020120000120BC -:020121000121BA -:020122000122B8 -:020123000123B6 -:020124000124B4 -:020125000125B2 -:020126000126B0 -:020127000127AE -:020128000128AC -:020129000129AA -:02012A00012AA8 -:02012B00012BA6 -:02012C00012CA4 -:02012D00012DA2 -:02012E00012EA0 -:02012F00012F9E -:0201300001309C -:0201310001319A -:02013200013298 -:02013300013396 -:02013400013494 -:02013500013592 -:02013600013690 -:0201370001378E -:0201380001388C -:0201390001398A -:02013A00013A88 -:02013B00013B86 -:02013C00013C84 -:02013D00013D82 -:02013E00013E80 -:02013F00013F7E -:0201400001407C -:0201410001417A -:02014200014278 -:02014300014376 -:02014400014474 -:02014500014572 -:02014600014670 -:0201470001476E -:0201480001486C -:0201490001496A -:02014A00014A68 -:02014B00014B66 -:02014C00014C64 -:02014D00014D62 -:02014E00014E60 -:02014F00014F5E -:0201500001505C -:0201510001515A -:02015200015258 -:02015300015356 -:02015400015454 -:02015500015552 -:02015600015650 -:0201570001574E -:0201580001584C -:0201590001594A -:02015A00015A48 -:02015B00015B46 -:02015C00015C44 -:02015D00015D42 -:02015E00015E40 -:02015F00015F3E -:0201600001603C -:0201610001613A -:02016200016238 -:02016300016336 -:02016400016434 -:02016500016532 -:02016600016630 -:0201670001672E -:0201680001682C -:0201690001692A -:02016A00016A28 -:02016B00016B26 -:02016C00016C24 -:02016D00016D22 -:02016E00016E20 -:02016F00016F1E -:0201700001701C -:0201710001711A -:02017200017218 -:02017300017316 -:02017400017414 -:02017500017512 -:02017600017610 -:0201770001770E -:0201780001780C -:0201790001790A -:02017A00017A08 -:02017B00017B06 -:02017C00017C04 -:02017D00017D02 -:02017E00017E00 -:02017F00017FFE -:020180000180FC -:020181000181FA -:020182000182F8 -:020183000183F6 -:020184000184F4 -:020185000185F2 -:020186000186F0 -:020187000187EE -:020188000188EC -:020189000189EA -:02018A00018AE8 -:02018B00018BE6 -:02018C00018CE4 -:02018D00018DE2 -:02018E00018EE0 -:02018F00018FDE -:020190000190DC -:020191000191DA -:020192000192D8 -:020193000193D6 -:020194000194D4 -:020195000195D2 -:020196000196D0 -:020197000197CE -:020198000198CC -:020199000199CA -:02019A00019AC8 -:02019B00019BC6 -:02019C00019CC4 -:02019D00019DC2 -:02019E00019EC0 -:02019F00019FBE -:0201A00001A0BC -:0201A10001A1BA -:0201A20001A2B8 -:0201A30001A3B6 -:0201A40001A4B4 -:0201A50001A5B2 -:0201A60001A6B0 -:0201A70001A7AE -:0201A80001A8AC -:0201A90001A9AA -:0201AA0001AAA8 -:0201AB0001ABA6 -:0201AC0001ACA4 -:0201AD0001ADA2 -:0201AE0001AEA0 -:0201AF0001AF9E -:0201B00001B09C -:0201B10001B19A -:0201B20001B298 -:0201B30001B396 -:0201B40001B494 -:0201B50001B592 -:0201B60001B690 -:0201B70001B78E -:0201B80001B88C -:0201B90001B98A -:0201BA0001BA88 -:0201BB0001BB86 -:0201BC0001BC84 -:0201BD0001BD82 -:0201BE0001BE80 -:0201BF0001BF7E -:0201C00001C07C -:0201C10001C17A -:0201C20001C278 -:0201C30001C376 -:0201C40001C474 -:0201C50001C572 -:0201C60001C670 -:0201C70001C76E -:0201C80001C86C -:0201C90001C96A -:0201CA0001CA68 -:0201CB0001CB66 -:0201CC0001CC64 -:0201CD0001CD62 -:0201CE0001CE60 -:0201CF0001CF5E -:0201D00001D05C -:0201D10001D15A -:0201D20001D258 -:0201D30001D356 -:0201D40001D454 -:0201D50001D552 -:0201D60001D650 -:0201D70001D74E -:0201D80001D84C -:0201D90001D94A -:0201DA0001DA48 -:0201DB0001DB46 -:0201DC0001DC44 -:0201DD0001DD42 -:0201DE0001DE40 -:0201DF0001DF3E -:0201E00001E03C -:0201E10001E13A -:0201E20001E238 -:0201E30001E336 -:0201E40001E434 -:0201E50001E532 -:0201E60001E630 -:0201E70001E72E -:0201E80001E82C -:0201E90001E92A -:0201EA0001EA28 -:0201EB0001EB26 -:0201EC0001EC24 -:0201ED0001ED22 -:0201EE0001EE20 -:0201EF0001EF1E -:0201F00001F01C -:0201F10001F11A -:0201F20001F218 -:0201F30001F316 -:0201F40001F414 -:0201F50001F512 -:0201F60001F610 -:0201F70001F70E -:0201F80001F80C -:0201F90001F90A -:0201FA0001FA08 -:0201FB0001FB06 -:0201FC0001FC04 -:0201FD0001FD02 -:0201FE0001FE00 -:0201FF0001FFFE -:020200000200FA -:020201000201F8 -:020202000202F6 -:020203000203F4 -:020204000204F2 -:020205000205F0 -:020206000206EE -:020207000207EC -:020208000208EA -:020209000209E8 -:02020A00020AE6 -:02020B00020BE4 -:02020C00020CE2 -:02020D00020DE0 -:02020E00020EDE -:02020F00020FDC -:020210000210DA -:020211000211D8 -:020212000212D6 -:020213000213D4 -:020214000214D2 -:020215000215D0 -:020216000216CE -:020217000217CC -:020218000218CA -:020219000219C8 -:02021A00021AC6 -:02021B00021BC4 -:02021C00021CC2 -:02021D00021DC0 -:02021E00021EBE -:02021F00021FBC -:020220000220BA -:020221000221B8 -:020222000222B6 -:020223000223B4 -:020224000224B2 -:020225000225B0 -:020226000226AE -:020227000227AC -:020228000228AA -:020229000229A8 -:02022A00022AA6 -:02022B00022BA4 -:02022C00022CA2 -:02022D00022DA0 -:02022E00022E9E -:02022F00022F9C -:0202300002309A -:02023100023198 -:02023200023296 -:02023300023394 -:02023400023492 -:02023500023590 -:0202360002368E -:0202370002378C -:0202380002388A -:02023900023988 -:02023A00023A86 -:02023B00023B84 -:02023C00023C82 -:02023D00023D80 -:02023E00023E7E -:02023F00023F7C -:0202400002407A -:02024100024178 -:02024200024276 -:02024300024374 -:02024400024472 -:02024500024570 -:0202460002466E -:0202470002476C -:0202480002486A -:02024900024968 -:02024A00024A66 -:02024B00024B64 -:02024C00024C62 -:02024D00024D60 -:02024E00024E5E -:02024F00024F5C -:0202500002505A -:02025100025158 -:02025200025256 -:02025300025354 -:02025400025452 -:02025500025550 -:0202560002564E -:0202570002574C -:0202580002584A -:02025900025948 -:02025A00025A46 -:02025B00025B44 -:02025C00025C42 -:02025D00025D40 -:02025E00025E3E -:02025F00025F3C -:0202600002603A -:02026100026138 -:02026200026236 -:02026300026334 -:02026400026432 -:02026500026530 -:0202660002662E -:0202670002672C -:0202680002682A -:02026900026928 -:02026A00026A26 -:02026B00026B24 -:02026C00026C22 -:02026D00026D20 -:02026E00026E1E -:02026F00026F1C -:0202700002701A -:02027100027118 -:02027200027216 -:02027300027314 -:02027400027412 -:02027500027510 -:0202760002760E -:0202770002770C -:0202780002780A -:02027900027908 -:02027A00027A06 -:02027B00027B04 -:02027C00027C02 -:02027D00027D00 -:02027E00027EFE -:02027F00027FFC -:020280000280FA -:020281000281F8 -:020282000282F6 -:020283000283F4 -:020284000284F2 -:020285000285F0 -:020286000286EE -:020287000287EC -:020288000288EA -:020289000289E8 -:02028A00028AE6 -:02028B00028BE4 -:02028C00028CE2 -:02028D00028DE0 -:02028E00028EDE -:02028F00028FDC -:020290000290DA -:020291000291D8 -:020292000292D6 -:020293000293D4 -:020294000294D2 -:020295000295D0 -:020296000296CE -:020297000297CC -:020298000298CA -:020299000299C8 -:02029A00029AC6 -:02029B00029BC4 -:02029C00029CC2 -:02029D00029DC0 -:02029E00029EBE -:02029F00029FBC -:0202A00002A0BA -:0202A10002A1B8 -:0202A20002A2B6 -:0202A30002A3B4 -:0202A40002A4B2 -:0202A50002A5B0 -:0202A60002A6AE -:0202A70002A7AC -:0202A80002A8AA -:0202A90002A9A8 -:0202AA0002AAA6 -:0202AB0002ABA4 -:0202AC0002ACA2 -:0202AD0002ADA0 -:0202AE0002AE9E -:0202AF0002AF9C -:0202B00002B09A -:0202B10002B198 -:0202B20002B296 -:0202B30002B394 -:0202B40002B492 -:0202B50002B590 -:0202B60002B68E -:0202B70002B78C -:0202B80002B88A -:0202B90002B988 -:0202BA0002BA86 -:0202BB0002BB84 -:0202BC0002BC82 -:0202BD0002BD80 -:0202BE0002BE7E -:0202BF0002BF7C -:0202C00002C07A -:0202C10002C178 -:0202C20002C276 -:0202C30002C374 -:0202C40002C472 -:0202C50002C570 -:0202C60002C66E -:0202C70002C76C -:0202C80002C86A -:0202C90002C968 -:0202CA0002CA66 -:0202CB0002CB64 -:0202CC0002CC62 -:0202CD0002CD60 -:0202CE0002CE5E -:0202CF0002CF5C -:0202D00002D05A -:0202D10002D158 -:0202D20002D256 -:0202D30002D354 -:0202D40002D452 -:0202D50002D550 -:0202D60002D64E -:0202D70002D74C -:0202D80002D84A -:0202D90002D948 -:0202DA0002DA46 -:0202DB0002DB44 -:0202DC0002DC42 -:0202DD0002DD40 -:0202DE0002DE3E -:0202DF0002DF3C -:0202E00002E03A -:0202E10002E138 -:0202E20002E236 -:0202E30002E334 -:0202E40002E432 -:0202E50002E530 -:0202E60002E62E -:0202E70002E72C -:0202E80002E82A -:0202E90002E928 -:0202EA0002EA26 -:0202EB0002EB24 -:0202EC0002EC22 -:0202ED0002ED20 -:0202EE0002EE1E -:0202EF0002EF1C -:0202F00002F01A -:0202F10002F118 -:0202F20002F216 -:0202F30002F314 -:0202F40002F412 -:0202F50002F510 -:0202F60002F60E -:0202F70002F70C -:0202F80002F80A -:0202F90002F908 -:0202FA0002FA06 -:0202FB0002FB04 -:0202FC0002FC02 -:0202FD0002FD00 -:0202FE0002FEFE -:0202FF0002FFFC -:020300000300F8 -:020301000301F6 -:020302000302F4 -:020303000303F2 -:020304000304F0 -:020305000305EE -:020306000306EC -:020307000307EA -:020308000308E8 -:020309000309E6 -:02030A00030AE4 -:02030B00030BE2 -:02030C00030CE0 -:02030D00030DDE -:02030E00030EDC -:02030F00030FDA -:020310000310D8 -:020311000311D6 -:020312000312D4 -:020313000313D2 -:020314000314D0 -:020315000315CE -:020316000316CC -:020317000317CA -:020318000318C8 -:020319000319C6 -:02031A00031AC4 -:02031B00031BC2 -:02031C00031CC0 -:02031D00031DBE -:02031E00031EBC -:02031F00031FBA -:020320000320B8 -:020321000321B6 -:020322000322B4 -:020323000323B2 -:020324000324B0 -:020325000325AE -:020326000326AC -:020327000327AA -:020328000328A8 -:020329000329A6 -:02032A00032AA4 -:02032B00032BA2 -:02032C00032CA0 -:02032D00032D9E -:02032E00032E9C -:02032F00032F9A -:02033000033098 -:02033100033196 -:02033200033294 -:02033300033392 -:02033400033490 -:0203350003358E -:0203360003368C -:0203370003378A -:02033800033888 -:02033900033986 -:02033A00033A84 -:02033B00033B82 -:02033C00033C80 -:02033D00033D7E -:02033E00033E7C -:02033F00033F7A -:02034000034078 -:02034100034176 -:02034200034274 -:02034300034372 -:02034400034470 -:0203450003456E -:0203460003466C -:0203470003476A -:02034800034868 -:02034900034966 -:02034A00034A64 -:02034B00034B62 -:02034C00034C60 -:02034D00034D5E -:02034E00034E5C -:02034F00034F5A -:02035000035058 -:02035100035156 -:02035200035254 -:02035300035352 -:02035400035450 -:0203550003554E -:0203560003564C -:0203570003574A -:02035800035848 -:02035900035946 -:02035A00035A44 -:02035B00035B42 -:02035C00035C40 -:02035D00035D3E -:02035E00035E3C -:02035F00035F3A -:02036000036038 -:02036100036136 -:02036200036234 -:02036300036332 -:02036400036430 -:0203650003652E -:0203660003662C -:0203670003672A -:02036800036828 -:02036900036926 -:02036A00036A24 -:02036B00036B22 -:02036C00036C20 -:02036D00036D1E -:02036E00036E1C -:02036F00036F1A -:02037000037018 -:02037100037116 -:02037200037214 -:02037300037312 -:02037400037410 -:0203750003750E -:0203760003760C -:0203770003770A -:02037800037808 -:02037900037906 -:02037A00037A04 -:02037B00037B02 -:02037C00037C00 -:02037D00037DFE -:02037E00037EFC -:02037F00037FFA -:020380000380F8 -:020381000381F6 -:020382000382F4 -:020383000383F2 -:020384000384F0 -:020385000385EE -:020386000386EC -:020387000387EA -:020388000388E8 -:020389000389E6 -:02038A00038AE4 -:02038B00038BE2 -:02038C00038CE0 -:02038D00038DDE -:02038E00038EDC -:02038F00038FDA -:020390000390D8 -:020391000391D6 -:020392000392D4 -:020393000393D2 -:020394000394D0 -:020395000395CE -:020396000396CC -:020397000397CA -:020398000398C8 -:020399000399C6 -:02039A00039AC4 -:02039B00039BC2 -:02039C00039CC0 -:02039D00039DBE -:02039E00039EBC -:02039F00039FBA -:0203A00003A0B8 -:0203A10003A1B6 -:0203A20003A2B4 -:0203A30003A3B2 -:0203A40003A4B0 -:0203A50003A5AE -:0203A60003A6AC -:0203A70003A7AA -:0203A80003A8A8 -:0203A90003A9A6 -:0203AA0003AAA4 -:0203AB0003ABA2 -:0203AC0003ACA0 -:0203AD0003AD9E -:0203AE0003AE9C -:0203AF0003AF9A -:0203B00003B098 -:0203B10003B196 -:0203B20003B294 -:0203B30003B392 -:0203B40003B490 -:0203B50003B58E -:0203B60003B68C -:0203B70003B78A -:0203B80003B888 -:0203B90003B986 -:0203BA0003BA84 -:0203BB0003BB82 -:0203BC0003BC80 -:0203BD0003BD7E -:0203BE0003BE7C -:0203BF0003BF7A -:0203C00003C078 -:0203C10003C176 -:0203C20003C274 -:0203C30003C372 -:0203C40003C470 -:0203C50003C56E -:0203C60003C66C -:0203C70003C76A -:0203C80003C868 -:0203C90003C966 -:0203CA0003CA64 -:0203CB0003CB62 -:0203CC0003CC60 -:0203CD0003CD5E -:0203CE0003CE5C -:0203CF0003CF5A -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_5.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_5.hex deleted file mode 100644 index b2c4a5514ae33570a8620cb1ffd89b1a5e5ddfcd..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_ss_wide_5.hex +++ /dev/null @@ -1,977 +0,0 @@ -:020000000000FE -:020001000001FC -:020002000002FA -:020003000003F8 -:020004000004F6 -:020005000005F4 -:020006000006F2 -:020007000007F0 -:020008000008EE -:020009000009EC -:02000A00000AEA -:02000B00000BE8 -:02000C00000CE6 -:02000D00000DE4 -:02000E00000EE2 -:02000F00000FE0 -:020010000010DE -:020011000011DC -:020012000012DA -:020013000013D8 -:020014000014D6 -:020015000015D4 -:020016000016D2 -:020017000017D0 -:020018000018CE -:020019000019CC -:02001A00001ACA -:02001B00001BC8 -:02001C00001CC6 -:02001D00001DC4 -:02001E00001EC2 -:02001F00001FC0 -:020020000020BE -:020021000021BC -:020022000022BA -:020023000023B8 -:020024000024B6 -:020025000025B4 -:020026000026B2 -:020027000027B0 -:020028000028AE -:020029000029AC -:02002A00002AAA -:02002B00002BA8 -:02002C00002CA6 -:02002D00002DA4 -:02002E00002EA2 -:02002F00002FA0 -:0200300000309E -:0200310000319C -:0200320000329A -:02003300003398 -:02003400003496 -:02003500003594 -:02003600003692 -:02003700003790 -:0200380000388E -:0200390000398C -:02003A00003A8A -:02003B00003B88 -:02003C00003C86 -:02003D00003D84 -:02003E00003E82 -:02003F00003F80 -:0200400000407E -:0200410000417C -:0200420000427A -:02004300004378 -:02004400004476 -:02004500004574 -:02004600004672 -:02004700004770 -:0200480000486E -:0200490000496C -:02004A00004A6A -:02004B00004B68 -:02004C00004C66 -:02004D00004D64 -:02004E00004E62 -:02004F00004F60 -:0200500000505E -:0200510000515C -:0200520000525A -:02005300005358 -:02005400005456 -:02005500005554 -:02005600005652 -:02005700005750 -:0200580000584E -:0200590000594C -:02005A00005A4A -:02005B00005B48 -:02005C00005C46 -:02005D00005D44 -:02005E00005E42 -:02005F00005F40 -:0200600000603E -:0200610000613C -:0200620000623A -:02006300006338 -:02006400006436 -:02006500006534 -:02006600006632 -:02006700006730 -:0200680000682E -:0200690000692C -:02006A00006A2A -:02006B00006B28 -:02006C00006C26 -:02006D00006D24 -:02006E00006E22 -:02006F00006F20 -:0200700000701E -:0200710000711C -:0200720000721A -:02007300007318 -:02007400007416 -:02007500007514 -:02007600007612 -:02007700007710 -:0200780000780E -:0200790000790C -:02007A00007A0A -:02007B00007B08 -:02007C00007C06 -:02007D00007D04 -:02007E00007E02 -:02007F00007F00 -:020080000080FE -:020081000081FC -:020082000082FA -:020083000083F8 -:020084000084F6 -:020085000085F4 -:020086000086F2 -:020087000087F0 -:020088000088EE -:020089000089EC -:02008A00008AEA -:02008B00008BE8 -:02008C00008CE6 -:02008D00008DE4 -:02008E00008EE2 -:02008F00008FE0 -:020090000090DE -:020091000091DC -:020092000092DA -:020093000093D8 -:020094000094D6 -:020095000095D4 -:020096000096D2 -:020097000097D0 -:020098000098CE -:020099000099CC -:02009A00009ACA -:02009B00009BC8 -:02009C00009CC6 -:02009D00009DC4 -:02009E00009EC2 -:02009F00009FC0 -:0200A00000A0BE -:0200A10000A1BC -:0200A20000A2BA -:0200A30000A3B8 -:0200A40000A4B6 -:0200A50000A5B4 -:0200A60000A6B2 -:0200A70000A7B0 -:0200A80000A8AE -:0200A90000A9AC -:0200AA0000AAAA -:0200AB0000ABA8 -:0200AC0000ACA6 -:0200AD0000ADA4 -:0200AE0000AEA2 -:0200AF0000AFA0 -:0200B00000B09E -:0200B10000B19C -:0200B20000B29A -:0200B30000B398 -:0200B40000B496 -:0200B50000B594 -:0200B60000B692 -:0200B70000B790 -:0200B80000B88E -:0200B90000B98C -:0200BA0000BA8A -:0200BB0000BB88 -:0200BC0000BC86 -:0200BD0000BD84 -:0200BE0000BE82 -:0200BF0000BF80 -:0200C00000C07E -:0200C10000C17C -:0200C20000C27A -:0200C30000C378 -:0200C40000C476 -:0200C50000C574 -:0200C60000C672 -:0200C70000C770 -:0200C80000C86E -:0200C90000C96C -:0200CA0000CA6A -:0200CB0000CB68 -:0200CC0000CC66 -:0200CD0000CD64 -:0200CE0000CE62 -:0200CF0000CF60 -:0200D00000D05E -:0200D10000D15C -:0200D20000D25A -:0200D30000D358 -:0200D40000D456 -:0200D50000D554 -:0200D60000D652 -:0200D70000D750 -:0200D80000D84E -:0200D90000D94C -:0200DA0000DA4A -:0200DB0000DB48 -:0200DC0000DC46 -:0200DD0000DD44 -:0200DE0000DE42 -:0200DF0000DF40 -:0200E00000E03E -:0200E10000E13C -:0200E20000E23A -:0200E30000E338 -:0200E40000E436 -:0200E50000E534 -:0200E60000E632 -:0200E70000E730 -:0200E80000E82E -:0200E90000E92C -:0200EA0000EA2A -:0200EB0000EB28 -:0200EC0000EC26 -:0200ED0000ED24 -:0200EE0000EE22 -:0200EF0000EF20 -:0200F00000F01E -:0200F10000F11C -:0200F20000F21A -:0200F30000F318 -:0200F40000F416 -:0200F50000F514 -:0200F60000F612 -:0200F70000F710 -:0200F80000F80E -:0200F90000F90C -:0200FA0000FA0A -:0200FB0000FB08 -:0200FC0000FC06 -:0200FD0000FD04 -:0200FE0000FE02 -:0200FF0000FF00 -:020100000100FC -:020101000101FA -:020102000102F8 -:020103000103F6 -:020104000104F4 -:020105000105F2 -:020106000106F0 -:020107000107EE -:020108000108EC -:020109000109EA -:02010A00010AE8 -:02010B00010BE6 -:02010C00010CE4 -:02010D00010DE2 -:02010E00010EE0 -:02010F00010FDE -:020110000110DC -:020111000111DA -:020112000112D8 -:020113000113D6 -:020114000114D4 -:020115000115D2 -:020116000116D0 -:020117000117CE -:020118000118CC -:020119000119CA -:02011A00011AC8 -:02011B00011BC6 -:02011C00011CC4 -:02011D00011DC2 -:02011E00011EC0 -:02011F00011FBE -:020120000120BC -:020121000121BA -:020122000122B8 -:020123000123B6 -:020124000124B4 -:020125000125B2 -:020126000126B0 -:020127000127AE -:020128000128AC -:020129000129AA -:02012A00012AA8 -:02012B00012BA6 -:02012C00012CA4 -:02012D00012DA2 -:02012E00012EA0 -:02012F00012F9E -:0201300001309C -:0201310001319A -:02013200013298 -:02013300013396 -:02013400013494 -:02013500013592 -:02013600013690 -:0201370001378E -:0201380001388C -:0201390001398A -:02013A00013A88 -:02013B00013B86 -:02013C00013C84 -:02013D00013D82 -:02013E00013E80 -:02013F00013F7E -:0201400001407C -:0201410001417A -:02014200014278 -:02014300014376 -:02014400014474 -:02014500014572 -:02014600014670 -:0201470001476E -:0201480001486C -:0201490001496A -:02014A00014A68 -:02014B00014B66 -:02014C00014C64 -:02014D00014D62 -:02014E00014E60 -:02014F00014F5E -:0201500001505C -:0201510001515A -:02015200015258 -:02015300015356 -:02015400015454 -:02015500015552 -:02015600015650 -:0201570001574E -:0201580001584C -:0201590001594A -:02015A00015A48 -:02015B00015B46 -:02015C00015C44 -:02015D00015D42 -:02015E00015E40 -:02015F00015F3E -:0201600001603C -:0201610001613A -:02016200016238 -:02016300016336 -:02016400016434 -:02016500016532 -:02016600016630 -:0201670001672E -:0201680001682C -:0201690001692A -:02016A00016A28 -:02016B00016B26 -:02016C00016C24 -:02016D00016D22 -:02016E00016E20 -:02016F00016F1E -:0201700001701C -:0201710001711A -:02017200017218 -:02017300017316 -:02017400017414 -:02017500017512 -:02017600017610 -:0201770001770E -:0201780001780C -:0201790001790A -:02017A00017A08 -:02017B00017B06 -:02017C00017C04 -:02017D00017D02 -:02017E00017E00 -:02017F00017FFE -:020180000180FC -:020181000181FA -:020182000182F8 -:020183000183F6 -:020184000184F4 -:020185000185F2 -:020186000186F0 -:020187000187EE -:020188000188EC -:020189000189EA -:02018A00018AE8 -:02018B00018BE6 -:02018C00018CE4 -:02018D00018DE2 -:02018E00018EE0 -:02018F00018FDE -:020190000190DC -:020191000191DA -:020192000192D8 -:020193000193D6 -:020194000194D4 -:020195000195D2 -:020196000196D0 -:020197000197CE -:020198000198CC -:020199000199CA -:02019A00019AC8 -:02019B00019BC6 -:02019C00019CC4 -:02019D00019DC2 -:02019E00019EC0 -:02019F00019FBE -:0201A00001A0BC -:0201A10001A1BA -:0201A20001A2B8 -:0201A30001A3B6 -:0201A40001A4B4 -:0201A50001A5B2 -:0201A60001A6B0 -:0201A70001A7AE -:0201A80001A8AC -:0201A90001A9AA -:0201AA0001AAA8 -:0201AB0001ABA6 -:0201AC0001ACA4 -:0201AD0001ADA2 -:0201AE0001AEA0 -:0201AF0001AF9E -:0201B00001B09C -:0201B10001B19A -:0201B20001B298 -:0201B30001B396 -:0201B40001B494 -:0201B50001B592 -:0201B60001B690 -:0201B70001B78E -:0201B80001B88C -:0201B90001B98A -:0201BA0001BA88 -:0201BB0001BB86 -:0201BC0001BC84 -:0201BD0001BD82 -:0201BE0001BE80 -:0201BF0001BF7E -:0201C00001C07C -:0201C10001C17A -:0201C20001C278 -:0201C30001C376 -:0201C40001C474 -:0201C50001C572 -:0201C60001C670 -:0201C70001C76E -:0201C80001C86C -:0201C90001C96A -:0201CA0001CA68 -:0201CB0001CB66 -:0201CC0001CC64 -:0201CD0001CD62 -:0201CE0001CE60 -:0201CF0001CF5E -:0201D00001D05C -:0201D10001D15A -:0201D20001D258 -:0201D30001D356 -:0201D40001D454 -:0201D50001D552 -:0201D60001D650 -:0201D70001D74E -:0201D80001D84C -:0201D90001D94A -:0201DA0001DA48 -:0201DB0001DB46 -:0201DC0001DC44 -:0201DD0001DD42 -:0201DE0001DE40 -:0201DF0001DF3E -:0201E00001E03C -:0201E10001E13A -:0201E20001E238 -:0201E30001E336 -:0201E40001E434 -:0201E50001E532 -:0201E60001E630 -:0201E70001E72E -:0201E80001E82C -:0201E90001E92A -:0201EA0001EA28 -:0201EB0001EB26 -:0201EC0001EC24 -:0201ED0001ED22 -:0201EE0001EE20 -:0201EF0001EF1E -:0201F00001F01C -:0201F10001F11A -:0201F20001F218 -:0201F30001F316 -:0201F40001F414 -:0201F50001F512 -:0201F60001F610 -:0201F70001F70E -:0201F80001F80C -:0201F90001F90A -:0201FA0001FA08 -:0201FB0001FB06 -:0201FC0001FC04 -:0201FD0001FD02 -:0201FE0001FE00 -:0201FF0001FFFE -:020200000200FA -:020201000201F8 -:020202000202F6 -:020203000203F4 -:020204000204F2 -:020205000205F0 -:020206000206EE -:020207000207EC -:020208000208EA -:020209000209E8 -:02020A00020AE6 -:02020B00020BE4 -:02020C00020CE2 -:02020D00020DE0 -:02020E00020EDE -:02020F00020FDC -:020210000210DA -:020211000211D8 -:020212000212D6 -:020213000213D4 -:020214000214D2 -:020215000215D0 -:020216000216CE -:020217000217CC -:020218000218CA -:020219000219C8 -:02021A00021AC6 -:02021B00021BC4 -:02021C00021CC2 -:02021D00021DC0 -:02021E00021EBE -:02021F00021FBC -:020220000220BA -:020221000221B8 -:020222000222B6 -:020223000223B4 -:020224000224B2 -:020225000225B0 -:020226000226AE -:020227000227AC -:020228000228AA -:020229000229A8 -:02022A00022AA6 -:02022B00022BA4 -:02022C00022CA2 -:02022D00022DA0 -:02022E00022E9E -:02022F00022F9C -:0202300002309A -:02023100023198 -:02023200023296 -:02023300023394 -:02023400023492 -:02023500023590 -:0202360002368E -:0202370002378C -:0202380002388A -:02023900023988 -:02023A00023A86 -:02023B00023B84 -:02023C00023C82 -:02023D00023D80 -:02023E00023E7E -:02023F00023F7C -:0202400002407A -:02024100024178 -:02024200024276 -:02024300024374 -:02024400024472 -:02024500024570 -:0202460002466E -:0202470002476C -:0202480002486A -:02024900024968 -:02024A00024A66 -:02024B00024B64 -:02024C00024C62 -:02024D00024D60 -:02024E00024E5E -:02024F00024F5C -:0202500002505A -:02025100025158 -:02025200025256 -:02025300025354 -:02025400025452 -:02025500025550 -:0202560002564E -:0202570002574C -:0202580002584A -:02025900025948 -:02025A00025A46 -:02025B00025B44 -:02025C00025C42 -:02025D00025D40 -:02025E00025E3E -:02025F00025F3C -:0202600002603A -:02026100026138 -:02026200026236 -:02026300026334 -:02026400026432 -:02026500026530 -:0202660002662E -:0202670002672C -:0202680002682A -:02026900026928 -:02026A00026A26 -:02026B00026B24 -:02026C00026C22 -:02026D00026D20 -:02026E00026E1E -:02026F00026F1C -:0202700002701A -:02027100027118 -:02027200027216 -:02027300027314 -:02027400027412 -:02027500027510 -:0202760002760E -:0202770002770C -:0202780002780A -:02027900027908 -:02027A00027A06 -:02027B00027B04 -:02027C00027C02 -:02027D00027D00 -:02027E00027EFE -:02027F00027FFC -:020280000280FA -:020281000281F8 -:020282000282F6 -:020283000283F4 -:020284000284F2 -:020285000285F0 -:020286000286EE -:020287000287EC -:020288000288EA -:020289000289E8 -:02028A00028AE6 -:02028B00028BE4 -:02028C00028CE2 -:02028D00028DE0 -:02028E00028EDE -:02028F00028FDC -:020290000290DA -:020291000291D8 -:020292000292D6 -:020293000293D4 -:020294000294D2 -:020295000295D0 -:020296000296CE -:020297000297CC -:020298000298CA -:020299000299C8 -:02029A00029AC6 -:02029B00029BC4 -:02029C00029CC2 -:02029D00029DC0 -:02029E00029EBE -:02029F00029FBC -:0202A00002A0BA -:0202A10002A1B8 -:0202A20002A2B6 -:0202A30002A3B4 -:0202A40002A4B2 -:0202A50002A5B0 -:0202A60002A6AE -:0202A70002A7AC -:0202A80002A8AA -:0202A90002A9A8 -:0202AA0002AAA6 -:0202AB0002ABA4 -:0202AC0002ACA2 -:0202AD0002ADA0 -:0202AE0002AE9E -:0202AF0002AF9C -:0202B00002B09A -:0202B10002B198 -:0202B20002B296 -:0202B30002B394 -:0202B40002B492 -:0202B50002B590 -:0202B60002B68E -:0202B70002B78C -:0202B80002B88A -:0202B90002B988 -:0202BA0002BA86 -:0202BB0002BB84 -:0202BC0002BC82 -:0202BD0002BD80 -:0202BE0002BE7E -:0202BF0002BF7C -:0202C00002C07A -:0202C10002C178 -:0202C20002C276 -:0202C30002C374 -:0202C40002C472 -:0202C50002C570 -:0202C60002C66E -:0202C70002C76C -:0202C80002C86A -:0202C90002C968 -:0202CA0002CA66 -:0202CB0002CB64 -:0202CC0002CC62 -:0202CD0002CD60 -:0202CE0002CE5E -:0202CF0002CF5C -:0202D00002D05A -:0202D10002D158 -:0202D20002D256 -:0202D30002D354 -:0202D40002D452 -:0202D50002D550 -:0202D60002D64E -:0202D70002D74C -:0202D80002D84A -:0202D90002D948 -:0202DA0002DA46 -:0202DB0002DB44 -:0202DC0002DC42 -:0202DD0002DD40 -:0202DE0002DE3E -:0202DF0002DF3C -:0202E00002E03A -:0202E10002E138 -:0202E20002E236 -:0202E30002E334 -:0202E40002E432 -:0202E50002E530 -:0202E60002E62E -:0202E70002E72C -:0202E80002E82A -:0202E90002E928 -:0202EA0002EA26 -:0202EB0002EB24 -:0202EC0002EC22 -:0202ED0002ED20 -:0202EE0002EE1E -:0202EF0002EF1C -:0202F00002F01A -:0202F10002F118 -:0202F20002F216 -:0202F30002F314 -:0202F40002F412 -:0202F50002F510 -:0202F60002F60E -:0202F70002F70C -:0202F80002F80A -:0202F90002F908 -:0202FA0002FA06 -:0202FB0002FB04 -:0202FC0002FC02 -:0202FD0002FD00 -:0202FE0002FEFE -:0202FF0002FFFC -:020300000300F8 -:020301000301F6 -:020302000302F4 -:020303000303F2 -:020304000304F0 -:020305000305EE -:020306000306EC -:020307000307EA -:020308000308E8 -:020309000309E6 -:02030A00030AE4 -:02030B00030BE2 -:02030C00030CE0 -:02030D00030DDE -:02030E00030EDC -:02030F00030FDA -:020310000310D8 -:020311000311D6 -:020312000312D4 -:020313000313D2 -:020314000314D0 -:020315000315CE -:020316000316CC -:020317000317CA -:020318000318C8 -:020319000319C6 -:02031A00031AC4 -:02031B00031BC2 -:02031C00031CC0 -:02031D00031DBE -:02031E00031EBC -:02031F00031FBA -:020320000320B8 -:020321000321B6 -:020322000322B4 -:020323000323B2 -:020324000324B0 -:020325000325AE -:020326000326AC -:020327000327AA -:020328000328A8 -:020329000329A6 -:02032A00032AA4 -:02032B00032BA2 -:02032C00032CA0 -:02032D00032D9E -:02032E00032E9C -:02032F00032F9A -:02033000033098 -:02033100033196 -:02033200033294 -:02033300033392 -:02033400033490 -:0203350003358E -:0203360003368C -:0203370003378A -:02033800033888 -:02033900033986 -:02033A00033A84 -:02033B00033B82 -:02033C00033C80 -:02033D00033D7E -:02033E00033E7C -:02033F00033F7A -:02034000034078 -:02034100034176 -:02034200034274 -:02034300034372 -:02034400034470 -:0203450003456E -:0203460003466C -:0203470003476A -:02034800034868 -:02034900034966 -:02034A00034A64 -:02034B00034B62 -:02034C00034C60 -:02034D00034D5E -:02034E00034E5C -:02034F00034F5A -:02035000035058 -:02035100035156 -:02035200035254 -:02035300035352 -:02035400035450 -:0203550003554E -:0203560003564C -:0203570003574A -:02035800035848 -:02035900035946 -:02035A00035A44 -:02035B00035B42 -:02035C00035C40 -:02035D00035D3E -:02035E00035E3C -:02035F00035F3A -:02036000036038 -:02036100036136 -:02036200036234 -:02036300036332 -:02036400036430 -:0203650003652E -:0203660003662C -:0203670003672A -:02036800036828 -:02036900036926 -:02036A00036A24 -:02036B00036B22 -:02036C00036C20 -:02036D00036D1E -:02036E00036E1C -:02036F00036F1A -:02037000037018 -:02037100037116 -:02037200037214 -:02037300037312 -:02037400037410 -:0203750003750E -:0203760003760C -:0203770003770A -:02037800037808 -:02037900037906 -:02037A00037A04 -:02037B00037B02 -:02037C00037C00 -:02037D00037DFE -:02037E00037EFC -:02037F00037FFA -:020380000380F8 -:020381000381F6 -:020382000382F4 -:020383000383F2 -:020384000384F0 -:020385000385EE -:020386000386EC -:020387000387EA -:020388000388E8 -:020389000389E6 -:02038A00038AE4 -:02038B00038BE2 -:02038C00038CE0 -:02038D00038DDE -:02038E00038EDC -:02038F00038FDA -:020390000390D8 -:020391000391D6 -:020392000392D4 -:020393000393D2 -:020394000394D0 -:020395000395CE -:020396000396CC -:020397000397CA -:020398000398C8 -:020399000399C6 -:02039A00039AC4 -:02039B00039BC2 -:02039C00039CC0 -:02039D00039DBE -:02039E00039EBC -:02039F00039FBA -:0203A00003A0B8 -:0203A10003A1B6 -:0203A20003A2B4 -:0203A30003A3B2 -:0203A40003A4B0 -:0203A50003A5AE -:0203A60003A6AC -:0203A70003A7AA -:0203A80003A8A8 -:0203A90003A9A6 -:0203AA0003AAA4 -:0203AB0003ABA2 -:0203AC0003ACA0 -:0203AD0003AD9E -:0203AE0003AE9C -:0203AF0003AF9A -:0203B00003B098 -:0203B10003B196 -:0203B20003B294 -:0203B30003B392 -:0203B40003B490 -:0203B50003B58E -:0203B60003B68C -:0203B70003B78A -:0203B80003B888 -:0203B90003B986 -:0203BA0003BA84 -:0203BB0003BB82 -:0203BC0003BC80 -:0203BD0003BD7E -:0203BE0003BE7C -:0203BF0003BF7A -:0203C00003C078 -:0203C10003C176 -:0203C20003C274 -:0203C30003C372 -:0203C40003C470 -:0203C50003C56E -:0203C60003C66C -:0203C70003C76A -:0203C80003C868 -:0203C90003C966 -:0203CA0003CA64 -:0203CB0003CB62 -:0203CC0003CC60 -:0203CD0003CD5E -:0203CE0003CE5C -:0203CF0003CF5A -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_0.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_0.hex deleted file mode 100644 index 145449c561b53ba41814116745a0fd440801640e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_0.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400010000004000BB -:0400020000004000BA -:0400030000004000B9 -:0400040000004000B8 -:0400050000004000B7 -:0400060000004000B6 -:0400070000004000B5 -:0400080000004000B4 -:0400090000004000B3 -:04000A0000004000B2 -:04000B0000004000B1 -:04000C0000004000B0 -:04000D0000004000AF -:04000E0000004000AE -:04000F0000004000AD -:0400100000004000AC -:0400110000004000AB -:0400120000004000AA -:0400130000004000A9 -:0400140000004000A8 -:0400150000004000A7 -:0400160000004000A6 -:0400170000004000A5 -:0400180000004000A4 -:0400190000004000A3 -:04001A0000004000A2 -:04001B0000004000A1 -:04001C0000004000A0 -:04001D00000040009F -:04001E00000040009E -:04001F00000040009D -:04002000000040009C -:04002100000040009B -:04002200000040009A -:040023000000400099 -:040024000000400098 -:040025000000400097 -:040026000000400096 -:040027000000400095 -:040028000000400094 -:040029000000400093 -:04002A000000400092 -:04002B000000400091 -:04002C000000400090 -:04002D00000040008F -:04002E00000040008E -:04002F00000040008D -:04003000000040008C -:04003100000040008B -:04003200000040008A -:040033000000400089 -:040034000000400088 -:040035000000400087 -:040036000000400086 -:040037000000400085 -:040038000000400084 -:040039000000400083 -:04003A000000400082 -:04003B000000400081 -:04003C000000400080 -:04003D00000040007F -:04003E00000040007E -:04003F00000040007D -:04004000000040007C -:04004100000040007B -:04004200000040007A -:040043000000400079 -:040044000000400078 -:040045000000400077 -:040046000000400076 -:040047000000400075 -:040048000000400074 -:040049000000400073 -:04004A000000400072 -:04004B000000400071 -:04004C000000400070 -:04004D00000040006F -:04004E00000040006E -:04004F00000040006D -:04005000000040006C -:04005100000040006B -:04005200000040006A -:040053000000400069 -:040054000000400068 -:040055000000400067 -:040056000000400066 -:040057000000400065 -:040058000000400064 -:040059000000400063 -:04005A000000400062 -:04005B000000400061 -:04005C000000400060 -:04005D00000040005F -:04005E00000040005E -:04005F00000040005D -:04006000000040005C -:04006100000040005B -:04006200000040005A -:040063000000400059 -:040064000000400058 -:040065000000400057 -:040066000000400056 -:040067000000400055 -:040068000000400054 -:040069000000400053 -:04006A000000400052 -:04006B000000400051 -:04006C000000400050 -:04006D00000040004F -:04006E00000040004E -:04006F00000040004D -:04007000000040004C -:04007100000040004B -:04007200000040004A -:040073000000400049 -:040074000000400048 -:040075000000400047 -:040076000000400046 -:040077000000400045 -:040078000000400044 -:040079000000400043 -:04007A000000400042 -:04007B000000400041 -:04007C000000400040 -:04007D00000040003F -:04007E00000040003E -:04007F00000040003D -:04008000000040003C -:04008100000040003B -:04008200000040003A -:040083000000400039 -:040084000000400038 -:040085000000400037 -:040086000000400036 -:040087000000400035 -:040088000000400034 -:040089000000400033 -:04008A000000400032 -:04008B000000400031 -:04008C000000400030 -:04008D00000040002F -:04008E00000040002E -:04008F00000040002D -:04009000000040002C -:04009100000040002B -:04009200000040002A -:040093000000400029 -:040094000000400028 -:040095000000400027 -:040096000000400026 -:040097000000400025 -:040098000000400024 -:040099000000400023 -:04009A000000400022 -:04009B000000400021 -:04009C000000400020 -:04009D00000040001F -:04009E00000040001E -:04009F00000040001D -:0400A000000040001C -:0400A100000040001B -:0400A200000040001A -:0400A3000000400019 -:0400A4000000400018 -:0400A5000000400017 -:0400A6000000400016 -:0400A7000000400015 -:0400A8000000400014 -:0400A9000000400013 -:0400AA000000400012 -:0400AB000000400011 -:0400AC000000400010 -:0400AD00000040000F -:0400AE00000040000E -:0400AF00000040000D -:0400B000000040000C -:0400B100000040000B -:0400B200000040000A -:0400B3000000400009 -:0400B4000000400008 -:0400B5000000400007 -:0400B6000000400006 -:0400B7000000400005 -:0400B8000000400004 -:0400B9000000400003 -:0400BA000000400002 -:0400BB000000400001 -:0400BC000000400000 -:0400BD0000004000FF -:0400BE0000004000FE -:0400BF0000004000FD -:0400C00000004000FC -:0400C10000004000FB -:0400C20000004000FA -:0400C30000004000F9 -:0400C40000004000F8 -:0400C50000004000F7 -:0400C60000004000F6 -:0400C70000004000F5 -:0400C80000004000F4 -:0400C90000004000F3 -:0400CA0000004000F2 -:0400CB0000004000F1 -:0400CC0000004000F0 -:0400CD0000004000EF -:0400CE0000004000EE -:0400CF0000004000ED -:0400D00000004000EC -:0400D10000004000EB -:0400D20000004000EA -:0400D30000004000E9 -:0400D40000004000E8 -:0400D50000004000E7 -:0400D60000004000E6 -:0400D70000004000E5 -:0400D80000004000E4 -:0400D90000004000E3 -:0400DA0000004000E2 -:0400DB0000004000E1 -:0400DC0000004000E0 -:0400DD0000004000DF -:0400DE0000004000DE -:0400DF0000004000DD -:0400E00000004000DC -:0400E10000004000DB -:0400E20000004000DA -:0400E30000004000D9 -:0400E40000004000D8 -:0400E50000004000D7 -:0400E60000004000D6 -:0400E70000004000D5 -:0400E80000004000D4 -:0400E90000004000D3 -:0400EA0000004000D2 -:0400EB0000004000D1 -:0400EC0000004000D0 -:0400ED0000004000CF -:0400EE0000004000CE -:0400EF0000004000CD -:0400F00000004000CC -:0400F10000004000CB -:0400F20000004000CA -:0400F30000004000C9 -:0400F40000004000C8 -:0400F50000004000C7 -:0400F60000004000C6 -:0400F70000004000C5 -:0400F80000004000C4 -:0400F90000004000C3 -:0400FA0000004000C2 -:0400FB0000004000C1 -:0400FC0000004000C0 -:0400FD0000004000BF -:0400FE0000004000BE -:0400FF0000004000BD -:0401000000004000BB -:0401010000004000BA -:0401020000004000B9 -:0401030000004000B8 -:0401040000004000B7 -:0401050000004000B6 -:0401060000004000B5 -:0401070000004000B4 -:0401080000004000B3 -:0401090000004000B2 -:04010A0000004000B1 -:04010B0000004000B0 -:04010C0000004000AF -:04010D0000004000AE -:04010E0000004000AD -:04010F0000004000AC -:0401100000004000AB -:0401110000004000AA -:0401120000004000A9 -:0401130000004000A8 -:0401140000004000A7 -:0401150000004000A6 -:0401160000004000A5 -:0401170000004000A4 -:0401180000004000A3 -:0401190000004000A2 -:04011A0000004000A1 -:04011B0000004000A0 -:04011C00000040009F -:04011D00000040009E -:04011E00000040009D -:04011F00000040009C -:04012000000040009B -:04012100000040009A -:040122000000400099 -:040123000000400098 -:040124000000400097 -:040125000000400096 -:040126000000400095 -:040127000000400094 -:040128000000400093 -:040129000000400092 -:04012A000000400091 -:04012B000000400090 -:04012C00000040008F -:04012D00000040008E -:04012E00000040008D -:04012F00000040008C -:04013000000040008B -:04013100000040008A -:040132000000400089 -:040133000000400088 -:040134000000400087 -:040135000000400086 -:040136000000400085 -:040137000000400084 -:040138000000400083 -:040139000000400082 -:04013A000000400081 -:04013B000000400080 -:04013C00000040007F -:04013D00000040007E -:04013E00000040007D -:04013F00000040007C -:04014000000040007B -:04014100000040007A -:040142000000400079 -:040143000000400078 -:040144000000400077 -:040145000000400076 -:040146000000400075 -:040147000000400074 -:040148000000400073 -:040149000000400072 -:04014A000000400071 -:04014B000000400070 -:04014C00000040006F -:04014D00000040006E -:04014E00000040006D -:04014F00000040006C -:04015000000040006B -:04015100000040006A -:040152000000400069 -:040153000000400068 -:040154000000400067 -:040155000000400066 -:040156000000400065 -:040157000000400064 -:040158000000400063 -:040159000000400062 -:04015A000000400061 -:04015B000000400060 -:04015C00000040005F -:04015D00000040005E -:04015E00000040005D -:04015F00000040005C -:04016000000040005B -:04016100000040005A -:040162000000400059 -:040163000000400058 -:040164000000400057 -:040165000000400056 -:040166000000400055 -:040167000000400054 -:040168000000400053 -:040169000000400052 -:04016A000000400051 -:04016B000000400050 -:04016C00000040004F -:04016D00000040004E -:04016E00000040004D -:04016F00000040004C -:04017000000040004B -:04017100000040004A -:040172000000400049 -:040173000000400048 -:040174000000400047 -:040175000000400046 -:040176000000400045 -:040177000000400044 -:040178000000400043 -:040179000000400042 -:04017A000000400041 -:04017B000000400040 -:04017C00000040003F -:04017D00000040003E -:04017E00000040003D -:04017F00000040003C -:04018000000040003B -:04018100000040003A -:040182000000400039 -:040183000000400038 -:040184000000400037 -:040185000000400036 -:040186000000400035 -:040187000000400034 -:040188000000400033 -:040189000000400032 -:04018A000000400031 -:04018B000000400030 -:04018C00000040002F -:04018D00000040002E -:04018E00000040002D -:04018F00000040002C -:04019000000040002B -:04019100000040002A -:040192000000400029 -:040193000000400028 -:040194000000400027 -:040195000000400026 -:040196000000400025 -:040197000000400024 -:040198000000400023 -:040199000000400022 -:04019A000000400021 -:04019B000000400020 -:04019C00000040001F -:04019D00000040001E -:04019E00000040001D -:04019F00000040001C -:0401A000000040001B -:0401A100000040001A -:0401A2000000400019 -:0401A3000000400018 -:0401A4000000400017 -:0401A5000000400016 -:0401A6000000400015 -:0401A7000000400014 -:0401A8000000400013 -:0401A9000000400012 -:0401AA000000400011 -:0401AB000000400010 -:0401AC00000040000F -:0401AD00000040000E -:0401AE00000040000D -:0401AF00000040000C -:0401B000000040000B -:0401B100000040000A -:0401B2000000400009 -:0401B3000000400008 -:0401B4000000400007 -:0401B5000000400006 -:0401B6000000400005 -:0401B7000000400004 -:0401B8000000400003 -:0401B9000000400002 -:0401BA000000400001 -:0401BB000000400000 -:0401BC0000004000FF -:0401BD0000004000FE -:0401BE0000004000FD -:0401BF0000004000FC -:0401C00000004000FB -:0401C10000004000FA -:0401C20000004000F9 -:0401C30000004000F8 -:0401C40000004000F7 -:0401C50000004000F6 -:0401C60000004000F5 -:0401C70000004000F4 -:0401C80000004000F3 -:0401C90000004000F2 -:0401CA0000004000F1 -:0401CB0000004000F0 -:0401CC0000004000EF -:0401CD0000004000EE -:0401CE0000004000ED -:0401CF0000004000EC -:0401D00000004000EB -:0401D10000004000EA -:0401D20000004000E9 -:0401D30000004000E8 -:0401D40000004000E7 -:0401D50000004000E6 -:0401D60000004000E5 -:0401D70000004000E4 -:0401D80000004000E3 -:0401D90000004000E2 -:0401DA0000004000E1 -:0401DB0000004000E0 -:0401DC0000004000DF -:0401DD0000004000DE -:0401DE0000004000DD -:0401DF0000004000DC -:0401E00000004000DB -:0401E10000004000DA -:0401E20000004000D9 -:0401E30000004000D8 -:0401E40000004000D7 -:0401E50000004000D6 -:0401E60000004000D5 -:0401E70000004000D4 -:0401E80000004000D3 -:0401E90000004000D2 -:0401EA0000004000D1 -:0401EB0000004000D0 -:0401EC0000004000CF -:0401ED0000004000CE -:0401EE0000004000CD -:0401EF0000004000CC -:0401F00000004000CB -:0401F10000004000CA -:0401F20000004000C9 -:0401F30000004000C8 -:0401F40000004000C7 -:0401F50000004000C6 -:0401F60000004000C5 -:0401F70000004000C4 -:0401F80000004000C3 -:0401F90000004000C2 -:0401FA0000004000C1 -:0401FB0000004000C0 -:0401FC0000004000BF -:0401FD0000004000BE -:0401FE0000004000BD -:0401FF0000004000BC -:0402000000004000BA -:0402010000004000B9 -:0402020000004000B8 -:0402030000004000B7 -:0402040000004000B6 -:0402050000004000B5 -:0402060000004000B4 -:0402070000004000B3 -:0402080000004000B2 -:0402090000004000B1 -:04020A0000004000B0 -:04020B0000004000AF -:04020C0000004000AE -:04020D0000004000AD -:04020E0000004000AC -:04020F0000004000AB -:0402100000004000AA -:0402110000004000A9 -:0402120000004000A8 -:0402130000004000A7 -:0402140000004000A6 -:0402150000004000A5 -:0402160000004000A4 -:0402170000004000A3 -:0402180000004000A2 -:0402190000004000A1 -:04021A0000004000A0 -:04021B00000040009F -:04021C00000040009E -:04021D00000040009D -:04021E00000040009C -:04021F00000040009B -:04022000000040009A -:040221000000400099 -:040222000000400098 -:040223000000400097 -:040224000000400096 -:040225000000400095 -:040226000000400094 -:040227000000400093 -:040228000000400092 -:040229000000400091 -:04022A000000400090 -:04022B00000040008F -:04022C00000040008E -:04022D00000040008D -:04022E00000040008C -:04022F00000040008B -:04023000000040008A -:040231000000400089 -:040232000000400088 -:040233000000400087 -:040234000000400086 -:040235000000400085 -:040236000000400084 -:040237000000400083 -:040238000000400082 -:040239000000400081 -:04023A000000400080 -:04023B00000040007F -:04023C00000040007E -:04023D00000040007D -:04023E00000040007C -:04023F00000040007B -:04024000000040007A -:040241000000400079 -:040242000000400078 -:040243000000400077 -:040244000000400076 -:040245000000400075 -:040246000000400074 -:040247000000400073 -:040248000000400072 -:040249000000400071 -:04024A000000400070 -:04024B00000040006F -:04024C00000040006E -:04024D00000040006D -:04024E00000040006C -:04024F00000040006B -:04025000000040006A -:040251000000400069 -:040252000000400068 -:040253000000400067 -:040254000000400066 -:040255000000400065 -:040256000000400064 -:040257000000400063 -:040258000000400062 -:040259000000400061 -:04025A000000400060 -:04025B00000040005F -:04025C00000040005E -:04025D00000040005D -:04025E00000040005C -:04025F00000040005B -:04026000000040005A -:040261000000400059 -:040262000000400058 -:040263000000400057 -:040264000000400056 -:040265000000400055 -:040266000000400054 -:040267000000400053 -:040268000000400052 -:040269000000400051 -:04026A000000400050 -:04026B00000040004F -:04026C00000040004E -:04026D00000040004D -:04026E00000040004C -:04026F00000040004B -:04027000000040004A -:040271000000400049 -:040272000000400048 -:040273000000400047 -:040274000000400046 -:040275000000400045 -:040276000000400044 -:040277000000400043 -:040278000000400042 -:040279000000400041 -:04027A000000400040 -:04027B00000040003F -:04027C00000040003E -:04027D00000040003D -:04027E00000040003C -:04027F00000040003B -:04028000000040003A -:040281000000400039 -:040282000000400038 -:040283000000400037 -:040284000000400036 -:040285000000400035 -:040286000000400034 -:040287000000400033 -:040288000000400032 -:040289000000400031 -:04028A000000400030 -:04028B00000040002F -:04028C00000040002E -:04028D00000040002D -:04028E00000040002C -:04028F00000040002B -:04029000000040002A -:040291000000400029 -:040292000000400028 -:040293000000400027 -:040294000000400026 -:040295000000400025 -:040296000000400024 -:040297000000400023 -:040298000000400022 -:040299000000400021 -:04029A000000400020 -:04029B00000040001F -:04029C00000040001E -:04029D00000040001D -:04029E00000040001C -:04029F00000040001B -:0402A000000040001A -:0402A1000000400019 -:0402A2000000400018 -:0402A3000000400017 -:0402A4000000400016 -:0402A5000000400015 -:0402A6000000400014 -:0402A7000000400013 -:0402A8000000400012 -:0402A9000000400011 -:0402AA000000400010 -:0402AB00000040000F -:0402AC00000040000E -:0402AD00000040000D -:0402AE00000040000C -:0402AF00000040000B -:0402B000000040000A -:0402B1000000400009 -:0402B2000000400008 -:0402B3000000400007 -:0402B4000000400006 -:0402B5000000400005 -:0402B6000000400004 -:0402B7000000400003 -:0402B8000000400002 -:0402B9000000400001 -:0402BA000000400000 -:0402BB0000004000FF -:0402BC0000004000FE -:0402BD0000004000FD -:0402BE0000004000FC -:0402BF0000004000FB -:0402C00000004000FA -:0402C10000004000F9 -:0402C20000004000F8 -:0402C30000004000F7 -:0402C40000004000F6 -:0402C50000004000F5 -:0402C60000004000F4 -:0402C70000004000F3 -:0402C80000004000F2 -:0402C90000004000F1 -:0402CA0000004000F0 -:0402CB0000004000EF -:0402CC0000004000EE -:0402CD0000004000ED -:0402CE0000004000EC -:0402CF0000004000EB -:0402D00000004000EA -:0402D10000004000E9 -:0402D20000004000E8 -:0402D30000004000E7 -:0402D40000004000E6 -:0402D50000004000E5 -:0402D60000004000E4 -:0402D70000004000E3 -:0402D80000004000E2 -:0402D90000004000E1 -:0402DA0000004000E0 -:0402DB0000004000DF -:0402DC0000004000DE -:0402DD0000004000DD -:0402DE0000004000DC -:0402DF0000004000DB -:0402E00000004000DA -:0402E10000004000D9 -:0402E20000004000D8 -:0402E30000004000D7 -:0402E40000004000D6 -:0402E50000004000D5 -:0402E60000004000D4 -:0402E70000004000D3 -:0402E80000004000D2 -:0402E90000004000D1 -:0402EA0000004000D0 -:0402EB0000004000CF -:0402EC0000004000CE -:0402ED0000004000CD -:0402EE0000004000CC -:0402EF0000004000CB -:0402F00000004000CA -:0402F10000004000C9 -:0402F20000004000C8 -:0402F30000004000C7 -:0402F40000004000C6 -:0402F50000004000C5 -:0402F60000004000C4 -:0402F70000004000C3 -:0402F80000004000C2 -:0402F90000004000C1 -:0402FA0000004000C0 -:0402FB0000004000BF -:0402FC0000004000BE -:0402FD0000004000BD -:0402FE0000004000BC -:0402FF0000004000BB -:0403000000004000B9 -:0403010000004000B8 -:0403020000004000B7 -:0403030000004000B6 -:0403040000004000B5 -:0403050000004000B4 -:0403060000004000B3 -:0403070000004000B2 -:0403080000004000B1 -:0403090000004000B0 -:04030A0000004000AF -:04030B0000004000AE -:04030C0000004000AD -:04030D0000004000AC -:04030E0000004000AB -:04030F0000004000AA -:0403100000004000A9 -:0403110000004000A8 -:0403120000004000A7 -:0403130000004000A6 -:0403140000004000A5 -:0403150000004000A4 -:0403160000004000A3 -:0403170000004000A2 -:0403180000004000A1 -:0403190000004000A0 -:04031A00000040009F -:04031B00000040009E -:04031C00000040009D -:04031D00000040009C -:04031E00000040009B -:04031F00000040009A -:040320000000400099 -:040321000000400098 -:040322000000400097 -:040323000000400096 -:040324000000400095 -:040325000000400094 -:040326000000400093 -:040327000000400092 -:040328000000400091 -:040329000000400090 -:04032A00000040008F -:04032B00000040008E -:04032C00000040008D -:04032D00000040008C -:04032E00000040008B -:04032F00000040008A -:040330000000400089 -:040331000000400088 -:040332000000400087 -:040333000000400086 -:040334000000400085 -:040335000000400084 -:040336000000400083 -:040337000000400082 -:040338000000400081 -:040339000000400080 -:04033A00000040007F -:04033B00000040007E -:04033C00000040007D -:04033D00000040007C -:04033E00000040007B -:04033F00000040007A -:040340000000400079 -:040341000000400078 -:040342000000400077 -:040343000000400076 -:040344000000400075 -:040345000000400074 -:040346000000400073 -:040347000000400072 -:040348000000400071 -:040349000000400070 -:04034A00000040006F -:04034B00000040006E -:04034C00000040006D -:04034D00000040006C -:04034E00000040006B -:04034F00000040006A -:040350000000400069 -:040351000000400068 -:040352000000400067 -:040353000000400066 -:040354000000400065 -:040355000000400064 -:040356000000400063 -:040357000000400062 -:040358000000400061 -:040359000000400060 -:04035A00000040005F -:04035B00000040005E -:04035C00000040005D -:04035D00000040005C -:04035E00000040005B -:04035F00000040005A -:040360000000400059 -:040361000000400058 -:040362000000400057 -:040363000000400056 -:040364000000400055 -:040365000000400054 -:040366000000400053 -:040367000000400052 -:040368000000400051 -:040369000000400050 -:04036A00000040004F -:04036B00000040004E -:04036C00000040004D -:04036D00000040004C -:04036E00000040004B -:04036F00000040004A -:040370000000400049 -:040371000000400048 -:040372000000400047 -:040373000000400046 -:040374000000400045 -:040375000000400044 -:040376000000400043 -:040377000000400042 -:040378000000400041 -:040379000000400040 -:04037A00000040003F -:04037B00000040003E -:04037C00000040003D -:04037D00000040003C -:04037E00000040003B -:04037F00000040003A -:040380000000400039 -:040381000000400038 -:040382000000400037 -:040383000000400036 -:040384000000400035 -:040385000000400034 -:040386000000400033 -:040387000000400032 -:040388000000400031 -:040389000000400030 -:04038A00000040002F -:04038B00000040002E -:04038C00000040002D -:04038D00000040002C -:04038E00000040002B -:04038F00000040002A -:040390000000400029 -:040391000000400028 -:040392000000400027 -:040393000000400026 -:040394000000400025 -:040395000000400024 -:040396000000400023 -:040397000000400022 -:040398000000400021 -:040399000000400020 -:04039A00000040001F -:04039B00000040001E -:04039C00000040001D -:04039D00000040001C -:04039E00000040001B -:04039F00000040001A -:0403A0000000400019 -:0403A1000000400018 -:0403A2000000400017 -:0403A3000000400016 -:0403A4000000400015 -:0403A5000000400014 -:0403A6000000400013 -:0403A7000000400012 -:0403A8000000400011 -:0403A9000000400010 -:0403AA00000040000F -:0403AB00000040000E -:0403AC00000040000D -:0403AD00000040000C -:0403AE00000040000B -:0403AF00000040000A -:0403B0000000400009 -:0403B1000000400008 -:0403B2000000400007 -:0403B3000000400006 -:0403B4000000400005 -:0403B5000000400004 -:0403B6000000400003 -:0403B7000000400002 -:0403B8000000400001 -:0403B9000000400000 -:0403BA0000004000FF -:0403BB0000004000FE -:0403BC0000004000FD -:0403BD0000004000FC -:0403BE0000004000FB -:0403BF0000004000FA -:0403C00000004000F9 -:0403C10000004000F8 -:0403C20000004000F7 -:0403C30000004000F6 -:0403C40000004000F5 -:0403C50000004000F4 -:0403C60000004000F3 -:0403C70000004000F2 -:0403C80000004000F1 -:0403C90000004000F0 -:0403CA0000004000EF -:0403CB0000004000EE -:0403CC0000004000ED -:0403CD0000004000EC -:0403CE0000004000EB -:0403CF0000004000EA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_1.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_1.hex deleted file mode 100644 index 145449c561b53ba41814116745a0fd440801640e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_1.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400010000004000BB -:0400020000004000BA -:0400030000004000B9 -:0400040000004000B8 -:0400050000004000B7 -:0400060000004000B6 -:0400070000004000B5 -:0400080000004000B4 -:0400090000004000B3 -:04000A0000004000B2 -:04000B0000004000B1 -:04000C0000004000B0 -:04000D0000004000AF -:04000E0000004000AE -:04000F0000004000AD -:0400100000004000AC -:0400110000004000AB -:0400120000004000AA -:0400130000004000A9 -:0400140000004000A8 -:0400150000004000A7 -:0400160000004000A6 -:0400170000004000A5 -:0400180000004000A4 -:0400190000004000A3 -:04001A0000004000A2 -:04001B0000004000A1 -:04001C0000004000A0 -:04001D00000040009F -:04001E00000040009E -:04001F00000040009D -:04002000000040009C -:04002100000040009B -:04002200000040009A -:040023000000400099 -:040024000000400098 -:040025000000400097 -:040026000000400096 -:040027000000400095 -:040028000000400094 -:040029000000400093 -:04002A000000400092 -:04002B000000400091 -:04002C000000400090 -:04002D00000040008F -:04002E00000040008E -:04002F00000040008D -:04003000000040008C -:04003100000040008B -:04003200000040008A -:040033000000400089 -:040034000000400088 -:040035000000400087 -:040036000000400086 -:040037000000400085 -:040038000000400084 -:040039000000400083 -:04003A000000400082 -:04003B000000400081 -:04003C000000400080 -:04003D00000040007F -:04003E00000040007E -:04003F00000040007D -:04004000000040007C -:04004100000040007B -:04004200000040007A -:040043000000400079 -:040044000000400078 -:040045000000400077 -:040046000000400076 -:040047000000400075 -:040048000000400074 -:040049000000400073 -:04004A000000400072 -:04004B000000400071 -:04004C000000400070 -:04004D00000040006F -:04004E00000040006E -:04004F00000040006D -:04005000000040006C -:04005100000040006B -:04005200000040006A -:040053000000400069 -:040054000000400068 -:040055000000400067 -:040056000000400066 -:040057000000400065 -:040058000000400064 -:040059000000400063 -:04005A000000400062 -:04005B000000400061 -:04005C000000400060 -:04005D00000040005F -:04005E00000040005E -:04005F00000040005D -:04006000000040005C -:04006100000040005B -:04006200000040005A -:040063000000400059 -:040064000000400058 -:040065000000400057 -:040066000000400056 -:040067000000400055 -:040068000000400054 -:040069000000400053 -:04006A000000400052 -:04006B000000400051 -:04006C000000400050 -:04006D00000040004F -:04006E00000040004E -:04006F00000040004D -:04007000000040004C -:04007100000040004B -:04007200000040004A -:040073000000400049 -:040074000000400048 -:040075000000400047 -:040076000000400046 -:040077000000400045 -:040078000000400044 -:040079000000400043 -:04007A000000400042 -:04007B000000400041 -:04007C000000400040 -:04007D00000040003F -:04007E00000040003E -:04007F00000040003D -:04008000000040003C -:04008100000040003B -:04008200000040003A -:040083000000400039 -:040084000000400038 -:040085000000400037 -:040086000000400036 -:040087000000400035 -:040088000000400034 -:040089000000400033 -:04008A000000400032 -:04008B000000400031 -:04008C000000400030 -:04008D00000040002F -:04008E00000040002E -:04008F00000040002D -:04009000000040002C -:04009100000040002B -:04009200000040002A -:040093000000400029 -:040094000000400028 -:040095000000400027 -:040096000000400026 -:040097000000400025 -:040098000000400024 -:040099000000400023 -:04009A000000400022 -:04009B000000400021 -:04009C000000400020 -:04009D00000040001F -:04009E00000040001E -:04009F00000040001D -:0400A000000040001C -:0400A100000040001B -:0400A200000040001A -:0400A3000000400019 -:0400A4000000400018 -:0400A5000000400017 -:0400A6000000400016 -:0400A7000000400015 -:0400A8000000400014 -:0400A9000000400013 -:0400AA000000400012 -:0400AB000000400011 -:0400AC000000400010 -:0400AD00000040000F -:0400AE00000040000E -:0400AF00000040000D -:0400B000000040000C -:0400B100000040000B -:0400B200000040000A -:0400B3000000400009 -:0400B4000000400008 -:0400B5000000400007 -:0400B6000000400006 -:0400B7000000400005 -:0400B8000000400004 -:0400B9000000400003 -:0400BA000000400002 -:0400BB000000400001 -:0400BC000000400000 -:0400BD0000004000FF -:0400BE0000004000FE -:0400BF0000004000FD -:0400C00000004000FC -:0400C10000004000FB -:0400C20000004000FA -:0400C30000004000F9 -:0400C40000004000F8 -:0400C50000004000F7 -:0400C60000004000F6 -:0400C70000004000F5 -:0400C80000004000F4 -:0400C90000004000F3 -:0400CA0000004000F2 -:0400CB0000004000F1 -:0400CC0000004000F0 -:0400CD0000004000EF -:0400CE0000004000EE -:0400CF0000004000ED -:0400D00000004000EC -:0400D10000004000EB -:0400D20000004000EA -:0400D30000004000E9 -:0400D40000004000E8 -:0400D50000004000E7 -:0400D60000004000E6 -:0400D70000004000E5 -:0400D80000004000E4 -:0400D90000004000E3 -:0400DA0000004000E2 -:0400DB0000004000E1 -:0400DC0000004000E0 -:0400DD0000004000DF -:0400DE0000004000DE -:0400DF0000004000DD -:0400E00000004000DC -:0400E10000004000DB -:0400E20000004000DA -:0400E30000004000D9 -:0400E40000004000D8 -:0400E50000004000D7 -:0400E60000004000D6 -:0400E70000004000D5 -:0400E80000004000D4 -:0400E90000004000D3 -:0400EA0000004000D2 -:0400EB0000004000D1 -:0400EC0000004000D0 -:0400ED0000004000CF -:0400EE0000004000CE -:0400EF0000004000CD -:0400F00000004000CC -:0400F10000004000CB -:0400F20000004000CA -:0400F30000004000C9 -:0400F40000004000C8 -:0400F50000004000C7 -:0400F60000004000C6 -:0400F70000004000C5 -:0400F80000004000C4 -:0400F90000004000C3 -:0400FA0000004000C2 -:0400FB0000004000C1 -:0400FC0000004000C0 -:0400FD0000004000BF -:0400FE0000004000BE -:0400FF0000004000BD -:0401000000004000BB -:0401010000004000BA -:0401020000004000B9 -:0401030000004000B8 -:0401040000004000B7 -:0401050000004000B6 -:0401060000004000B5 -:0401070000004000B4 -:0401080000004000B3 -:0401090000004000B2 -:04010A0000004000B1 -:04010B0000004000B0 -:04010C0000004000AF -:04010D0000004000AE -:04010E0000004000AD -:04010F0000004000AC -:0401100000004000AB -:0401110000004000AA -:0401120000004000A9 -:0401130000004000A8 -:0401140000004000A7 -:0401150000004000A6 -:0401160000004000A5 -:0401170000004000A4 -:0401180000004000A3 -:0401190000004000A2 -:04011A0000004000A1 -:04011B0000004000A0 -:04011C00000040009F -:04011D00000040009E -:04011E00000040009D -:04011F00000040009C -:04012000000040009B -:04012100000040009A -:040122000000400099 -:040123000000400098 -:040124000000400097 -:040125000000400096 -:040126000000400095 -:040127000000400094 -:040128000000400093 -:040129000000400092 -:04012A000000400091 -:04012B000000400090 -:04012C00000040008F -:04012D00000040008E -:04012E00000040008D -:04012F00000040008C -:04013000000040008B -:04013100000040008A -:040132000000400089 -:040133000000400088 -:040134000000400087 -:040135000000400086 -:040136000000400085 -:040137000000400084 -:040138000000400083 -:040139000000400082 -:04013A000000400081 -:04013B000000400080 -:04013C00000040007F -:04013D00000040007E -:04013E00000040007D -:04013F00000040007C -:04014000000040007B -:04014100000040007A -:040142000000400079 -:040143000000400078 -:040144000000400077 -:040145000000400076 -:040146000000400075 -:040147000000400074 -:040148000000400073 -:040149000000400072 -:04014A000000400071 -:04014B000000400070 -:04014C00000040006F -:04014D00000040006E -:04014E00000040006D -:04014F00000040006C -:04015000000040006B -:04015100000040006A -:040152000000400069 -:040153000000400068 -:040154000000400067 -:040155000000400066 -:040156000000400065 -:040157000000400064 -:040158000000400063 -:040159000000400062 -:04015A000000400061 -:04015B000000400060 -:04015C00000040005F -:04015D00000040005E -:04015E00000040005D -:04015F00000040005C -:04016000000040005B -:04016100000040005A -:040162000000400059 -:040163000000400058 -:040164000000400057 -:040165000000400056 -:040166000000400055 -:040167000000400054 -:040168000000400053 -:040169000000400052 -:04016A000000400051 -:04016B000000400050 -:04016C00000040004F -:04016D00000040004E -:04016E00000040004D -:04016F00000040004C -:04017000000040004B -:04017100000040004A -:040172000000400049 -:040173000000400048 -:040174000000400047 -:040175000000400046 -:040176000000400045 -:040177000000400044 -:040178000000400043 -:040179000000400042 -:04017A000000400041 -:04017B000000400040 -:04017C00000040003F -:04017D00000040003E -:04017E00000040003D -:04017F00000040003C -:04018000000040003B -:04018100000040003A -:040182000000400039 -:040183000000400038 -:040184000000400037 -:040185000000400036 -:040186000000400035 -:040187000000400034 -:040188000000400033 -:040189000000400032 -:04018A000000400031 -:04018B000000400030 -:04018C00000040002F -:04018D00000040002E -:04018E00000040002D -:04018F00000040002C -:04019000000040002B -:04019100000040002A -:040192000000400029 -:040193000000400028 -:040194000000400027 -:040195000000400026 -:040196000000400025 -:040197000000400024 -:040198000000400023 -:040199000000400022 -:04019A000000400021 -:04019B000000400020 -:04019C00000040001F -:04019D00000040001E -:04019E00000040001D -:04019F00000040001C -:0401A000000040001B -:0401A100000040001A -:0401A2000000400019 -:0401A3000000400018 -:0401A4000000400017 -:0401A5000000400016 -:0401A6000000400015 -:0401A7000000400014 -:0401A8000000400013 -:0401A9000000400012 -:0401AA000000400011 -:0401AB000000400010 -:0401AC00000040000F -:0401AD00000040000E -:0401AE00000040000D -:0401AF00000040000C -:0401B000000040000B -:0401B100000040000A -:0401B2000000400009 -:0401B3000000400008 -:0401B4000000400007 -:0401B5000000400006 -:0401B6000000400005 -:0401B7000000400004 -:0401B8000000400003 -:0401B9000000400002 -:0401BA000000400001 -:0401BB000000400000 -:0401BC0000004000FF -:0401BD0000004000FE -:0401BE0000004000FD -:0401BF0000004000FC -:0401C00000004000FB -:0401C10000004000FA -:0401C20000004000F9 -:0401C30000004000F8 -:0401C40000004000F7 -:0401C50000004000F6 -:0401C60000004000F5 -:0401C70000004000F4 -:0401C80000004000F3 -:0401C90000004000F2 -:0401CA0000004000F1 -:0401CB0000004000F0 -:0401CC0000004000EF -:0401CD0000004000EE -:0401CE0000004000ED -:0401CF0000004000EC -:0401D00000004000EB -:0401D10000004000EA -:0401D20000004000E9 -:0401D30000004000E8 -:0401D40000004000E7 -:0401D50000004000E6 -:0401D60000004000E5 -:0401D70000004000E4 -:0401D80000004000E3 -:0401D90000004000E2 -:0401DA0000004000E1 -:0401DB0000004000E0 -:0401DC0000004000DF -:0401DD0000004000DE -:0401DE0000004000DD -:0401DF0000004000DC -:0401E00000004000DB -:0401E10000004000DA -:0401E20000004000D9 -:0401E30000004000D8 -:0401E40000004000D7 -:0401E50000004000D6 -:0401E60000004000D5 -:0401E70000004000D4 -:0401E80000004000D3 -:0401E90000004000D2 -:0401EA0000004000D1 -:0401EB0000004000D0 -:0401EC0000004000CF -:0401ED0000004000CE -:0401EE0000004000CD -:0401EF0000004000CC -:0401F00000004000CB -:0401F10000004000CA -:0401F20000004000C9 -:0401F30000004000C8 -:0401F40000004000C7 -:0401F50000004000C6 -:0401F60000004000C5 -:0401F70000004000C4 -:0401F80000004000C3 -:0401F90000004000C2 -:0401FA0000004000C1 -:0401FB0000004000C0 -:0401FC0000004000BF -:0401FD0000004000BE -:0401FE0000004000BD -:0401FF0000004000BC -:0402000000004000BA -:0402010000004000B9 -:0402020000004000B8 -:0402030000004000B7 -:0402040000004000B6 -:0402050000004000B5 -:0402060000004000B4 -:0402070000004000B3 -:0402080000004000B2 -:0402090000004000B1 -:04020A0000004000B0 -:04020B0000004000AF -:04020C0000004000AE -:04020D0000004000AD -:04020E0000004000AC -:04020F0000004000AB -:0402100000004000AA -:0402110000004000A9 -:0402120000004000A8 -:0402130000004000A7 -:0402140000004000A6 -:0402150000004000A5 -:0402160000004000A4 -:0402170000004000A3 -:0402180000004000A2 -:0402190000004000A1 -:04021A0000004000A0 -:04021B00000040009F -:04021C00000040009E -:04021D00000040009D -:04021E00000040009C -:04021F00000040009B -:04022000000040009A -:040221000000400099 -:040222000000400098 -:040223000000400097 -:040224000000400096 -:040225000000400095 -:040226000000400094 -:040227000000400093 -:040228000000400092 -:040229000000400091 -:04022A000000400090 -:04022B00000040008F -:04022C00000040008E -:04022D00000040008D -:04022E00000040008C -:04022F00000040008B -:04023000000040008A -:040231000000400089 -:040232000000400088 -:040233000000400087 -:040234000000400086 -:040235000000400085 -:040236000000400084 -:040237000000400083 -:040238000000400082 -:040239000000400081 -:04023A000000400080 -:04023B00000040007F -:04023C00000040007E -:04023D00000040007D -:04023E00000040007C -:04023F00000040007B -:04024000000040007A -:040241000000400079 -:040242000000400078 -:040243000000400077 -:040244000000400076 -:040245000000400075 -:040246000000400074 -:040247000000400073 -:040248000000400072 -:040249000000400071 -:04024A000000400070 -:04024B00000040006F -:04024C00000040006E -:04024D00000040006D -:04024E00000040006C -:04024F00000040006B -:04025000000040006A -:040251000000400069 -:040252000000400068 -:040253000000400067 -:040254000000400066 -:040255000000400065 -:040256000000400064 -:040257000000400063 -:040258000000400062 -:040259000000400061 -:04025A000000400060 -:04025B00000040005F -:04025C00000040005E -:04025D00000040005D -:04025E00000040005C -:04025F00000040005B -:04026000000040005A -:040261000000400059 -:040262000000400058 -:040263000000400057 -:040264000000400056 -:040265000000400055 -:040266000000400054 -:040267000000400053 -:040268000000400052 -:040269000000400051 -:04026A000000400050 -:04026B00000040004F -:04026C00000040004E -:04026D00000040004D -:04026E00000040004C -:04026F00000040004B -:04027000000040004A -:040271000000400049 -:040272000000400048 -:040273000000400047 -:040274000000400046 -:040275000000400045 -:040276000000400044 -:040277000000400043 -:040278000000400042 -:040279000000400041 -:04027A000000400040 -:04027B00000040003F -:04027C00000040003E -:04027D00000040003D -:04027E00000040003C -:04027F00000040003B -:04028000000040003A -:040281000000400039 -:040282000000400038 -:040283000000400037 -:040284000000400036 -:040285000000400035 -:040286000000400034 -:040287000000400033 -:040288000000400032 -:040289000000400031 -:04028A000000400030 -:04028B00000040002F -:04028C00000040002E -:04028D00000040002D -:04028E00000040002C -:04028F00000040002B -:04029000000040002A -:040291000000400029 -:040292000000400028 -:040293000000400027 -:040294000000400026 -:040295000000400025 -:040296000000400024 -:040297000000400023 -:040298000000400022 -:040299000000400021 -:04029A000000400020 -:04029B00000040001F -:04029C00000040001E -:04029D00000040001D -:04029E00000040001C -:04029F00000040001B -:0402A000000040001A -:0402A1000000400019 -:0402A2000000400018 -:0402A3000000400017 -:0402A4000000400016 -:0402A5000000400015 -:0402A6000000400014 -:0402A7000000400013 -:0402A8000000400012 -:0402A9000000400011 -:0402AA000000400010 -:0402AB00000040000F -:0402AC00000040000E -:0402AD00000040000D -:0402AE00000040000C -:0402AF00000040000B -:0402B000000040000A -:0402B1000000400009 -:0402B2000000400008 -:0402B3000000400007 -:0402B4000000400006 -:0402B5000000400005 -:0402B6000000400004 -:0402B7000000400003 -:0402B8000000400002 -:0402B9000000400001 -:0402BA000000400000 -:0402BB0000004000FF -:0402BC0000004000FE -:0402BD0000004000FD -:0402BE0000004000FC -:0402BF0000004000FB -:0402C00000004000FA -:0402C10000004000F9 -:0402C20000004000F8 -:0402C30000004000F7 -:0402C40000004000F6 -:0402C50000004000F5 -:0402C60000004000F4 -:0402C70000004000F3 -:0402C80000004000F2 -:0402C90000004000F1 -:0402CA0000004000F0 -:0402CB0000004000EF -:0402CC0000004000EE -:0402CD0000004000ED -:0402CE0000004000EC -:0402CF0000004000EB -:0402D00000004000EA -:0402D10000004000E9 -:0402D20000004000E8 -:0402D30000004000E7 -:0402D40000004000E6 -:0402D50000004000E5 -:0402D60000004000E4 -:0402D70000004000E3 -:0402D80000004000E2 -:0402D90000004000E1 -:0402DA0000004000E0 -:0402DB0000004000DF -:0402DC0000004000DE -:0402DD0000004000DD -:0402DE0000004000DC -:0402DF0000004000DB -:0402E00000004000DA -:0402E10000004000D9 -:0402E20000004000D8 -:0402E30000004000D7 -:0402E40000004000D6 -:0402E50000004000D5 -:0402E60000004000D4 -:0402E70000004000D3 -:0402E80000004000D2 -:0402E90000004000D1 -:0402EA0000004000D0 -:0402EB0000004000CF -:0402EC0000004000CE -:0402ED0000004000CD -:0402EE0000004000CC -:0402EF0000004000CB -:0402F00000004000CA -:0402F10000004000C9 -:0402F20000004000C8 -:0402F30000004000C7 -:0402F40000004000C6 -:0402F50000004000C5 -:0402F60000004000C4 -:0402F70000004000C3 -:0402F80000004000C2 -:0402F90000004000C1 -:0402FA0000004000C0 -:0402FB0000004000BF -:0402FC0000004000BE -:0402FD0000004000BD -:0402FE0000004000BC -:0402FF0000004000BB -:0403000000004000B9 -:0403010000004000B8 -:0403020000004000B7 -:0403030000004000B6 -:0403040000004000B5 -:0403050000004000B4 -:0403060000004000B3 -:0403070000004000B2 -:0403080000004000B1 -:0403090000004000B0 -:04030A0000004000AF -:04030B0000004000AE -:04030C0000004000AD -:04030D0000004000AC -:04030E0000004000AB -:04030F0000004000AA -:0403100000004000A9 -:0403110000004000A8 -:0403120000004000A7 -:0403130000004000A6 -:0403140000004000A5 -:0403150000004000A4 -:0403160000004000A3 -:0403170000004000A2 -:0403180000004000A1 -:0403190000004000A0 -:04031A00000040009F -:04031B00000040009E -:04031C00000040009D -:04031D00000040009C -:04031E00000040009B -:04031F00000040009A -:040320000000400099 -:040321000000400098 -:040322000000400097 -:040323000000400096 -:040324000000400095 -:040325000000400094 -:040326000000400093 -:040327000000400092 -:040328000000400091 -:040329000000400090 -:04032A00000040008F -:04032B00000040008E -:04032C00000040008D -:04032D00000040008C -:04032E00000040008B -:04032F00000040008A -:040330000000400089 -:040331000000400088 -:040332000000400087 -:040333000000400086 -:040334000000400085 -:040335000000400084 -:040336000000400083 -:040337000000400082 -:040338000000400081 -:040339000000400080 -:04033A00000040007F -:04033B00000040007E -:04033C00000040007D -:04033D00000040007C -:04033E00000040007B -:04033F00000040007A -:040340000000400079 -:040341000000400078 -:040342000000400077 -:040343000000400076 -:040344000000400075 -:040345000000400074 -:040346000000400073 -:040347000000400072 -:040348000000400071 -:040349000000400070 -:04034A00000040006F -:04034B00000040006E -:04034C00000040006D -:04034D00000040006C -:04034E00000040006B -:04034F00000040006A -:040350000000400069 -:040351000000400068 -:040352000000400067 -:040353000000400066 -:040354000000400065 -:040355000000400064 -:040356000000400063 -:040357000000400062 -:040358000000400061 -:040359000000400060 -:04035A00000040005F -:04035B00000040005E -:04035C00000040005D -:04035D00000040005C -:04035E00000040005B -:04035F00000040005A -:040360000000400059 -:040361000000400058 -:040362000000400057 -:040363000000400056 -:040364000000400055 -:040365000000400054 -:040366000000400053 -:040367000000400052 -:040368000000400051 -:040369000000400050 -:04036A00000040004F -:04036B00000040004E -:04036C00000040004D -:04036D00000040004C -:04036E00000040004B -:04036F00000040004A -:040370000000400049 -:040371000000400048 -:040372000000400047 -:040373000000400046 -:040374000000400045 -:040375000000400044 -:040376000000400043 -:040377000000400042 -:040378000000400041 -:040379000000400040 -:04037A00000040003F -:04037B00000040003E -:04037C00000040003D -:04037D00000040003C -:04037E00000040003B -:04037F00000040003A -:040380000000400039 -:040381000000400038 -:040382000000400037 -:040383000000400036 -:040384000000400035 -:040385000000400034 -:040386000000400033 -:040387000000400032 -:040388000000400031 -:040389000000400030 -:04038A00000040002F -:04038B00000040002E -:04038C00000040002D -:04038D00000040002C -:04038E00000040002B -:04038F00000040002A -:040390000000400029 -:040391000000400028 -:040392000000400027 -:040393000000400026 -:040394000000400025 -:040395000000400024 -:040396000000400023 -:040397000000400022 -:040398000000400021 -:040399000000400020 -:04039A00000040001F -:04039B00000040001E -:04039C00000040001D -:04039D00000040001C -:04039E00000040001B -:04039F00000040001A -:0403A0000000400019 -:0403A1000000400018 -:0403A2000000400017 -:0403A3000000400016 -:0403A4000000400015 -:0403A5000000400014 -:0403A6000000400013 -:0403A7000000400012 -:0403A8000000400011 -:0403A9000000400010 -:0403AA00000040000F -:0403AB00000040000E -:0403AC00000040000D -:0403AD00000040000C -:0403AE00000040000B -:0403AF00000040000A -:0403B0000000400009 -:0403B1000000400008 -:0403B2000000400007 -:0403B3000000400006 -:0403B4000000400005 -:0403B5000000400004 -:0403B6000000400003 -:0403B7000000400002 -:0403B8000000400001 -:0403B9000000400000 -:0403BA0000004000FF -:0403BB0000004000FE -:0403BC0000004000FD -:0403BD0000004000FC -:0403BE0000004000FB -:0403BF0000004000FA -:0403C00000004000F9 -:0403C10000004000F8 -:0403C20000004000F7 -:0403C30000004000F6 -:0403C40000004000F5 -:0403C50000004000F4 -:0403C60000004000F3 -:0403C70000004000F2 -:0403C80000004000F1 -:0403C90000004000F0 -:0403CA0000004000EF -:0403CB0000004000EE -:0403CC0000004000ED -:0403CD0000004000EC -:0403CE0000004000EB -:0403CF0000004000EA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_10.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_10.hex deleted file mode 100644 index 145449c561b53ba41814116745a0fd440801640e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_10.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400010000004000BB -:0400020000004000BA -:0400030000004000B9 -:0400040000004000B8 -:0400050000004000B7 -:0400060000004000B6 -:0400070000004000B5 -:0400080000004000B4 -:0400090000004000B3 -:04000A0000004000B2 -:04000B0000004000B1 -:04000C0000004000B0 -:04000D0000004000AF -:04000E0000004000AE -:04000F0000004000AD -:0400100000004000AC -:0400110000004000AB -:0400120000004000AA -:0400130000004000A9 -:0400140000004000A8 -:0400150000004000A7 -:0400160000004000A6 -:0400170000004000A5 -:0400180000004000A4 -:0400190000004000A3 -:04001A0000004000A2 -:04001B0000004000A1 -:04001C0000004000A0 -:04001D00000040009F -:04001E00000040009E -:04001F00000040009D -:04002000000040009C -:04002100000040009B -:04002200000040009A -:040023000000400099 -:040024000000400098 -:040025000000400097 -:040026000000400096 -:040027000000400095 -:040028000000400094 -:040029000000400093 -:04002A000000400092 -:04002B000000400091 -:04002C000000400090 -:04002D00000040008F -:04002E00000040008E -:04002F00000040008D -:04003000000040008C -:04003100000040008B -:04003200000040008A -:040033000000400089 -:040034000000400088 -:040035000000400087 -:040036000000400086 -:040037000000400085 -:040038000000400084 -:040039000000400083 -:04003A000000400082 -:04003B000000400081 -:04003C000000400080 -:04003D00000040007F -:04003E00000040007E -:04003F00000040007D -:04004000000040007C -:04004100000040007B -:04004200000040007A -:040043000000400079 -:040044000000400078 -:040045000000400077 -:040046000000400076 -:040047000000400075 -:040048000000400074 -:040049000000400073 -:04004A000000400072 -:04004B000000400071 -:04004C000000400070 -:04004D00000040006F -:04004E00000040006E -:04004F00000040006D -:04005000000040006C -:04005100000040006B -:04005200000040006A -:040053000000400069 -:040054000000400068 -:040055000000400067 -:040056000000400066 -:040057000000400065 -:040058000000400064 -:040059000000400063 -:04005A000000400062 -:04005B000000400061 -:04005C000000400060 -:04005D00000040005F -:04005E00000040005E -:04005F00000040005D -:04006000000040005C -:04006100000040005B -:04006200000040005A -:040063000000400059 -:040064000000400058 -:040065000000400057 -:040066000000400056 -:040067000000400055 -:040068000000400054 -:040069000000400053 -:04006A000000400052 -:04006B000000400051 -:04006C000000400050 -:04006D00000040004F -:04006E00000040004E -:04006F00000040004D -:04007000000040004C -:04007100000040004B -:04007200000040004A -:040073000000400049 -:040074000000400048 -:040075000000400047 -:040076000000400046 -:040077000000400045 -:040078000000400044 -:040079000000400043 -:04007A000000400042 -:04007B000000400041 -:04007C000000400040 -:04007D00000040003F -:04007E00000040003E -:04007F00000040003D -:04008000000040003C -:04008100000040003B -:04008200000040003A -:040083000000400039 -:040084000000400038 -:040085000000400037 -:040086000000400036 -:040087000000400035 -:040088000000400034 -:040089000000400033 -:04008A000000400032 -:04008B000000400031 -:04008C000000400030 -:04008D00000040002F -:04008E00000040002E -:04008F00000040002D -:04009000000040002C -:04009100000040002B -:04009200000040002A -:040093000000400029 -:040094000000400028 -:040095000000400027 -:040096000000400026 -:040097000000400025 -:040098000000400024 -:040099000000400023 -:04009A000000400022 -:04009B000000400021 -:04009C000000400020 -:04009D00000040001F -:04009E00000040001E -:04009F00000040001D -:0400A000000040001C -:0400A100000040001B -:0400A200000040001A -:0400A3000000400019 -:0400A4000000400018 -:0400A5000000400017 -:0400A6000000400016 -:0400A7000000400015 -:0400A8000000400014 -:0400A9000000400013 -:0400AA000000400012 -:0400AB000000400011 -:0400AC000000400010 -:0400AD00000040000F -:0400AE00000040000E -:0400AF00000040000D -:0400B000000040000C -:0400B100000040000B -:0400B200000040000A -:0400B3000000400009 -:0400B4000000400008 -:0400B5000000400007 -:0400B6000000400006 -:0400B7000000400005 -:0400B8000000400004 -:0400B9000000400003 -:0400BA000000400002 -:0400BB000000400001 -:0400BC000000400000 -:0400BD0000004000FF -:0400BE0000004000FE -:0400BF0000004000FD -:0400C00000004000FC -:0400C10000004000FB -:0400C20000004000FA -:0400C30000004000F9 -:0400C40000004000F8 -:0400C50000004000F7 -:0400C60000004000F6 -:0400C70000004000F5 -:0400C80000004000F4 -:0400C90000004000F3 -:0400CA0000004000F2 -:0400CB0000004000F1 -:0400CC0000004000F0 -:0400CD0000004000EF -:0400CE0000004000EE -:0400CF0000004000ED -:0400D00000004000EC -:0400D10000004000EB -:0400D20000004000EA -:0400D30000004000E9 -:0400D40000004000E8 -:0400D50000004000E7 -:0400D60000004000E6 -:0400D70000004000E5 -:0400D80000004000E4 -:0400D90000004000E3 -:0400DA0000004000E2 -:0400DB0000004000E1 -:0400DC0000004000E0 -:0400DD0000004000DF -:0400DE0000004000DE -:0400DF0000004000DD -:0400E00000004000DC -:0400E10000004000DB -:0400E20000004000DA -:0400E30000004000D9 -:0400E40000004000D8 -:0400E50000004000D7 -:0400E60000004000D6 -:0400E70000004000D5 -:0400E80000004000D4 -:0400E90000004000D3 -:0400EA0000004000D2 -:0400EB0000004000D1 -:0400EC0000004000D0 -:0400ED0000004000CF -:0400EE0000004000CE -:0400EF0000004000CD -:0400F00000004000CC -:0400F10000004000CB -:0400F20000004000CA -:0400F30000004000C9 -:0400F40000004000C8 -:0400F50000004000C7 -:0400F60000004000C6 -:0400F70000004000C5 -:0400F80000004000C4 -:0400F90000004000C3 -:0400FA0000004000C2 -:0400FB0000004000C1 -:0400FC0000004000C0 -:0400FD0000004000BF -:0400FE0000004000BE -:0400FF0000004000BD -:0401000000004000BB -:0401010000004000BA -:0401020000004000B9 -:0401030000004000B8 -:0401040000004000B7 -:0401050000004000B6 -:0401060000004000B5 -:0401070000004000B4 -:0401080000004000B3 -:0401090000004000B2 -:04010A0000004000B1 -:04010B0000004000B0 -:04010C0000004000AF -:04010D0000004000AE -:04010E0000004000AD -:04010F0000004000AC -:0401100000004000AB -:0401110000004000AA -:0401120000004000A9 -:0401130000004000A8 -:0401140000004000A7 -:0401150000004000A6 -:0401160000004000A5 -:0401170000004000A4 -:0401180000004000A3 -:0401190000004000A2 -:04011A0000004000A1 -:04011B0000004000A0 -:04011C00000040009F -:04011D00000040009E -:04011E00000040009D -:04011F00000040009C -:04012000000040009B -:04012100000040009A -:040122000000400099 -:040123000000400098 -:040124000000400097 -:040125000000400096 -:040126000000400095 -:040127000000400094 -:040128000000400093 -:040129000000400092 -:04012A000000400091 -:04012B000000400090 -:04012C00000040008F -:04012D00000040008E -:04012E00000040008D -:04012F00000040008C -:04013000000040008B -:04013100000040008A -:040132000000400089 -:040133000000400088 -:040134000000400087 -:040135000000400086 -:040136000000400085 -:040137000000400084 -:040138000000400083 -:040139000000400082 -:04013A000000400081 -:04013B000000400080 -:04013C00000040007F -:04013D00000040007E -:04013E00000040007D -:04013F00000040007C -:04014000000040007B -:04014100000040007A -:040142000000400079 -:040143000000400078 -:040144000000400077 -:040145000000400076 -:040146000000400075 -:040147000000400074 -:040148000000400073 -:040149000000400072 -:04014A000000400071 -:04014B000000400070 -:04014C00000040006F -:04014D00000040006E -:04014E00000040006D -:04014F00000040006C -:04015000000040006B -:04015100000040006A -:040152000000400069 -:040153000000400068 -:040154000000400067 -:040155000000400066 -:040156000000400065 -:040157000000400064 -:040158000000400063 -:040159000000400062 -:04015A000000400061 -:04015B000000400060 -:04015C00000040005F -:04015D00000040005E -:04015E00000040005D -:04015F00000040005C -:04016000000040005B -:04016100000040005A -:040162000000400059 -:040163000000400058 -:040164000000400057 -:040165000000400056 -:040166000000400055 -:040167000000400054 -:040168000000400053 -:040169000000400052 -:04016A000000400051 -:04016B000000400050 -:04016C00000040004F -:04016D00000040004E -:04016E00000040004D -:04016F00000040004C -:04017000000040004B -:04017100000040004A -:040172000000400049 -:040173000000400048 -:040174000000400047 -:040175000000400046 -:040176000000400045 -:040177000000400044 -:040178000000400043 -:040179000000400042 -:04017A000000400041 -:04017B000000400040 -:04017C00000040003F -:04017D00000040003E -:04017E00000040003D -:04017F00000040003C -:04018000000040003B -:04018100000040003A -:040182000000400039 -:040183000000400038 -:040184000000400037 -:040185000000400036 -:040186000000400035 -:040187000000400034 -:040188000000400033 -:040189000000400032 -:04018A000000400031 -:04018B000000400030 -:04018C00000040002F -:04018D00000040002E -:04018E00000040002D -:04018F00000040002C -:04019000000040002B -:04019100000040002A -:040192000000400029 -:040193000000400028 -:040194000000400027 -:040195000000400026 -:040196000000400025 -:040197000000400024 -:040198000000400023 -:040199000000400022 -:04019A000000400021 -:04019B000000400020 -:04019C00000040001F -:04019D00000040001E -:04019E00000040001D -:04019F00000040001C -:0401A000000040001B -:0401A100000040001A -:0401A2000000400019 -:0401A3000000400018 -:0401A4000000400017 -:0401A5000000400016 -:0401A6000000400015 -:0401A7000000400014 -:0401A8000000400013 -:0401A9000000400012 -:0401AA000000400011 -:0401AB000000400010 -:0401AC00000040000F -:0401AD00000040000E -:0401AE00000040000D -:0401AF00000040000C -:0401B000000040000B -:0401B100000040000A -:0401B2000000400009 -:0401B3000000400008 -:0401B4000000400007 -:0401B5000000400006 -:0401B6000000400005 -:0401B7000000400004 -:0401B8000000400003 -:0401B9000000400002 -:0401BA000000400001 -:0401BB000000400000 -:0401BC0000004000FF -:0401BD0000004000FE -:0401BE0000004000FD -:0401BF0000004000FC -:0401C00000004000FB -:0401C10000004000FA -:0401C20000004000F9 -:0401C30000004000F8 -:0401C40000004000F7 -:0401C50000004000F6 -:0401C60000004000F5 -:0401C70000004000F4 -:0401C80000004000F3 -:0401C90000004000F2 -:0401CA0000004000F1 -:0401CB0000004000F0 -:0401CC0000004000EF -:0401CD0000004000EE -:0401CE0000004000ED -:0401CF0000004000EC -:0401D00000004000EB -:0401D10000004000EA -:0401D20000004000E9 -:0401D30000004000E8 -:0401D40000004000E7 -:0401D50000004000E6 -:0401D60000004000E5 -:0401D70000004000E4 -:0401D80000004000E3 -:0401D90000004000E2 -:0401DA0000004000E1 -:0401DB0000004000E0 -:0401DC0000004000DF -:0401DD0000004000DE -:0401DE0000004000DD -:0401DF0000004000DC -:0401E00000004000DB -:0401E10000004000DA -:0401E20000004000D9 -:0401E30000004000D8 -:0401E40000004000D7 -:0401E50000004000D6 -:0401E60000004000D5 -:0401E70000004000D4 -:0401E80000004000D3 -:0401E90000004000D2 -:0401EA0000004000D1 -:0401EB0000004000D0 -:0401EC0000004000CF -:0401ED0000004000CE -:0401EE0000004000CD -:0401EF0000004000CC -:0401F00000004000CB -:0401F10000004000CA -:0401F20000004000C9 -:0401F30000004000C8 -:0401F40000004000C7 -:0401F50000004000C6 -:0401F60000004000C5 -:0401F70000004000C4 -:0401F80000004000C3 -:0401F90000004000C2 -:0401FA0000004000C1 -:0401FB0000004000C0 -:0401FC0000004000BF -:0401FD0000004000BE -:0401FE0000004000BD -:0401FF0000004000BC -:0402000000004000BA -:0402010000004000B9 -:0402020000004000B8 -:0402030000004000B7 -:0402040000004000B6 -:0402050000004000B5 -:0402060000004000B4 -:0402070000004000B3 -:0402080000004000B2 -:0402090000004000B1 -:04020A0000004000B0 -:04020B0000004000AF -:04020C0000004000AE -:04020D0000004000AD -:04020E0000004000AC -:04020F0000004000AB -:0402100000004000AA -:0402110000004000A9 -:0402120000004000A8 -:0402130000004000A7 -:0402140000004000A6 -:0402150000004000A5 -:0402160000004000A4 -:0402170000004000A3 -:0402180000004000A2 -:0402190000004000A1 -:04021A0000004000A0 -:04021B00000040009F -:04021C00000040009E -:04021D00000040009D -:04021E00000040009C -:04021F00000040009B -:04022000000040009A -:040221000000400099 -:040222000000400098 -:040223000000400097 -:040224000000400096 -:040225000000400095 -:040226000000400094 -:040227000000400093 -:040228000000400092 -:040229000000400091 -:04022A000000400090 -:04022B00000040008F -:04022C00000040008E -:04022D00000040008D -:04022E00000040008C -:04022F00000040008B -:04023000000040008A -:040231000000400089 -:040232000000400088 -:040233000000400087 -:040234000000400086 -:040235000000400085 -:040236000000400084 -:040237000000400083 -:040238000000400082 -:040239000000400081 -:04023A000000400080 -:04023B00000040007F -:04023C00000040007E -:04023D00000040007D -:04023E00000040007C -:04023F00000040007B -:04024000000040007A -:040241000000400079 -:040242000000400078 -:040243000000400077 -:040244000000400076 -:040245000000400075 -:040246000000400074 -:040247000000400073 -:040248000000400072 -:040249000000400071 -:04024A000000400070 -:04024B00000040006F -:04024C00000040006E -:04024D00000040006D -:04024E00000040006C -:04024F00000040006B -:04025000000040006A -:040251000000400069 -:040252000000400068 -:040253000000400067 -:040254000000400066 -:040255000000400065 -:040256000000400064 -:040257000000400063 -:040258000000400062 -:040259000000400061 -:04025A000000400060 -:04025B00000040005F -:04025C00000040005E -:04025D00000040005D -:04025E00000040005C -:04025F00000040005B -:04026000000040005A -:040261000000400059 -:040262000000400058 -:040263000000400057 -:040264000000400056 -:040265000000400055 -:040266000000400054 -:040267000000400053 -:040268000000400052 -:040269000000400051 -:04026A000000400050 -:04026B00000040004F -:04026C00000040004E -:04026D00000040004D -:04026E00000040004C -:04026F00000040004B -:04027000000040004A -:040271000000400049 -:040272000000400048 -:040273000000400047 -:040274000000400046 -:040275000000400045 -:040276000000400044 -:040277000000400043 -:040278000000400042 -:040279000000400041 -:04027A000000400040 -:04027B00000040003F -:04027C00000040003E -:04027D00000040003D -:04027E00000040003C -:04027F00000040003B -:04028000000040003A -:040281000000400039 -:040282000000400038 -:040283000000400037 -:040284000000400036 -:040285000000400035 -:040286000000400034 -:040287000000400033 -:040288000000400032 -:040289000000400031 -:04028A000000400030 -:04028B00000040002F -:04028C00000040002E -:04028D00000040002D -:04028E00000040002C -:04028F00000040002B -:04029000000040002A -:040291000000400029 -:040292000000400028 -:040293000000400027 -:040294000000400026 -:040295000000400025 -:040296000000400024 -:040297000000400023 -:040298000000400022 -:040299000000400021 -:04029A000000400020 -:04029B00000040001F -:04029C00000040001E -:04029D00000040001D -:04029E00000040001C -:04029F00000040001B -:0402A000000040001A -:0402A1000000400019 -:0402A2000000400018 -:0402A3000000400017 -:0402A4000000400016 -:0402A5000000400015 -:0402A6000000400014 -:0402A7000000400013 -:0402A8000000400012 -:0402A9000000400011 -:0402AA000000400010 -:0402AB00000040000F -:0402AC00000040000E -:0402AD00000040000D -:0402AE00000040000C -:0402AF00000040000B -:0402B000000040000A -:0402B1000000400009 -:0402B2000000400008 -:0402B3000000400007 -:0402B4000000400006 -:0402B5000000400005 -:0402B6000000400004 -:0402B7000000400003 -:0402B8000000400002 -:0402B9000000400001 -:0402BA000000400000 -:0402BB0000004000FF -:0402BC0000004000FE -:0402BD0000004000FD -:0402BE0000004000FC -:0402BF0000004000FB -:0402C00000004000FA -:0402C10000004000F9 -:0402C20000004000F8 -:0402C30000004000F7 -:0402C40000004000F6 -:0402C50000004000F5 -:0402C60000004000F4 -:0402C70000004000F3 -:0402C80000004000F2 -:0402C90000004000F1 -:0402CA0000004000F0 -:0402CB0000004000EF -:0402CC0000004000EE -:0402CD0000004000ED -:0402CE0000004000EC -:0402CF0000004000EB -:0402D00000004000EA -:0402D10000004000E9 -:0402D20000004000E8 -:0402D30000004000E7 -:0402D40000004000E6 -:0402D50000004000E5 -:0402D60000004000E4 -:0402D70000004000E3 -:0402D80000004000E2 -:0402D90000004000E1 -:0402DA0000004000E0 -:0402DB0000004000DF -:0402DC0000004000DE -:0402DD0000004000DD -:0402DE0000004000DC -:0402DF0000004000DB -:0402E00000004000DA -:0402E10000004000D9 -:0402E20000004000D8 -:0402E30000004000D7 -:0402E40000004000D6 -:0402E50000004000D5 -:0402E60000004000D4 -:0402E70000004000D3 -:0402E80000004000D2 -:0402E90000004000D1 -:0402EA0000004000D0 -:0402EB0000004000CF -:0402EC0000004000CE -:0402ED0000004000CD -:0402EE0000004000CC -:0402EF0000004000CB -:0402F00000004000CA -:0402F10000004000C9 -:0402F20000004000C8 -:0402F30000004000C7 -:0402F40000004000C6 -:0402F50000004000C5 -:0402F60000004000C4 -:0402F70000004000C3 -:0402F80000004000C2 -:0402F90000004000C1 -:0402FA0000004000C0 -:0402FB0000004000BF -:0402FC0000004000BE -:0402FD0000004000BD -:0402FE0000004000BC -:0402FF0000004000BB -:0403000000004000B9 -:0403010000004000B8 -:0403020000004000B7 -:0403030000004000B6 -:0403040000004000B5 -:0403050000004000B4 -:0403060000004000B3 -:0403070000004000B2 -:0403080000004000B1 -:0403090000004000B0 -:04030A0000004000AF -:04030B0000004000AE -:04030C0000004000AD -:04030D0000004000AC -:04030E0000004000AB -:04030F0000004000AA -:0403100000004000A9 -:0403110000004000A8 -:0403120000004000A7 -:0403130000004000A6 -:0403140000004000A5 -:0403150000004000A4 -:0403160000004000A3 -:0403170000004000A2 -:0403180000004000A1 -:0403190000004000A0 -:04031A00000040009F -:04031B00000040009E -:04031C00000040009D -:04031D00000040009C -:04031E00000040009B -:04031F00000040009A -:040320000000400099 -:040321000000400098 -:040322000000400097 -:040323000000400096 -:040324000000400095 -:040325000000400094 -:040326000000400093 -:040327000000400092 -:040328000000400091 -:040329000000400090 -:04032A00000040008F -:04032B00000040008E -:04032C00000040008D -:04032D00000040008C -:04032E00000040008B -:04032F00000040008A -:040330000000400089 -:040331000000400088 -:040332000000400087 -:040333000000400086 -:040334000000400085 -:040335000000400084 -:040336000000400083 -:040337000000400082 -:040338000000400081 -:040339000000400080 -:04033A00000040007F -:04033B00000040007E -:04033C00000040007D -:04033D00000040007C -:04033E00000040007B -:04033F00000040007A -:040340000000400079 -:040341000000400078 -:040342000000400077 -:040343000000400076 -:040344000000400075 -:040345000000400074 -:040346000000400073 -:040347000000400072 -:040348000000400071 -:040349000000400070 -:04034A00000040006F -:04034B00000040006E -:04034C00000040006D -:04034D00000040006C -:04034E00000040006B -:04034F00000040006A -:040350000000400069 -:040351000000400068 -:040352000000400067 -:040353000000400066 -:040354000000400065 -:040355000000400064 -:040356000000400063 -:040357000000400062 -:040358000000400061 -:040359000000400060 -:04035A00000040005F -:04035B00000040005E -:04035C00000040005D -:04035D00000040005C -:04035E00000040005B -:04035F00000040005A -:040360000000400059 -:040361000000400058 -:040362000000400057 -:040363000000400056 -:040364000000400055 -:040365000000400054 -:040366000000400053 -:040367000000400052 -:040368000000400051 -:040369000000400050 -:04036A00000040004F -:04036B00000040004E -:04036C00000040004D -:04036D00000040004C -:04036E00000040004B -:04036F00000040004A -:040370000000400049 -:040371000000400048 -:040372000000400047 -:040373000000400046 -:040374000000400045 -:040375000000400044 -:040376000000400043 -:040377000000400042 -:040378000000400041 -:040379000000400040 -:04037A00000040003F -:04037B00000040003E -:04037C00000040003D -:04037D00000040003C -:04037E00000040003B -:04037F00000040003A -:040380000000400039 -:040381000000400038 -:040382000000400037 -:040383000000400036 -:040384000000400035 -:040385000000400034 -:040386000000400033 -:040387000000400032 -:040388000000400031 -:040389000000400030 -:04038A00000040002F -:04038B00000040002E -:04038C00000040002D -:04038D00000040002C -:04038E00000040002B -:04038F00000040002A -:040390000000400029 -:040391000000400028 -:040392000000400027 -:040393000000400026 -:040394000000400025 -:040395000000400024 -:040396000000400023 -:040397000000400022 -:040398000000400021 -:040399000000400020 -:04039A00000040001F -:04039B00000040001E -:04039C00000040001D -:04039D00000040001C -:04039E00000040001B -:04039F00000040001A -:0403A0000000400019 -:0403A1000000400018 -:0403A2000000400017 -:0403A3000000400016 -:0403A4000000400015 -:0403A5000000400014 -:0403A6000000400013 -:0403A7000000400012 -:0403A8000000400011 -:0403A9000000400010 -:0403AA00000040000F -:0403AB00000040000E -:0403AC00000040000D -:0403AD00000040000C -:0403AE00000040000B -:0403AF00000040000A -:0403B0000000400009 -:0403B1000000400008 -:0403B2000000400007 -:0403B3000000400006 -:0403B4000000400005 -:0403B5000000400004 -:0403B6000000400003 -:0403B7000000400002 -:0403B8000000400001 -:0403B9000000400000 -:0403BA0000004000FF -:0403BB0000004000FE -:0403BC0000004000FD -:0403BD0000004000FC -:0403BE0000004000FB -:0403BF0000004000FA -:0403C00000004000F9 -:0403C10000004000F8 -:0403C20000004000F7 -:0403C30000004000F6 -:0403C40000004000F5 -:0403C50000004000F4 -:0403C60000004000F3 -:0403C70000004000F2 -:0403C80000004000F1 -:0403C90000004000F0 -:0403CA0000004000EF -:0403CB0000004000EE -:0403CC0000004000ED -:0403CD0000004000EC -:0403CE0000004000EB -:0403CF0000004000EA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_11.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_11.hex deleted file mode 100644 index 145449c561b53ba41814116745a0fd440801640e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_11.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400010000004000BB -:0400020000004000BA -:0400030000004000B9 -:0400040000004000B8 -:0400050000004000B7 -:0400060000004000B6 -:0400070000004000B5 -:0400080000004000B4 -:0400090000004000B3 -:04000A0000004000B2 -:04000B0000004000B1 -:04000C0000004000B0 -:04000D0000004000AF -:04000E0000004000AE -:04000F0000004000AD -:0400100000004000AC -:0400110000004000AB -:0400120000004000AA -:0400130000004000A9 -:0400140000004000A8 -:0400150000004000A7 -:0400160000004000A6 -:0400170000004000A5 -:0400180000004000A4 -:0400190000004000A3 -:04001A0000004000A2 -:04001B0000004000A1 -:04001C0000004000A0 -:04001D00000040009F -:04001E00000040009E -:04001F00000040009D -:04002000000040009C -:04002100000040009B -:04002200000040009A -:040023000000400099 -:040024000000400098 -:040025000000400097 -:040026000000400096 -:040027000000400095 -:040028000000400094 -:040029000000400093 -:04002A000000400092 -:04002B000000400091 -:04002C000000400090 -:04002D00000040008F -:04002E00000040008E -:04002F00000040008D -:04003000000040008C -:04003100000040008B -:04003200000040008A -:040033000000400089 -:040034000000400088 -:040035000000400087 -:040036000000400086 -:040037000000400085 -:040038000000400084 -:040039000000400083 -:04003A000000400082 -:04003B000000400081 -:04003C000000400080 -:04003D00000040007F -:04003E00000040007E -:04003F00000040007D -:04004000000040007C -:04004100000040007B -:04004200000040007A -:040043000000400079 -:040044000000400078 -:040045000000400077 -:040046000000400076 -:040047000000400075 -:040048000000400074 -:040049000000400073 -:04004A000000400072 -:04004B000000400071 -:04004C000000400070 -:04004D00000040006F -:04004E00000040006E -:04004F00000040006D -:04005000000040006C -:04005100000040006B -:04005200000040006A -:040053000000400069 -:040054000000400068 -:040055000000400067 -:040056000000400066 -:040057000000400065 -:040058000000400064 -:040059000000400063 -:04005A000000400062 -:04005B000000400061 -:04005C000000400060 -:04005D00000040005F -:04005E00000040005E -:04005F00000040005D -:04006000000040005C -:04006100000040005B -:04006200000040005A -:040063000000400059 -:040064000000400058 -:040065000000400057 -:040066000000400056 -:040067000000400055 -:040068000000400054 -:040069000000400053 -:04006A000000400052 -:04006B000000400051 -:04006C000000400050 -:04006D00000040004F -:04006E00000040004E -:04006F00000040004D -:04007000000040004C -:04007100000040004B -:04007200000040004A -:040073000000400049 -:040074000000400048 -:040075000000400047 -:040076000000400046 -:040077000000400045 -:040078000000400044 -:040079000000400043 -:04007A000000400042 -:04007B000000400041 -:04007C000000400040 -:04007D00000040003F -:04007E00000040003E -:04007F00000040003D -:04008000000040003C -:04008100000040003B -:04008200000040003A -:040083000000400039 -:040084000000400038 -:040085000000400037 -:040086000000400036 -:040087000000400035 -:040088000000400034 -:040089000000400033 -:04008A000000400032 -:04008B000000400031 -:04008C000000400030 -:04008D00000040002F -:04008E00000040002E -:04008F00000040002D -:04009000000040002C -:04009100000040002B -:04009200000040002A -:040093000000400029 -:040094000000400028 -:040095000000400027 -:040096000000400026 -:040097000000400025 -:040098000000400024 -:040099000000400023 -:04009A000000400022 -:04009B000000400021 -:04009C000000400020 -:04009D00000040001F -:04009E00000040001E -:04009F00000040001D -:0400A000000040001C -:0400A100000040001B -:0400A200000040001A -:0400A3000000400019 -:0400A4000000400018 -:0400A5000000400017 -:0400A6000000400016 -:0400A7000000400015 -:0400A8000000400014 -:0400A9000000400013 -:0400AA000000400012 -:0400AB000000400011 -:0400AC000000400010 -:0400AD00000040000F -:0400AE00000040000E -:0400AF00000040000D -:0400B000000040000C -:0400B100000040000B -:0400B200000040000A -:0400B3000000400009 -:0400B4000000400008 -:0400B5000000400007 -:0400B6000000400006 -:0400B7000000400005 -:0400B8000000400004 -:0400B9000000400003 -:0400BA000000400002 -:0400BB000000400001 -:0400BC000000400000 -:0400BD0000004000FF -:0400BE0000004000FE -:0400BF0000004000FD -:0400C00000004000FC -:0400C10000004000FB -:0400C20000004000FA -:0400C30000004000F9 -:0400C40000004000F8 -:0400C50000004000F7 -:0400C60000004000F6 -:0400C70000004000F5 -:0400C80000004000F4 -:0400C90000004000F3 -:0400CA0000004000F2 -:0400CB0000004000F1 -:0400CC0000004000F0 -:0400CD0000004000EF -:0400CE0000004000EE -:0400CF0000004000ED -:0400D00000004000EC -:0400D10000004000EB -:0400D20000004000EA -:0400D30000004000E9 -:0400D40000004000E8 -:0400D50000004000E7 -:0400D60000004000E6 -:0400D70000004000E5 -:0400D80000004000E4 -:0400D90000004000E3 -:0400DA0000004000E2 -:0400DB0000004000E1 -:0400DC0000004000E0 -:0400DD0000004000DF -:0400DE0000004000DE -:0400DF0000004000DD -:0400E00000004000DC -:0400E10000004000DB -:0400E20000004000DA -:0400E30000004000D9 -:0400E40000004000D8 -:0400E50000004000D7 -:0400E60000004000D6 -:0400E70000004000D5 -:0400E80000004000D4 -:0400E90000004000D3 -:0400EA0000004000D2 -:0400EB0000004000D1 -:0400EC0000004000D0 -:0400ED0000004000CF -:0400EE0000004000CE -:0400EF0000004000CD -:0400F00000004000CC -:0400F10000004000CB -:0400F20000004000CA -:0400F30000004000C9 -:0400F40000004000C8 -:0400F50000004000C7 -:0400F60000004000C6 -:0400F70000004000C5 -:0400F80000004000C4 -:0400F90000004000C3 -:0400FA0000004000C2 -:0400FB0000004000C1 -:0400FC0000004000C0 -:0400FD0000004000BF -:0400FE0000004000BE -:0400FF0000004000BD -:0401000000004000BB -:0401010000004000BA -:0401020000004000B9 -:0401030000004000B8 -:0401040000004000B7 -:0401050000004000B6 -:0401060000004000B5 -:0401070000004000B4 -:0401080000004000B3 -:0401090000004000B2 -:04010A0000004000B1 -:04010B0000004000B0 -:04010C0000004000AF -:04010D0000004000AE -:04010E0000004000AD -:04010F0000004000AC -:0401100000004000AB -:0401110000004000AA -:0401120000004000A9 -:0401130000004000A8 -:0401140000004000A7 -:0401150000004000A6 -:0401160000004000A5 -:0401170000004000A4 -:0401180000004000A3 -:0401190000004000A2 -:04011A0000004000A1 -:04011B0000004000A0 -:04011C00000040009F -:04011D00000040009E -:04011E00000040009D -:04011F00000040009C -:04012000000040009B -:04012100000040009A -:040122000000400099 -:040123000000400098 -:040124000000400097 -:040125000000400096 -:040126000000400095 -:040127000000400094 -:040128000000400093 -:040129000000400092 -:04012A000000400091 -:04012B000000400090 -:04012C00000040008F -:04012D00000040008E -:04012E00000040008D -:04012F00000040008C -:04013000000040008B -:04013100000040008A -:040132000000400089 -:040133000000400088 -:040134000000400087 -:040135000000400086 -:040136000000400085 -:040137000000400084 -:040138000000400083 -:040139000000400082 -:04013A000000400081 -:04013B000000400080 -:04013C00000040007F -:04013D00000040007E -:04013E00000040007D -:04013F00000040007C -:04014000000040007B -:04014100000040007A -:040142000000400079 -:040143000000400078 -:040144000000400077 -:040145000000400076 -:040146000000400075 -:040147000000400074 -:040148000000400073 -:040149000000400072 -:04014A000000400071 -:04014B000000400070 -:04014C00000040006F -:04014D00000040006E -:04014E00000040006D -:04014F00000040006C -:04015000000040006B -:04015100000040006A -:040152000000400069 -:040153000000400068 -:040154000000400067 -:040155000000400066 -:040156000000400065 -:040157000000400064 -:040158000000400063 -:040159000000400062 -:04015A000000400061 -:04015B000000400060 -:04015C00000040005F -:04015D00000040005E -:04015E00000040005D -:04015F00000040005C -:04016000000040005B -:04016100000040005A -:040162000000400059 -:040163000000400058 -:040164000000400057 -:040165000000400056 -:040166000000400055 -:040167000000400054 -:040168000000400053 -:040169000000400052 -:04016A000000400051 -:04016B000000400050 -:04016C00000040004F -:04016D00000040004E -:04016E00000040004D -:04016F00000040004C -:04017000000040004B -:04017100000040004A -:040172000000400049 -:040173000000400048 -:040174000000400047 -:040175000000400046 -:040176000000400045 -:040177000000400044 -:040178000000400043 -:040179000000400042 -:04017A000000400041 -:04017B000000400040 -:04017C00000040003F -:04017D00000040003E -:04017E00000040003D -:04017F00000040003C -:04018000000040003B -:04018100000040003A -:040182000000400039 -:040183000000400038 -:040184000000400037 -:040185000000400036 -:040186000000400035 -:040187000000400034 -:040188000000400033 -:040189000000400032 -:04018A000000400031 -:04018B000000400030 -:04018C00000040002F -:04018D00000040002E -:04018E00000040002D -:04018F00000040002C -:04019000000040002B -:04019100000040002A -:040192000000400029 -:040193000000400028 -:040194000000400027 -:040195000000400026 -:040196000000400025 -:040197000000400024 -:040198000000400023 -:040199000000400022 -:04019A000000400021 -:04019B000000400020 -:04019C00000040001F -:04019D00000040001E -:04019E00000040001D -:04019F00000040001C -:0401A000000040001B -:0401A100000040001A -:0401A2000000400019 -:0401A3000000400018 -:0401A4000000400017 -:0401A5000000400016 -:0401A6000000400015 -:0401A7000000400014 -:0401A8000000400013 -:0401A9000000400012 -:0401AA000000400011 -:0401AB000000400010 -:0401AC00000040000F -:0401AD00000040000E -:0401AE00000040000D -:0401AF00000040000C -:0401B000000040000B -:0401B100000040000A -:0401B2000000400009 -:0401B3000000400008 -:0401B4000000400007 -:0401B5000000400006 -:0401B6000000400005 -:0401B7000000400004 -:0401B8000000400003 -:0401B9000000400002 -:0401BA000000400001 -:0401BB000000400000 -:0401BC0000004000FF -:0401BD0000004000FE -:0401BE0000004000FD -:0401BF0000004000FC -:0401C00000004000FB -:0401C10000004000FA -:0401C20000004000F9 -:0401C30000004000F8 -:0401C40000004000F7 -:0401C50000004000F6 -:0401C60000004000F5 -:0401C70000004000F4 -:0401C80000004000F3 -:0401C90000004000F2 -:0401CA0000004000F1 -:0401CB0000004000F0 -:0401CC0000004000EF -:0401CD0000004000EE -:0401CE0000004000ED -:0401CF0000004000EC -:0401D00000004000EB -:0401D10000004000EA -:0401D20000004000E9 -:0401D30000004000E8 -:0401D40000004000E7 -:0401D50000004000E6 -:0401D60000004000E5 -:0401D70000004000E4 -:0401D80000004000E3 -:0401D90000004000E2 -:0401DA0000004000E1 -:0401DB0000004000E0 -:0401DC0000004000DF -:0401DD0000004000DE -:0401DE0000004000DD -:0401DF0000004000DC -:0401E00000004000DB -:0401E10000004000DA -:0401E20000004000D9 -:0401E30000004000D8 -:0401E40000004000D7 -:0401E50000004000D6 -:0401E60000004000D5 -:0401E70000004000D4 -:0401E80000004000D3 -:0401E90000004000D2 -:0401EA0000004000D1 -:0401EB0000004000D0 -:0401EC0000004000CF -:0401ED0000004000CE -:0401EE0000004000CD -:0401EF0000004000CC -:0401F00000004000CB -:0401F10000004000CA -:0401F20000004000C9 -:0401F30000004000C8 -:0401F40000004000C7 -:0401F50000004000C6 -:0401F60000004000C5 -:0401F70000004000C4 -:0401F80000004000C3 -:0401F90000004000C2 -:0401FA0000004000C1 -:0401FB0000004000C0 -:0401FC0000004000BF -:0401FD0000004000BE -:0401FE0000004000BD -:0401FF0000004000BC -:0402000000004000BA -:0402010000004000B9 -:0402020000004000B8 -:0402030000004000B7 -:0402040000004000B6 -:0402050000004000B5 -:0402060000004000B4 -:0402070000004000B3 -:0402080000004000B2 -:0402090000004000B1 -:04020A0000004000B0 -:04020B0000004000AF -:04020C0000004000AE -:04020D0000004000AD -:04020E0000004000AC -:04020F0000004000AB -:0402100000004000AA -:0402110000004000A9 -:0402120000004000A8 -:0402130000004000A7 -:0402140000004000A6 -:0402150000004000A5 -:0402160000004000A4 -:0402170000004000A3 -:0402180000004000A2 -:0402190000004000A1 -:04021A0000004000A0 -:04021B00000040009F -:04021C00000040009E -:04021D00000040009D -:04021E00000040009C -:04021F00000040009B -:04022000000040009A -:040221000000400099 -:040222000000400098 -:040223000000400097 -:040224000000400096 -:040225000000400095 -:040226000000400094 -:040227000000400093 -:040228000000400092 -:040229000000400091 -:04022A000000400090 -:04022B00000040008F -:04022C00000040008E -:04022D00000040008D -:04022E00000040008C -:04022F00000040008B -:04023000000040008A -:040231000000400089 -:040232000000400088 -:040233000000400087 -:040234000000400086 -:040235000000400085 -:040236000000400084 -:040237000000400083 -:040238000000400082 -:040239000000400081 -:04023A000000400080 -:04023B00000040007F -:04023C00000040007E -:04023D00000040007D -:04023E00000040007C -:04023F00000040007B -:04024000000040007A -:040241000000400079 -:040242000000400078 -:040243000000400077 -:040244000000400076 -:040245000000400075 -:040246000000400074 -:040247000000400073 -:040248000000400072 -:040249000000400071 -:04024A000000400070 -:04024B00000040006F -:04024C00000040006E -:04024D00000040006D -:04024E00000040006C -:04024F00000040006B -:04025000000040006A -:040251000000400069 -:040252000000400068 -:040253000000400067 -:040254000000400066 -:040255000000400065 -:040256000000400064 -:040257000000400063 -:040258000000400062 -:040259000000400061 -:04025A000000400060 -:04025B00000040005F -:04025C00000040005E -:04025D00000040005D -:04025E00000040005C -:04025F00000040005B -:04026000000040005A -:040261000000400059 -:040262000000400058 -:040263000000400057 -:040264000000400056 -:040265000000400055 -:040266000000400054 -:040267000000400053 -:040268000000400052 -:040269000000400051 -:04026A000000400050 -:04026B00000040004F -:04026C00000040004E -:04026D00000040004D -:04026E00000040004C -:04026F00000040004B -:04027000000040004A -:040271000000400049 -:040272000000400048 -:040273000000400047 -:040274000000400046 -:040275000000400045 -:040276000000400044 -:040277000000400043 -:040278000000400042 -:040279000000400041 -:04027A000000400040 -:04027B00000040003F -:04027C00000040003E -:04027D00000040003D -:04027E00000040003C -:04027F00000040003B -:04028000000040003A -:040281000000400039 -:040282000000400038 -:040283000000400037 -:040284000000400036 -:040285000000400035 -:040286000000400034 -:040287000000400033 -:040288000000400032 -:040289000000400031 -:04028A000000400030 -:04028B00000040002F -:04028C00000040002E -:04028D00000040002D -:04028E00000040002C -:04028F00000040002B -:04029000000040002A -:040291000000400029 -:040292000000400028 -:040293000000400027 -:040294000000400026 -:040295000000400025 -:040296000000400024 -:040297000000400023 -:040298000000400022 -:040299000000400021 -:04029A000000400020 -:04029B00000040001F -:04029C00000040001E -:04029D00000040001D -:04029E00000040001C -:04029F00000040001B -:0402A000000040001A -:0402A1000000400019 -:0402A2000000400018 -:0402A3000000400017 -:0402A4000000400016 -:0402A5000000400015 -:0402A6000000400014 -:0402A7000000400013 -:0402A8000000400012 -:0402A9000000400011 -:0402AA000000400010 -:0402AB00000040000F -:0402AC00000040000E -:0402AD00000040000D -:0402AE00000040000C -:0402AF00000040000B -:0402B000000040000A -:0402B1000000400009 -:0402B2000000400008 -:0402B3000000400007 -:0402B4000000400006 -:0402B5000000400005 -:0402B6000000400004 -:0402B7000000400003 -:0402B8000000400002 -:0402B9000000400001 -:0402BA000000400000 -:0402BB0000004000FF -:0402BC0000004000FE -:0402BD0000004000FD -:0402BE0000004000FC -:0402BF0000004000FB -:0402C00000004000FA -:0402C10000004000F9 -:0402C20000004000F8 -:0402C30000004000F7 -:0402C40000004000F6 -:0402C50000004000F5 -:0402C60000004000F4 -:0402C70000004000F3 -:0402C80000004000F2 -:0402C90000004000F1 -:0402CA0000004000F0 -:0402CB0000004000EF -:0402CC0000004000EE -:0402CD0000004000ED -:0402CE0000004000EC -:0402CF0000004000EB -:0402D00000004000EA -:0402D10000004000E9 -:0402D20000004000E8 -:0402D30000004000E7 -:0402D40000004000E6 -:0402D50000004000E5 -:0402D60000004000E4 -:0402D70000004000E3 -:0402D80000004000E2 -:0402D90000004000E1 -:0402DA0000004000E0 -:0402DB0000004000DF -:0402DC0000004000DE -:0402DD0000004000DD -:0402DE0000004000DC -:0402DF0000004000DB -:0402E00000004000DA -:0402E10000004000D9 -:0402E20000004000D8 -:0402E30000004000D7 -:0402E40000004000D6 -:0402E50000004000D5 -:0402E60000004000D4 -:0402E70000004000D3 -:0402E80000004000D2 -:0402E90000004000D1 -:0402EA0000004000D0 -:0402EB0000004000CF -:0402EC0000004000CE -:0402ED0000004000CD -:0402EE0000004000CC -:0402EF0000004000CB -:0402F00000004000CA -:0402F10000004000C9 -:0402F20000004000C8 -:0402F30000004000C7 -:0402F40000004000C6 -:0402F50000004000C5 -:0402F60000004000C4 -:0402F70000004000C3 -:0402F80000004000C2 -:0402F90000004000C1 -:0402FA0000004000C0 -:0402FB0000004000BF -:0402FC0000004000BE -:0402FD0000004000BD -:0402FE0000004000BC -:0402FF0000004000BB -:0403000000004000B9 -:0403010000004000B8 -:0403020000004000B7 -:0403030000004000B6 -:0403040000004000B5 -:0403050000004000B4 -:0403060000004000B3 -:0403070000004000B2 -:0403080000004000B1 -:0403090000004000B0 -:04030A0000004000AF -:04030B0000004000AE -:04030C0000004000AD -:04030D0000004000AC -:04030E0000004000AB -:04030F0000004000AA -:0403100000004000A9 -:0403110000004000A8 -:0403120000004000A7 -:0403130000004000A6 -:0403140000004000A5 -:0403150000004000A4 -:0403160000004000A3 -:0403170000004000A2 -:0403180000004000A1 -:0403190000004000A0 -:04031A00000040009F -:04031B00000040009E -:04031C00000040009D -:04031D00000040009C -:04031E00000040009B -:04031F00000040009A -:040320000000400099 -:040321000000400098 -:040322000000400097 -:040323000000400096 -:040324000000400095 -:040325000000400094 -:040326000000400093 -:040327000000400092 -:040328000000400091 -:040329000000400090 -:04032A00000040008F -:04032B00000040008E -:04032C00000040008D -:04032D00000040008C -:04032E00000040008B -:04032F00000040008A -:040330000000400089 -:040331000000400088 -:040332000000400087 -:040333000000400086 -:040334000000400085 -:040335000000400084 -:040336000000400083 -:040337000000400082 -:040338000000400081 -:040339000000400080 -:04033A00000040007F -:04033B00000040007E -:04033C00000040007D -:04033D00000040007C -:04033E00000040007B -:04033F00000040007A -:040340000000400079 -:040341000000400078 -:040342000000400077 -:040343000000400076 -:040344000000400075 -:040345000000400074 -:040346000000400073 -:040347000000400072 -:040348000000400071 -:040349000000400070 -:04034A00000040006F -:04034B00000040006E -:04034C00000040006D -:04034D00000040006C -:04034E00000040006B -:04034F00000040006A -:040350000000400069 -:040351000000400068 -:040352000000400067 -:040353000000400066 -:040354000000400065 -:040355000000400064 -:040356000000400063 -:040357000000400062 -:040358000000400061 -:040359000000400060 -:04035A00000040005F -:04035B00000040005E -:04035C00000040005D -:04035D00000040005C -:04035E00000040005B -:04035F00000040005A -:040360000000400059 -:040361000000400058 -:040362000000400057 -:040363000000400056 -:040364000000400055 -:040365000000400054 -:040366000000400053 -:040367000000400052 -:040368000000400051 -:040369000000400050 -:04036A00000040004F -:04036B00000040004E -:04036C00000040004D -:04036D00000040004C -:04036E00000040004B -:04036F00000040004A -:040370000000400049 -:040371000000400048 -:040372000000400047 -:040373000000400046 -:040374000000400045 -:040375000000400044 -:040376000000400043 -:040377000000400042 -:040378000000400041 -:040379000000400040 -:04037A00000040003F -:04037B00000040003E -:04037C00000040003D -:04037D00000040003C -:04037E00000040003B -:04037F00000040003A -:040380000000400039 -:040381000000400038 -:040382000000400037 -:040383000000400036 -:040384000000400035 -:040385000000400034 -:040386000000400033 -:040387000000400032 -:040388000000400031 -:040389000000400030 -:04038A00000040002F -:04038B00000040002E -:04038C00000040002D -:04038D00000040002C -:04038E00000040002B -:04038F00000040002A -:040390000000400029 -:040391000000400028 -:040392000000400027 -:040393000000400026 -:040394000000400025 -:040395000000400024 -:040396000000400023 -:040397000000400022 -:040398000000400021 -:040399000000400020 -:04039A00000040001F -:04039B00000040001E -:04039C00000040001D -:04039D00000040001C -:04039E00000040001B -:04039F00000040001A -:0403A0000000400019 -:0403A1000000400018 -:0403A2000000400017 -:0403A3000000400016 -:0403A4000000400015 -:0403A5000000400014 -:0403A6000000400013 -:0403A7000000400012 -:0403A8000000400011 -:0403A9000000400010 -:0403AA00000040000F -:0403AB00000040000E -:0403AC00000040000D -:0403AD00000040000C -:0403AE00000040000B -:0403AF00000040000A -:0403B0000000400009 -:0403B1000000400008 -:0403B2000000400007 -:0403B3000000400006 -:0403B4000000400005 -:0403B5000000400004 -:0403B6000000400003 -:0403B7000000400002 -:0403B8000000400001 -:0403B9000000400000 -:0403BA0000004000FF -:0403BB0000004000FE -:0403BC0000004000FD -:0403BD0000004000FC -:0403BE0000004000FB -:0403BF0000004000FA -:0403C00000004000F9 -:0403C10000004000F8 -:0403C20000004000F7 -:0403C30000004000F6 -:0403C40000004000F5 -:0403C50000004000F4 -:0403C60000004000F3 -:0403C70000004000F2 -:0403C80000004000F1 -:0403C90000004000F0 -:0403CA0000004000EF -:0403CB0000004000EE -:0403CC0000004000ED -:0403CD0000004000EC -:0403CE0000004000EB -:0403CF0000004000EA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_2.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_2.hex deleted file mode 100644 index 145449c561b53ba41814116745a0fd440801640e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_2.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400010000004000BB -:0400020000004000BA -:0400030000004000B9 -:0400040000004000B8 -:0400050000004000B7 -:0400060000004000B6 -:0400070000004000B5 -:0400080000004000B4 -:0400090000004000B3 -:04000A0000004000B2 -:04000B0000004000B1 -:04000C0000004000B0 -:04000D0000004000AF -:04000E0000004000AE -:04000F0000004000AD -:0400100000004000AC -:0400110000004000AB -:0400120000004000AA -:0400130000004000A9 -:0400140000004000A8 -:0400150000004000A7 -:0400160000004000A6 -:0400170000004000A5 -:0400180000004000A4 -:0400190000004000A3 -:04001A0000004000A2 -:04001B0000004000A1 -:04001C0000004000A0 -:04001D00000040009F -:04001E00000040009E -:04001F00000040009D -:04002000000040009C -:04002100000040009B -:04002200000040009A -:040023000000400099 -:040024000000400098 -:040025000000400097 -:040026000000400096 -:040027000000400095 -:040028000000400094 -:040029000000400093 -:04002A000000400092 -:04002B000000400091 -:04002C000000400090 -:04002D00000040008F -:04002E00000040008E -:04002F00000040008D -:04003000000040008C -:04003100000040008B -:04003200000040008A -:040033000000400089 -:040034000000400088 -:040035000000400087 -:040036000000400086 -:040037000000400085 -:040038000000400084 -:040039000000400083 -:04003A000000400082 -:04003B000000400081 -:04003C000000400080 -:04003D00000040007F -:04003E00000040007E -:04003F00000040007D -:04004000000040007C -:04004100000040007B -:04004200000040007A -:040043000000400079 -:040044000000400078 -:040045000000400077 -:040046000000400076 -:040047000000400075 -:040048000000400074 -:040049000000400073 -:04004A000000400072 -:04004B000000400071 -:04004C000000400070 -:04004D00000040006F -:04004E00000040006E -:04004F00000040006D -:04005000000040006C -:04005100000040006B -:04005200000040006A -:040053000000400069 -:040054000000400068 -:040055000000400067 -:040056000000400066 -:040057000000400065 -:040058000000400064 -:040059000000400063 -:04005A000000400062 -:04005B000000400061 -:04005C000000400060 -:04005D00000040005F -:04005E00000040005E -:04005F00000040005D -:04006000000040005C -:04006100000040005B -:04006200000040005A -:040063000000400059 -:040064000000400058 -:040065000000400057 -:040066000000400056 -:040067000000400055 -:040068000000400054 -:040069000000400053 -:04006A000000400052 -:04006B000000400051 -:04006C000000400050 -:04006D00000040004F -:04006E00000040004E -:04006F00000040004D -:04007000000040004C -:04007100000040004B -:04007200000040004A -:040073000000400049 -:040074000000400048 -:040075000000400047 -:040076000000400046 -:040077000000400045 -:040078000000400044 -:040079000000400043 -:04007A000000400042 -:04007B000000400041 -:04007C000000400040 -:04007D00000040003F -:04007E00000040003E -:04007F00000040003D -:04008000000040003C -:04008100000040003B -:04008200000040003A -:040083000000400039 -:040084000000400038 -:040085000000400037 -:040086000000400036 -:040087000000400035 -:040088000000400034 -:040089000000400033 -:04008A000000400032 -:04008B000000400031 -:04008C000000400030 -:04008D00000040002F -:04008E00000040002E -:04008F00000040002D -:04009000000040002C -:04009100000040002B -:04009200000040002A -:040093000000400029 -:040094000000400028 -:040095000000400027 -:040096000000400026 -:040097000000400025 -:040098000000400024 -:040099000000400023 -:04009A000000400022 -:04009B000000400021 -:04009C000000400020 -:04009D00000040001F -:04009E00000040001E -:04009F00000040001D -:0400A000000040001C -:0400A100000040001B -:0400A200000040001A -:0400A3000000400019 -:0400A4000000400018 -:0400A5000000400017 -:0400A6000000400016 -:0400A7000000400015 -:0400A8000000400014 -:0400A9000000400013 -:0400AA000000400012 -:0400AB000000400011 -:0400AC000000400010 -:0400AD00000040000F -:0400AE00000040000E -:0400AF00000040000D -:0400B000000040000C -:0400B100000040000B -:0400B200000040000A -:0400B3000000400009 -:0400B4000000400008 -:0400B5000000400007 -:0400B6000000400006 -:0400B7000000400005 -:0400B8000000400004 -:0400B9000000400003 -:0400BA000000400002 -:0400BB000000400001 -:0400BC000000400000 -:0400BD0000004000FF -:0400BE0000004000FE -:0400BF0000004000FD -:0400C00000004000FC -:0400C10000004000FB -:0400C20000004000FA -:0400C30000004000F9 -:0400C40000004000F8 -:0400C50000004000F7 -:0400C60000004000F6 -:0400C70000004000F5 -:0400C80000004000F4 -:0400C90000004000F3 -:0400CA0000004000F2 -:0400CB0000004000F1 -:0400CC0000004000F0 -:0400CD0000004000EF -:0400CE0000004000EE -:0400CF0000004000ED -:0400D00000004000EC -:0400D10000004000EB -:0400D20000004000EA -:0400D30000004000E9 -:0400D40000004000E8 -:0400D50000004000E7 -:0400D60000004000E6 -:0400D70000004000E5 -:0400D80000004000E4 -:0400D90000004000E3 -:0400DA0000004000E2 -:0400DB0000004000E1 -:0400DC0000004000E0 -:0400DD0000004000DF -:0400DE0000004000DE -:0400DF0000004000DD -:0400E00000004000DC -:0400E10000004000DB -:0400E20000004000DA -:0400E30000004000D9 -:0400E40000004000D8 -:0400E50000004000D7 -:0400E60000004000D6 -:0400E70000004000D5 -:0400E80000004000D4 -:0400E90000004000D3 -:0400EA0000004000D2 -:0400EB0000004000D1 -:0400EC0000004000D0 -:0400ED0000004000CF -:0400EE0000004000CE -:0400EF0000004000CD -:0400F00000004000CC -:0400F10000004000CB -:0400F20000004000CA -:0400F30000004000C9 -:0400F40000004000C8 -:0400F50000004000C7 -:0400F60000004000C6 -:0400F70000004000C5 -:0400F80000004000C4 -:0400F90000004000C3 -:0400FA0000004000C2 -:0400FB0000004000C1 -:0400FC0000004000C0 -:0400FD0000004000BF -:0400FE0000004000BE -:0400FF0000004000BD -:0401000000004000BB -:0401010000004000BA -:0401020000004000B9 -:0401030000004000B8 -:0401040000004000B7 -:0401050000004000B6 -:0401060000004000B5 -:0401070000004000B4 -:0401080000004000B3 -:0401090000004000B2 -:04010A0000004000B1 -:04010B0000004000B0 -:04010C0000004000AF -:04010D0000004000AE -:04010E0000004000AD -:04010F0000004000AC -:0401100000004000AB -:0401110000004000AA -:0401120000004000A9 -:0401130000004000A8 -:0401140000004000A7 -:0401150000004000A6 -:0401160000004000A5 -:0401170000004000A4 -:0401180000004000A3 -:0401190000004000A2 -:04011A0000004000A1 -:04011B0000004000A0 -:04011C00000040009F -:04011D00000040009E -:04011E00000040009D -:04011F00000040009C -:04012000000040009B -:04012100000040009A -:040122000000400099 -:040123000000400098 -:040124000000400097 -:040125000000400096 -:040126000000400095 -:040127000000400094 -:040128000000400093 -:040129000000400092 -:04012A000000400091 -:04012B000000400090 -:04012C00000040008F -:04012D00000040008E -:04012E00000040008D -:04012F00000040008C -:04013000000040008B -:04013100000040008A -:040132000000400089 -:040133000000400088 -:040134000000400087 -:040135000000400086 -:040136000000400085 -:040137000000400084 -:040138000000400083 -:040139000000400082 -:04013A000000400081 -:04013B000000400080 -:04013C00000040007F -:04013D00000040007E -:04013E00000040007D -:04013F00000040007C -:04014000000040007B -:04014100000040007A -:040142000000400079 -:040143000000400078 -:040144000000400077 -:040145000000400076 -:040146000000400075 -:040147000000400074 -:040148000000400073 -:040149000000400072 -:04014A000000400071 -:04014B000000400070 -:04014C00000040006F -:04014D00000040006E -:04014E00000040006D -:04014F00000040006C -:04015000000040006B -:04015100000040006A -:040152000000400069 -:040153000000400068 -:040154000000400067 -:040155000000400066 -:040156000000400065 -:040157000000400064 -:040158000000400063 -:040159000000400062 -:04015A000000400061 -:04015B000000400060 -:04015C00000040005F -:04015D00000040005E -:04015E00000040005D -:04015F00000040005C -:04016000000040005B -:04016100000040005A -:040162000000400059 -:040163000000400058 -:040164000000400057 -:040165000000400056 -:040166000000400055 -:040167000000400054 -:040168000000400053 -:040169000000400052 -:04016A000000400051 -:04016B000000400050 -:04016C00000040004F -:04016D00000040004E -:04016E00000040004D -:04016F00000040004C -:04017000000040004B -:04017100000040004A -:040172000000400049 -:040173000000400048 -:040174000000400047 -:040175000000400046 -:040176000000400045 -:040177000000400044 -:040178000000400043 -:040179000000400042 -:04017A000000400041 -:04017B000000400040 -:04017C00000040003F -:04017D00000040003E -:04017E00000040003D -:04017F00000040003C -:04018000000040003B -:04018100000040003A -:040182000000400039 -:040183000000400038 -:040184000000400037 -:040185000000400036 -:040186000000400035 -:040187000000400034 -:040188000000400033 -:040189000000400032 -:04018A000000400031 -:04018B000000400030 -:04018C00000040002F -:04018D00000040002E -:04018E00000040002D -:04018F00000040002C -:04019000000040002B -:04019100000040002A -:040192000000400029 -:040193000000400028 -:040194000000400027 -:040195000000400026 -:040196000000400025 -:040197000000400024 -:040198000000400023 -:040199000000400022 -:04019A000000400021 -:04019B000000400020 -:04019C00000040001F -:04019D00000040001E -:04019E00000040001D -:04019F00000040001C -:0401A000000040001B -:0401A100000040001A -:0401A2000000400019 -:0401A3000000400018 -:0401A4000000400017 -:0401A5000000400016 -:0401A6000000400015 -:0401A7000000400014 -:0401A8000000400013 -:0401A9000000400012 -:0401AA000000400011 -:0401AB000000400010 -:0401AC00000040000F -:0401AD00000040000E -:0401AE00000040000D -:0401AF00000040000C -:0401B000000040000B -:0401B100000040000A -:0401B2000000400009 -:0401B3000000400008 -:0401B4000000400007 -:0401B5000000400006 -:0401B6000000400005 -:0401B7000000400004 -:0401B8000000400003 -:0401B9000000400002 -:0401BA000000400001 -:0401BB000000400000 -:0401BC0000004000FF -:0401BD0000004000FE -:0401BE0000004000FD -:0401BF0000004000FC -:0401C00000004000FB -:0401C10000004000FA -:0401C20000004000F9 -:0401C30000004000F8 -:0401C40000004000F7 -:0401C50000004000F6 -:0401C60000004000F5 -:0401C70000004000F4 -:0401C80000004000F3 -:0401C90000004000F2 -:0401CA0000004000F1 -:0401CB0000004000F0 -:0401CC0000004000EF -:0401CD0000004000EE -:0401CE0000004000ED -:0401CF0000004000EC -:0401D00000004000EB -:0401D10000004000EA -:0401D20000004000E9 -:0401D30000004000E8 -:0401D40000004000E7 -:0401D50000004000E6 -:0401D60000004000E5 -:0401D70000004000E4 -:0401D80000004000E3 -:0401D90000004000E2 -:0401DA0000004000E1 -:0401DB0000004000E0 -:0401DC0000004000DF -:0401DD0000004000DE -:0401DE0000004000DD -:0401DF0000004000DC -:0401E00000004000DB -:0401E10000004000DA -:0401E20000004000D9 -:0401E30000004000D8 -:0401E40000004000D7 -:0401E50000004000D6 -:0401E60000004000D5 -:0401E70000004000D4 -:0401E80000004000D3 -:0401E90000004000D2 -:0401EA0000004000D1 -:0401EB0000004000D0 -:0401EC0000004000CF -:0401ED0000004000CE -:0401EE0000004000CD -:0401EF0000004000CC -:0401F00000004000CB -:0401F10000004000CA -:0401F20000004000C9 -:0401F30000004000C8 -:0401F40000004000C7 -:0401F50000004000C6 -:0401F60000004000C5 -:0401F70000004000C4 -:0401F80000004000C3 -:0401F90000004000C2 -:0401FA0000004000C1 -:0401FB0000004000C0 -:0401FC0000004000BF -:0401FD0000004000BE -:0401FE0000004000BD -:0401FF0000004000BC -:0402000000004000BA -:0402010000004000B9 -:0402020000004000B8 -:0402030000004000B7 -:0402040000004000B6 -:0402050000004000B5 -:0402060000004000B4 -:0402070000004000B3 -:0402080000004000B2 -:0402090000004000B1 -:04020A0000004000B0 -:04020B0000004000AF -:04020C0000004000AE -:04020D0000004000AD -:04020E0000004000AC -:04020F0000004000AB -:0402100000004000AA -:0402110000004000A9 -:0402120000004000A8 -:0402130000004000A7 -:0402140000004000A6 -:0402150000004000A5 -:0402160000004000A4 -:0402170000004000A3 -:0402180000004000A2 -:0402190000004000A1 -:04021A0000004000A0 -:04021B00000040009F -:04021C00000040009E -:04021D00000040009D -:04021E00000040009C -:04021F00000040009B -:04022000000040009A -:040221000000400099 -:040222000000400098 -:040223000000400097 -:040224000000400096 -:040225000000400095 -:040226000000400094 -:040227000000400093 -:040228000000400092 -:040229000000400091 -:04022A000000400090 -:04022B00000040008F -:04022C00000040008E -:04022D00000040008D -:04022E00000040008C -:04022F00000040008B -:04023000000040008A -:040231000000400089 -:040232000000400088 -:040233000000400087 -:040234000000400086 -:040235000000400085 -:040236000000400084 -:040237000000400083 -:040238000000400082 -:040239000000400081 -:04023A000000400080 -:04023B00000040007F -:04023C00000040007E -:04023D00000040007D -:04023E00000040007C -:04023F00000040007B -:04024000000040007A -:040241000000400079 -:040242000000400078 -:040243000000400077 -:040244000000400076 -:040245000000400075 -:040246000000400074 -:040247000000400073 -:040248000000400072 -:040249000000400071 -:04024A000000400070 -:04024B00000040006F -:04024C00000040006E -:04024D00000040006D -:04024E00000040006C -:04024F00000040006B -:04025000000040006A -:040251000000400069 -:040252000000400068 -:040253000000400067 -:040254000000400066 -:040255000000400065 -:040256000000400064 -:040257000000400063 -:040258000000400062 -:040259000000400061 -:04025A000000400060 -:04025B00000040005F -:04025C00000040005E -:04025D00000040005D -:04025E00000040005C -:04025F00000040005B -:04026000000040005A -:040261000000400059 -:040262000000400058 -:040263000000400057 -:040264000000400056 -:040265000000400055 -:040266000000400054 -:040267000000400053 -:040268000000400052 -:040269000000400051 -:04026A000000400050 -:04026B00000040004F -:04026C00000040004E -:04026D00000040004D -:04026E00000040004C -:04026F00000040004B -:04027000000040004A -:040271000000400049 -:040272000000400048 -:040273000000400047 -:040274000000400046 -:040275000000400045 -:040276000000400044 -:040277000000400043 -:040278000000400042 -:040279000000400041 -:04027A000000400040 -:04027B00000040003F -:04027C00000040003E -:04027D00000040003D -:04027E00000040003C -:04027F00000040003B -:04028000000040003A -:040281000000400039 -:040282000000400038 -:040283000000400037 -:040284000000400036 -:040285000000400035 -:040286000000400034 -:040287000000400033 -:040288000000400032 -:040289000000400031 -:04028A000000400030 -:04028B00000040002F -:04028C00000040002E -:04028D00000040002D -:04028E00000040002C -:04028F00000040002B -:04029000000040002A -:040291000000400029 -:040292000000400028 -:040293000000400027 -:040294000000400026 -:040295000000400025 -:040296000000400024 -:040297000000400023 -:040298000000400022 -:040299000000400021 -:04029A000000400020 -:04029B00000040001F -:04029C00000040001E -:04029D00000040001D -:04029E00000040001C -:04029F00000040001B -:0402A000000040001A -:0402A1000000400019 -:0402A2000000400018 -:0402A3000000400017 -:0402A4000000400016 -:0402A5000000400015 -:0402A6000000400014 -:0402A7000000400013 -:0402A8000000400012 -:0402A9000000400011 -:0402AA000000400010 -:0402AB00000040000F -:0402AC00000040000E -:0402AD00000040000D -:0402AE00000040000C -:0402AF00000040000B -:0402B000000040000A -:0402B1000000400009 -:0402B2000000400008 -:0402B3000000400007 -:0402B4000000400006 -:0402B5000000400005 -:0402B6000000400004 -:0402B7000000400003 -:0402B8000000400002 -:0402B9000000400001 -:0402BA000000400000 -:0402BB0000004000FF -:0402BC0000004000FE -:0402BD0000004000FD -:0402BE0000004000FC -:0402BF0000004000FB -:0402C00000004000FA -:0402C10000004000F9 -:0402C20000004000F8 -:0402C30000004000F7 -:0402C40000004000F6 -:0402C50000004000F5 -:0402C60000004000F4 -:0402C70000004000F3 -:0402C80000004000F2 -:0402C90000004000F1 -:0402CA0000004000F0 -:0402CB0000004000EF -:0402CC0000004000EE -:0402CD0000004000ED -:0402CE0000004000EC -:0402CF0000004000EB -:0402D00000004000EA -:0402D10000004000E9 -:0402D20000004000E8 -:0402D30000004000E7 -:0402D40000004000E6 -:0402D50000004000E5 -:0402D60000004000E4 -:0402D70000004000E3 -:0402D80000004000E2 -:0402D90000004000E1 -:0402DA0000004000E0 -:0402DB0000004000DF -:0402DC0000004000DE -:0402DD0000004000DD -:0402DE0000004000DC -:0402DF0000004000DB -:0402E00000004000DA -:0402E10000004000D9 -:0402E20000004000D8 -:0402E30000004000D7 -:0402E40000004000D6 -:0402E50000004000D5 -:0402E60000004000D4 -:0402E70000004000D3 -:0402E80000004000D2 -:0402E90000004000D1 -:0402EA0000004000D0 -:0402EB0000004000CF -:0402EC0000004000CE -:0402ED0000004000CD -:0402EE0000004000CC -:0402EF0000004000CB -:0402F00000004000CA -:0402F10000004000C9 -:0402F20000004000C8 -:0402F30000004000C7 -:0402F40000004000C6 -:0402F50000004000C5 -:0402F60000004000C4 -:0402F70000004000C3 -:0402F80000004000C2 -:0402F90000004000C1 -:0402FA0000004000C0 -:0402FB0000004000BF -:0402FC0000004000BE -:0402FD0000004000BD -:0402FE0000004000BC -:0402FF0000004000BB -:0403000000004000B9 -:0403010000004000B8 -:0403020000004000B7 -:0403030000004000B6 -:0403040000004000B5 -:0403050000004000B4 -:0403060000004000B3 -:0403070000004000B2 -:0403080000004000B1 -:0403090000004000B0 -:04030A0000004000AF -:04030B0000004000AE -:04030C0000004000AD -:04030D0000004000AC -:04030E0000004000AB -:04030F0000004000AA -:0403100000004000A9 -:0403110000004000A8 -:0403120000004000A7 -:0403130000004000A6 -:0403140000004000A5 -:0403150000004000A4 -:0403160000004000A3 -:0403170000004000A2 -:0403180000004000A1 -:0403190000004000A0 -:04031A00000040009F -:04031B00000040009E -:04031C00000040009D -:04031D00000040009C -:04031E00000040009B -:04031F00000040009A -:040320000000400099 -:040321000000400098 -:040322000000400097 -:040323000000400096 -:040324000000400095 -:040325000000400094 -:040326000000400093 -:040327000000400092 -:040328000000400091 -:040329000000400090 -:04032A00000040008F -:04032B00000040008E -:04032C00000040008D -:04032D00000040008C -:04032E00000040008B -:04032F00000040008A -:040330000000400089 -:040331000000400088 -:040332000000400087 -:040333000000400086 -:040334000000400085 -:040335000000400084 -:040336000000400083 -:040337000000400082 -:040338000000400081 -:040339000000400080 -:04033A00000040007F -:04033B00000040007E -:04033C00000040007D -:04033D00000040007C -:04033E00000040007B -:04033F00000040007A -:040340000000400079 -:040341000000400078 -:040342000000400077 -:040343000000400076 -:040344000000400075 -:040345000000400074 -:040346000000400073 -:040347000000400072 -:040348000000400071 -:040349000000400070 -:04034A00000040006F -:04034B00000040006E -:04034C00000040006D -:04034D00000040006C -:04034E00000040006B -:04034F00000040006A -:040350000000400069 -:040351000000400068 -:040352000000400067 -:040353000000400066 -:040354000000400065 -:040355000000400064 -:040356000000400063 -:040357000000400062 -:040358000000400061 -:040359000000400060 -:04035A00000040005F -:04035B00000040005E -:04035C00000040005D -:04035D00000040005C -:04035E00000040005B -:04035F00000040005A -:040360000000400059 -:040361000000400058 -:040362000000400057 -:040363000000400056 -:040364000000400055 -:040365000000400054 -:040366000000400053 -:040367000000400052 -:040368000000400051 -:040369000000400050 -:04036A00000040004F -:04036B00000040004E -:04036C00000040004D -:04036D00000040004C -:04036E00000040004B -:04036F00000040004A -:040370000000400049 -:040371000000400048 -:040372000000400047 -:040373000000400046 -:040374000000400045 -:040375000000400044 -:040376000000400043 -:040377000000400042 -:040378000000400041 -:040379000000400040 -:04037A00000040003F -:04037B00000040003E -:04037C00000040003D -:04037D00000040003C -:04037E00000040003B -:04037F00000040003A -:040380000000400039 -:040381000000400038 -:040382000000400037 -:040383000000400036 -:040384000000400035 -:040385000000400034 -:040386000000400033 -:040387000000400032 -:040388000000400031 -:040389000000400030 -:04038A00000040002F -:04038B00000040002E -:04038C00000040002D -:04038D00000040002C -:04038E00000040002B -:04038F00000040002A -:040390000000400029 -:040391000000400028 -:040392000000400027 -:040393000000400026 -:040394000000400025 -:040395000000400024 -:040396000000400023 -:040397000000400022 -:040398000000400021 -:040399000000400020 -:04039A00000040001F -:04039B00000040001E -:04039C00000040001D -:04039D00000040001C -:04039E00000040001B -:04039F00000040001A -:0403A0000000400019 -:0403A1000000400018 -:0403A2000000400017 -:0403A3000000400016 -:0403A4000000400015 -:0403A5000000400014 -:0403A6000000400013 -:0403A7000000400012 -:0403A8000000400011 -:0403A9000000400010 -:0403AA00000040000F -:0403AB00000040000E -:0403AC00000040000D -:0403AD00000040000C -:0403AE00000040000B -:0403AF00000040000A -:0403B0000000400009 -:0403B1000000400008 -:0403B2000000400007 -:0403B3000000400006 -:0403B4000000400005 -:0403B5000000400004 -:0403B6000000400003 -:0403B7000000400002 -:0403B8000000400001 -:0403B9000000400000 -:0403BA0000004000FF -:0403BB0000004000FE -:0403BC0000004000FD -:0403BD0000004000FC -:0403BE0000004000FB -:0403BF0000004000FA -:0403C00000004000F9 -:0403C10000004000F8 -:0403C20000004000F7 -:0403C30000004000F6 -:0403C40000004000F5 -:0403C50000004000F4 -:0403C60000004000F3 -:0403C70000004000F2 -:0403C80000004000F1 -:0403C90000004000F0 -:0403CA0000004000EF -:0403CB0000004000EE -:0403CC0000004000ED -:0403CD0000004000EC -:0403CE0000004000EB -:0403CF0000004000EA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_3.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_3.hex deleted file mode 100644 index 145449c561b53ba41814116745a0fd440801640e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_3.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400010000004000BB -:0400020000004000BA -:0400030000004000B9 -:0400040000004000B8 -:0400050000004000B7 -:0400060000004000B6 -:0400070000004000B5 -:0400080000004000B4 -:0400090000004000B3 -:04000A0000004000B2 -:04000B0000004000B1 -:04000C0000004000B0 -:04000D0000004000AF -:04000E0000004000AE -:04000F0000004000AD -:0400100000004000AC -:0400110000004000AB -:0400120000004000AA -:0400130000004000A9 -:0400140000004000A8 -:0400150000004000A7 -:0400160000004000A6 -:0400170000004000A5 -:0400180000004000A4 -:0400190000004000A3 -:04001A0000004000A2 -:04001B0000004000A1 -:04001C0000004000A0 -:04001D00000040009F -:04001E00000040009E -:04001F00000040009D -:04002000000040009C -:04002100000040009B -:04002200000040009A -:040023000000400099 -:040024000000400098 -:040025000000400097 -:040026000000400096 -:040027000000400095 -:040028000000400094 -:040029000000400093 -:04002A000000400092 -:04002B000000400091 -:04002C000000400090 -:04002D00000040008F -:04002E00000040008E -:04002F00000040008D -:04003000000040008C -:04003100000040008B -:04003200000040008A -:040033000000400089 -:040034000000400088 -:040035000000400087 -:040036000000400086 -:040037000000400085 -:040038000000400084 -:040039000000400083 -:04003A000000400082 -:04003B000000400081 -:04003C000000400080 -:04003D00000040007F -:04003E00000040007E -:04003F00000040007D -:04004000000040007C -:04004100000040007B -:04004200000040007A -:040043000000400079 -:040044000000400078 -:040045000000400077 -:040046000000400076 -:040047000000400075 -:040048000000400074 -:040049000000400073 -:04004A000000400072 -:04004B000000400071 -:04004C000000400070 -:04004D00000040006F -:04004E00000040006E -:04004F00000040006D -:04005000000040006C -:04005100000040006B -:04005200000040006A -:040053000000400069 -:040054000000400068 -:040055000000400067 -:040056000000400066 -:040057000000400065 -:040058000000400064 -:040059000000400063 -:04005A000000400062 -:04005B000000400061 -:04005C000000400060 -:04005D00000040005F -:04005E00000040005E -:04005F00000040005D -:04006000000040005C -:04006100000040005B -:04006200000040005A -:040063000000400059 -:040064000000400058 -:040065000000400057 -:040066000000400056 -:040067000000400055 -:040068000000400054 -:040069000000400053 -:04006A000000400052 -:04006B000000400051 -:04006C000000400050 -:04006D00000040004F -:04006E00000040004E -:04006F00000040004D -:04007000000040004C -:04007100000040004B -:04007200000040004A -:040073000000400049 -:040074000000400048 -:040075000000400047 -:040076000000400046 -:040077000000400045 -:040078000000400044 -:040079000000400043 -:04007A000000400042 -:04007B000000400041 -:04007C000000400040 -:04007D00000040003F -:04007E00000040003E -:04007F00000040003D -:04008000000040003C -:04008100000040003B -:04008200000040003A -:040083000000400039 -:040084000000400038 -:040085000000400037 -:040086000000400036 -:040087000000400035 -:040088000000400034 -:040089000000400033 -:04008A000000400032 -:04008B000000400031 -:04008C000000400030 -:04008D00000040002F -:04008E00000040002E -:04008F00000040002D -:04009000000040002C -:04009100000040002B -:04009200000040002A -:040093000000400029 -:040094000000400028 -:040095000000400027 -:040096000000400026 -:040097000000400025 -:040098000000400024 -:040099000000400023 -:04009A000000400022 -:04009B000000400021 -:04009C000000400020 -:04009D00000040001F -:04009E00000040001E -:04009F00000040001D -:0400A000000040001C -:0400A100000040001B -:0400A200000040001A -:0400A3000000400019 -:0400A4000000400018 -:0400A5000000400017 -:0400A6000000400016 -:0400A7000000400015 -:0400A8000000400014 -:0400A9000000400013 -:0400AA000000400012 -:0400AB000000400011 -:0400AC000000400010 -:0400AD00000040000F -:0400AE00000040000E -:0400AF00000040000D -:0400B000000040000C -:0400B100000040000B -:0400B200000040000A -:0400B3000000400009 -:0400B4000000400008 -:0400B5000000400007 -:0400B6000000400006 -:0400B7000000400005 -:0400B8000000400004 -:0400B9000000400003 -:0400BA000000400002 -:0400BB000000400001 -:0400BC000000400000 -:0400BD0000004000FF -:0400BE0000004000FE -:0400BF0000004000FD -:0400C00000004000FC -:0400C10000004000FB -:0400C20000004000FA -:0400C30000004000F9 -:0400C40000004000F8 -:0400C50000004000F7 -:0400C60000004000F6 -:0400C70000004000F5 -:0400C80000004000F4 -:0400C90000004000F3 -:0400CA0000004000F2 -:0400CB0000004000F1 -:0400CC0000004000F0 -:0400CD0000004000EF -:0400CE0000004000EE -:0400CF0000004000ED -:0400D00000004000EC -:0400D10000004000EB -:0400D20000004000EA -:0400D30000004000E9 -:0400D40000004000E8 -:0400D50000004000E7 -:0400D60000004000E6 -:0400D70000004000E5 -:0400D80000004000E4 -:0400D90000004000E3 -:0400DA0000004000E2 -:0400DB0000004000E1 -:0400DC0000004000E0 -:0400DD0000004000DF -:0400DE0000004000DE -:0400DF0000004000DD -:0400E00000004000DC -:0400E10000004000DB -:0400E20000004000DA -:0400E30000004000D9 -:0400E40000004000D8 -:0400E50000004000D7 -:0400E60000004000D6 -:0400E70000004000D5 -:0400E80000004000D4 -:0400E90000004000D3 -:0400EA0000004000D2 -:0400EB0000004000D1 -:0400EC0000004000D0 -:0400ED0000004000CF -:0400EE0000004000CE -:0400EF0000004000CD -:0400F00000004000CC -:0400F10000004000CB -:0400F20000004000CA -:0400F30000004000C9 -:0400F40000004000C8 -:0400F50000004000C7 -:0400F60000004000C6 -:0400F70000004000C5 -:0400F80000004000C4 -:0400F90000004000C3 -:0400FA0000004000C2 -:0400FB0000004000C1 -:0400FC0000004000C0 -:0400FD0000004000BF -:0400FE0000004000BE -:0400FF0000004000BD -:0401000000004000BB -:0401010000004000BA -:0401020000004000B9 -:0401030000004000B8 -:0401040000004000B7 -:0401050000004000B6 -:0401060000004000B5 -:0401070000004000B4 -:0401080000004000B3 -:0401090000004000B2 -:04010A0000004000B1 -:04010B0000004000B0 -:04010C0000004000AF -:04010D0000004000AE -:04010E0000004000AD -:04010F0000004000AC -:0401100000004000AB -:0401110000004000AA -:0401120000004000A9 -:0401130000004000A8 -:0401140000004000A7 -:0401150000004000A6 -:0401160000004000A5 -:0401170000004000A4 -:0401180000004000A3 -:0401190000004000A2 -:04011A0000004000A1 -:04011B0000004000A0 -:04011C00000040009F -:04011D00000040009E -:04011E00000040009D -:04011F00000040009C -:04012000000040009B -:04012100000040009A -:040122000000400099 -:040123000000400098 -:040124000000400097 -:040125000000400096 -:040126000000400095 -:040127000000400094 -:040128000000400093 -:040129000000400092 -:04012A000000400091 -:04012B000000400090 -:04012C00000040008F -:04012D00000040008E -:04012E00000040008D -:04012F00000040008C -:04013000000040008B -:04013100000040008A -:040132000000400089 -:040133000000400088 -:040134000000400087 -:040135000000400086 -:040136000000400085 -:040137000000400084 -:040138000000400083 -:040139000000400082 -:04013A000000400081 -:04013B000000400080 -:04013C00000040007F -:04013D00000040007E -:04013E00000040007D -:04013F00000040007C -:04014000000040007B -:04014100000040007A -:040142000000400079 -:040143000000400078 -:040144000000400077 -:040145000000400076 -:040146000000400075 -:040147000000400074 -:040148000000400073 -:040149000000400072 -:04014A000000400071 -:04014B000000400070 -:04014C00000040006F -:04014D00000040006E -:04014E00000040006D -:04014F00000040006C -:04015000000040006B -:04015100000040006A -:040152000000400069 -:040153000000400068 -:040154000000400067 -:040155000000400066 -:040156000000400065 -:040157000000400064 -:040158000000400063 -:040159000000400062 -:04015A000000400061 -:04015B000000400060 -:04015C00000040005F -:04015D00000040005E -:04015E00000040005D -:04015F00000040005C -:04016000000040005B -:04016100000040005A -:040162000000400059 -:040163000000400058 -:040164000000400057 -:040165000000400056 -:040166000000400055 -:040167000000400054 -:040168000000400053 -:040169000000400052 -:04016A000000400051 -:04016B000000400050 -:04016C00000040004F -:04016D00000040004E -:04016E00000040004D -:04016F00000040004C -:04017000000040004B -:04017100000040004A -:040172000000400049 -:040173000000400048 -:040174000000400047 -:040175000000400046 -:040176000000400045 -:040177000000400044 -:040178000000400043 -:040179000000400042 -:04017A000000400041 -:04017B000000400040 -:04017C00000040003F -:04017D00000040003E -:04017E00000040003D -:04017F00000040003C -:04018000000040003B -:04018100000040003A -:040182000000400039 -:040183000000400038 -:040184000000400037 -:040185000000400036 -:040186000000400035 -:040187000000400034 -:040188000000400033 -:040189000000400032 -:04018A000000400031 -:04018B000000400030 -:04018C00000040002F -:04018D00000040002E -:04018E00000040002D -:04018F00000040002C -:04019000000040002B -:04019100000040002A -:040192000000400029 -:040193000000400028 -:040194000000400027 -:040195000000400026 -:040196000000400025 -:040197000000400024 -:040198000000400023 -:040199000000400022 -:04019A000000400021 -:04019B000000400020 -:04019C00000040001F -:04019D00000040001E -:04019E00000040001D -:04019F00000040001C -:0401A000000040001B -:0401A100000040001A -:0401A2000000400019 -:0401A3000000400018 -:0401A4000000400017 -:0401A5000000400016 -:0401A6000000400015 -:0401A7000000400014 -:0401A8000000400013 -:0401A9000000400012 -:0401AA000000400011 -:0401AB000000400010 -:0401AC00000040000F -:0401AD00000040000E -:0401AE00000040000D -:0401AF00000040000C -:0401B000000040000B -:0401B100000040000A -:0401B2000000400009 -:0401B3000000400008 -:0401B4000000400007 -:0401B5000000400006 -:0401B6000000400005 -:0401B7000000400004 -:0401B8000000400003 -:0401B9000000400002 -:0401BA000000400001 -:0401BB000000400000 -:0401BC0000004000FF -:0401BD0000004000FE -:0401BE0000004000FD -:0401BF0000004000FC -:0401C00000004000FB -:0401C10000004000FA -:0401C20000004000F9 -:0401C30000004000F8 -:0401C40000004000F7 -:0401C50000004000F6 -:0401C60000004000F5 -:0401C70000004000F4 -:0401C80000004000F3 -:0401C90000004000F2 -:0401CA0000004000F1 -:0401CB0000004000F0 -:0401CC0000004000EF -:0401CD0000004000EE -:0401CE0000004000ED -:0401CF0000004000EC -:0401D00000004000EB -:0401D10000004000EA -:0401D20000004000E9 -:0401D30000004000E8 -:0401D40000004000E7 -:0401D50000004000E6 -:0401D60000004000E5 -:0401D70000004000E4 -:0401D80000004000E3 -:0401D90000004000E2 -:0401DA0000004000E1 -:0401DB0000004000E0 -:0401DC0000004000DF -:0401DD0000004000DE -:0401DE0000004000DD -:0401DF0000004000DC -:0401E00000004000DB -:0401E10000004000DA -:0401E20000004000D9 -:0401E30000004000D8 -:0401E40000004000D7 -:0401E50000004000D6 -:0401E60000004000D5 -:0401E70000004000D4 -:0401E80000004000D3 -:0401E90000004000D2 -:0401EA0000004000D1 -:0401EB0000004000D0 -:0401EC0000004000CF -:0401ED0000004000CE -:0401EE0000004000CD -:0401EF0000004000CC -:0401F00000004000CB -:0401F10000004000CA -:0401F20000004000C9 -:0401F30000004000C8 -:0401F40000004000C7 -:0401F50000004000C6 -:0401F60000004000C5 -:0401F70000004000C4 -:0401F80000004000C3 -:0401F90000004000C2 -:0401FA0000004000C1 -:0401FB0000004000C0 -:0401FC0000004000BF -:0401FD0000004000BE -:0401FE0000004000BD -:0401FF0000004000BC -:0402000000004000BA -:0402010000004000B9 -:0402020000004000B8 -:0402030000004000B7 -:0402040000004000B6 -:0402050000004000B5 -:0402060000004000B4 -:0402070000004000B3 -:0402080000004000B2 -:0402090000004000B1 -:04020A0000004000B0 -:04020B0000004000AF -:04020C0000004000AE -:04020D0000004000AD -:04020E0000004000AC -:04020F0000004000AB -:0402100000004000AA -:0402110000004000A9 -:0402120000004000A8 -:0402130000004000A7 -:0402140000004000A6 -:0402150000004000A5 -:0402160000004000A4 -:0402170000004000A3 -:0402180000004000A2 -:0402190000004000A1 -:04021A0000004000A0 -:04021B00000040009F -:04021C00000040009E -:04021D00000040009D -:04021E00000040009C -:04021F00000040009B -:04022000000040009A -:040221000000400099 -:040222000000400098 -:040223000000400097 -:040224000000400096 -:040225000000400095 -:040226000000400094 -:040227000000400093 -:040228000000400092 -:040229000000400091 -:04022A000000400090 -:04022B00000040008F -:04022C00000040008E -:04022D00000040008D -:04022E00000040008C -:04022F00000040008B -:04023000000040008A -:040231000000400089 -:040232000000400088 -:040233000000400087 -:040234000000400086 -:040235000000400085 -:040236000000400084 -:040237000000400083 -:040238000000400082 -:040239000000400081 -:04023A000000400080 -:04023B00000040007F -:04023C00000040007E -:04023D00000040007D -:04023E00000040007C -:04023F00000040007B -:04024000000040007A -:040241000000400079 -:040242000000400078 -:040243000000400077 -:040244000000400076 -:040245000000400075 -:040246000000400074 -:040247000000400073 -:040248000000400072 -:040249000000400071 -:04024A000000400070 -:04024B00000040006F -:04024C00000040006E -:04024D00000040006D -:04024E00000040006C -:04024F00000040006B -:04025000000040006A -:040251000000400069 -:040252000000400068 -:040253000000400067 -:040254000000400066 -:040255000000400065 -:040256000000400064 -:040257000000400063 -:040258000000400062 -:040259000000400061 -:04025A000000400060 -:04025B00000040005F -:04025C00000040005E -:04025D00000040005D -:04025E00000040005C -:04025F00000040005B -:04026000000040005A -:040261000000400059 -:040262000000400058 -:040263000000400057 -:040264000000400056 -:040265000000400055 -:040266000000400054 -:040267000000400053 -:040268000000400052 -:040269000000400051 -:04026A000000400050 -:04026B00000040004F -:04026C00000040004E -:04026D00000040004D -:04026E00000040004C -:04026F00000040004B -:04027000000040004A -:040271000000400049 -:040272000000400048 -:040273000000400047 -:040274000000400046 -:040275000000400045 -:040276000000400044 -:040277000000400043 -:040278000000400042 -:040279000000400041 -:04027A000000400040 -:04027B00000040003F -:04027C00000040003E -:04027D00000040003D -:04027E00000040003C -:04027F00000040003B -:04028000000040003A -:040281000000400039 -:040282000000400038 -:040283000000400037 -:040284000000400036 -:040285000000400035 -:040286000000400034 -:040287000000400033 -:040288000000400032 -:040289000000400031 -:04028A000000400030 -:04028B00000040002F -:04028C00000040002E -:04028D00000040002D -:04028E00000040002C -:04028F00000040002B -:04029000000040002A -:040291000000400029 -:040292000000400028 -:040293000000400027 -:040294000000400026 -:040295000000400025 -:040296000000400024 -:040297000000400023 -:040298000000400022 -:040299000000400021 -:04029A000000400020 -:04029B00000040001F -:04029C00000040001E -:04029D00000040001D -:04029E00000040001C -:04029F00000040001B -:0402A000000040001A -:0402A1000000400019 -:0402A2000000400018 -:0402A3000000400017 -:0402A4000000400016 -:0402A5000000400015 -:0402A6000000400014 -:0402A7000000400013 -:0402A8000000400012 -:0402A9000000400011 -:0402AA000000400010 -:0402AB00000040000F -:0402AC00000040000E -:0402AD00000040000D -:0402AE00000040000C -:0402AF00000040000B -:0402B000000040000A -:0402B1000000400009 -:0402B2000000400008 -:0402B3000000400007 -:0402B4000000400006 -:0402B5000000400005 -:0402B6000000400004 -:0402B7000000400003 -:0402B8000000400002 -:0402B9000000400001 -:0402BA000000400000 -:0402BB0000004000FF -:0402BC0000004000FE -:0402BD0000004000FD -:0402BE0000004000FC -:0402BF0000004000FB -:0402C00000004000FA -:0402C10000004000F9 -:0402C20000004000F8 -:0402C30000004000F7 -:0402C40000004000F6 -:0402C50000004000F5 -:0402C60000004000F4 -:0402C70000004000F3 -:0402C80000004000F2 -:0402C90000004000F1 -:0402CA0000004000F0 -:0402CB0000004000EF -:0402CC0000004000EE -:0402CD0000004000ED -:0402CE0000004000EC -:0402CF0000004000EB -:0402D00000004000EA -:0402D10000004000E9 -:0402D20000004000E8 -:0402D30000004000E7 -:0402D40000004000E6 -:0402D50000004000E5 -:0402D60000004000E4 -:0402D70000004000E3 -:0402D80000004000E2 -:0402D90000004000E1 -:0402DA0000004000E0 -:0402DB0000004000DF -:0402DC0000004000DE -:0402DD0000004000DD -:0402DE0000004000DC -:0402DF0000004000DB -:0402E00000004000DA -:0402E10000004000D9 -:0402E20000004000D8 -:0402E30000004000D7 -:0402E40000004000D6 -:0402E50000004000D5 -:0402E60000004000D4 -:0402E70000004000D3 -:0402E80000004000D2 -:0402E90000004000D1 -:0402EA0000004000D0 -:0402EB0000004000CF -:0402EC0000004000CE -:0402ED0000004000CD -:0402EE0000004000CC -:0402EF0000004000CB -:0402F00000004000CA -:0402F10000004000C9 -:0402F20000004000C8 -:0402F30000004000C7 -:0402F40000004000C6 -:0402F50000004000C5 -:0402F60000004000C4 -:0402F70000004000C3 -:0402F80000004000C2 -:0402F90000004000C1 -:0402FA0000004000C0 -:0402FB0000004000BF -:0402FC0000004000BE -:0402FD0000004000BD -:0402FE0000004000BC -:0402FF0000004000BB -:0403000000004000B9 -:0403010000004000B8 -:0403020000004000B7 -:0403030000004000B6 -:0403040000004000B5 -:0403050000004000B4 -:0403060000004000B3 -:0403070000004000B2 -:0403080000004000B1 -:0403090000004000B0 -:04030A0000004000AF -:04030B0000004000AE -:04030C0000004000AD -:04030D0000004000AC -:04030E0000004000AB -:04030F0000004000AA -:0403100000004000A9 -:0403110000004000A8 -:0403120000004000A7 -:0403130000004000A6 -:0403140000004000A5 -:0403150000004000A4 -:0403160000004000A3 -:0403170000004000A2 -:0403180000004000A1 -:0403190000004000A0 -:04031A00000040009F -:04031B00000040009E -:04031C00000040009D -:04031D00000040009C -:04031E00000040009B -:04031F00000040009A -:040320000000400099 -:040321000000400098 -:040322000000400097 -:040323000000400096 -:040324000000400095 -:040325000000400094 -:040326000000400093 -:040327000000400092 -:040328000000400091 -:040329000000400090 -:04032A00000040008F -:04032B00000040008E -:04032C00000040008D -:04032D00000040008C -:04032E00000040008B -:04032F00000040008A -:040330000000400089 -:040331000000400088 -:040332000000400087 -:040333000000400086 -:040334000000400085 -:040335000000400084 -:040336000000400083 -:040337000000400082 -:040338000000400081 -:040339000000400080 -:04033A00000040007F -:04033B00000040007E -:04033C00000040007D -:04033D00000040007C -:04033E00000040007B -:04033F00000040007A -:040340000000400079 -:040341000000400078 -:040342000000400077 -:040343000000400076 -:040344000000400075 -:040345000000400074 -:040346000000400073 -:040347000000400072 -:040348000000400071 -:040349000000400070 -:04034A00000040006F -:04034B00000040006E -:04034C00000040006D -:04034D00000040006C -:04034E00000040006B -:04034F00000040006A -:040350000000400069 -:040351000000400068 -:040352000000400067 -:040353000000400066 -:040354000000400065 -:040355000000400064 -:040356000000400063 -:040357000000400062 -:040358000000400061 -:040359000000400060 -:04035A00000040005F -:04035B00000040005E -:04035C00000040005D -:04035D00000040005C -:04035E00000040005B -:04035F00000040005A -:040360000000400059 -:040361000000400058 -:040362000000400057 -:040363000000400056 -:040364000000400055 -:040365000000400054 -:040366000000400053 -:040367000000400052 -:040368000000400051 -:040369000000400050 -:04036A00000040004F -:04036B00000040004E -:04036C00000040004D -:04036D00000040004C -:04036E00000040004B -:04036F00000040004A -:040370000000400049 -:040371000000400048 -:040372000000400047 -:040373000000400046 -:040374000000400045 -:040375000000400044 -:040376000000400043 -:040377000000400042 -:040378000000400041 -:040379000000400040 -:04037A00000040003F -:04037B00000040003E -:04037C00000040003D -:04037D00000040003C -:04037E00000040003B -:04037F00000040003A -:040380000000400039 -:040381000000400038 -:040382000000400037 -:040383000000400036 -:040384000000400035 -:040385000000400034 -:040386000000400033 -:040387000000400032 -:040388000000400031 -:040389000000400030 -:04038A00000040002F -:04038B00000040002E -:04038C00000040002D -:04038D00000040002C -:04038E00000040002B -:04038F00000040002A -:040390000000400029 -:040391000000400028 -:040392000000400027 -:040393000000400026 -:040394000000400025 -:040395000000400024 -:040396000000400023 -:040397000000400022 -:040398000000400021 -:040399000000400020 -:04039A00000040001F -:04039B00000040001E -:04039C00000040001D -:04039D00000040001C -:04039E00000040001B -:04039F00000040001A -:0403A0000000400019 -:0403A1000000400018 -:0403A2000000400017 -:0403A3000000400016 -:0403A4000000400015 -:0403A5000000400014 -:0403A6000000400013 -:0403A7000000400012 -:0403A8000000400011 -:0403A9000000400010 -:0403AA00000040000F -:0403AB00000040000E -:0403AC00000040000D -:0403AD00000040000C -:0403AE00000040000B -:0403AF00000040000A -:0403B0000000400009 -:0403B1000000400008 -:0403B2000000400007 -:0403B3000000400006 -:0403B4000000400005 -:0403B5000000400004 -:0403B6000000400003 -:0403B7000000400002 -:0403B8000000400001 -:0403B9000000400000 -:0403BA0000004000FF -:0403BB0000004000FE -:0403BC0000004000FD -:0403BD0000004000FC -:0403BE0000004000FB -:0403BF0000004000FA -:0403C00000004000F9 -:0403C10000004000F8 -:0403C20000004000F7 -:0403C30000004000F6 -:0403C40000004000F5 -:0403C50000004000F4 -:0403C60000004000F3 -:0403C70000004000F2 -:0403C80000004000F1 -:0403C90000004000F0 -:0403CA0000004000EF -:0403CB0000004000EE -:0403CC0000004000ED -:0403CD0000004000EC -:0403CE0000004000EB -:0403CF0000004000EA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_4.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_4.hex deleted file mode 100644 index 145449c561b53ba41814116745a0fd440801640e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_4.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400010000004000BB -:0400020000004000BA -:0400030000004000B9 -:0400040000004000B8 -:0400050000004000B7 -:0400060000004000B6 -:0400070000004000B5 -:0400080000004000B4 -:0400090000004000B3 -:04000A0000004000B2 -:04000B0000004000B1 -:04000C0000004000B0 -:04000D0000004000AF -:04000E0000004000AE -:04000F0000004000AD -:0400100000004000AC -:0400110000004000AB -:0400120000004000AA -:0400130000004000A9 -:0400140000004000A8 -:0400150000004000A7 -:0400160000004000A6 -:0400170000004000A5 -:0400180000004000A4 -:0400190000004000A3 -:04001A0000004000A2 -:04001B0000004000A1 -:04001C0000004000A0 -:04001D00000040009F -:04001E00000040009E -:04001F00000040009D -:04002000000040009C -:04002100000040009B -:04002200000040009A -:040023000000400099 -:040024000000400098 -:040025000000400097 -:040026000000400096 -:040027000000400095 -:040028000000400094 -:040029000000400093 -:04002A000000400092 -:04002B000000400091 -:04002C000000400090 -:04002D00000040008F -:04002E00000040008E -:04002F00000040008D -:04003000000040008C -:04003100000040008B -:04003200000040008A -:040033000000400089 -:040034000000400088 -:040035000000400087 -:040036000000400086 -:040037000000400085 -:040038000000400084 -:040039000000400083 -:04003A000000400082 -:04003B000000400081 -:04003C000000400080 -:04003D00000040007F -:04003E00000040007E -:04003F00000040007D -:04004000000040007C -:04004100000040007B -:04004200000040007A -:040043000000400079 -:040044000000400078 -:040045000000400077 -:040046000000400076 -:040047000000400075 -:040048000000400074 -:040049000000400073 -:04004A000000400072 -:04004B000000400071 -:04004C000000400070 -:04004D00000040006F -:04004E00000040006E -:04004F00000040006D -:04005000000040006C -:04005100000040006B -:04005200000040006A -:040053000000400069 -:040054000000400068 -:040055000000400067 -:040056000000400066 -:040057000000400065 -:040058000000400064 -:040059000000400063 -:04005A000000400062 -:04005B000000400061 -:04005C000000400060 -:04005D00000040005F -:04005E00000040005E -:04005F00000040005D -:04006000000040005C -:04006100000040005B -:04006200000040005A -:040063000000400059 -:040064000000400058 -:040065000000400057 -:040066000000400056 -:040067000000400055 -:040068000000400054 -:040069000000400053 -:04006A000000400052 -:04006B000000400051 -:04006C000000400050 -:04006D00000040004F -:04006E00000040004E -:04006F00000040004D -:04007000000040004C -:04007100000040004B -:04007200000040004A -:040073000000400049 -:040074000000400048 -:040075000000400047 -:040076000000400046 -:040077000000400045 -:040078000000400044 -:040079000000400043 -:04007A000000400042 -:04007B000000400041 -:04007C000000400040 -:04007D00000040003F -:04007E00000040003E -:04007F00000040003D -:04008000000040003C -:04008100000040003B -:04008200000040003A -:040083000000400039 -:040084000000400038 -:040085000000400037 -:040086000000400036 -:040087000000400035 -:040088000000400034 -:040089000000400033 -:04008A000000400032 -:04008B000000400031 -:04008C000000400030 -:04008D00000040002F -:04008E00000040002E -:04008F00000040002D -:04009000000040002C -:04009100000040002B -:04009200000040002A -:040093000000400029 -:040094000000400028 -:040095000000400027 -:040096000000400026 -:040097000000400025 -:040098000000400024 -:040099000000400023 -:04009A000000400022 -:04009B000000400021 -:04009C000000400020 -:04009D00000040001F -:04009E00000040001E -:04009F00000040001D -:0400A000000040001C -:0400A100000040001B -:0400A200000040001A -:0400A3000000400019 -:0400A4000000400018 -:0400A5000000400017 -:0400A6000000400016 -:0400A7000000400015 -:0400A8000000400014 -:0400A9000000400013 -:0400AA000000400012 -:0400AB000000400011 -:0400AC000000400010 -:0400AD00000040000F -:0400AE00000040000E -:0400AF00000040000D -:0400B000000040000C -:0400B100000040000B -:0400B200000040000A -:0400B3000000400009 -:0400B4000000400008 -:0400B5000000400007 -:0400B6000000400006 -:0400B7000000400005 -:0400B8000000400004 -:0400B9000000400003 -:0400BA000000400002 -:0400BB000000400001 -:0400BC000000400000 -:0400BD0000004000FF -:0400BE0000004000FE -:0400BF0000004000FD -:0400C00000004000FC -:0400C10000004000FB -:0400C20000004000FA -:0400C30000004000F9 -:0400C40000004000F8 -:0400C50000004000F7 -:0400C60000004000F6 -:0400C70000004000F5 -:0400C80000004000F4 -:0400C90000004000F3 -:0400CA0000004000F2 -:0400CB0000004000F1 -:0400CC0000004000F0 -:0400CD0000004000EF -:0400CE0000004000EE -:0400CF0000004000ED -:0400D00000004000EC -:0400D10000004000EB -:0400D20000004000EA -:0400D30000004000E9 -:0400D40000004000E8 -:0400D50000004000E7 -:0400D60000004000E6 -:0400D70000004000E5 -:0400D80000004000E4 -:0400D90000004000E3 -:0400DA0000004000E2 -:0400DB0000004000E1 -:0400DC0000004000E0 -:0400DD0000004000DF -:0400DE0000004000DE -:0400DF0000004000DD -:0400E00000004000DC -:0400E10000004000DB -:0400E20000004000DA -:0400E30000004000D9 -:0400E40000004000D8 -:0400E50000004000D7 -:0400E60000004000D6 -:0400E70000004000D5 -:0400E80000004000D4 -:0400E90000004000D3 -:0400EA0000004000D2 -:0400EB0000004000D1 -:0400EC0000004000D0 -:0400ED0000004000CF -:0400EE0000004000CE -:0400EF0000004000CD -:0400F00000004000CC -:0400F10000004000CB -:0400F20000004000CA -:0400F30000004000C9 -:0400F40000004000C8 -:0400F50000004000C7 -:0400F60000004000C6 -:0400F70000004000C5 -:0400F80000004000C4 -:0400F90000004000C3 -:0400FA0000004000C2 -:0400FB0000004000C1 -:0400FC0000004000C0 -:0400FD0000004000BF -:0400FE0000004000BE -:0400FF0000004000BD -:0401000000004000BB -:0401010000004000BA -:0401020000004000B9 -:0401030000004000B8 -:0401040000004000B7 -:0401050000004000B6 -:0401060000004000B5 -:0401070000004000B4 -:0401080000004000B3 -:0401090000004000B2 -:04010A0000004000B1 -:04010B0000004000B0 -:04010C0000004000AF -:04010D0000004000AE -:04010E0000004000AD -:04010F0000004000AC -:0401100000004000AB -:0401110000004000AA -:0401120000004000A9 -:0401130000004000A8 -:0401140000004000A7 -:0401150000004000A6 -:0401160000004000A5 -:0401170000004000A4 -:0401180000004000A3 -:0401190000004000A2 -:04011A0000004000A1 -:04011B0000004000A0 -:04011C00000040009F -:04011D00000040009E -:04011E00000040009D -:04011F00000040009C -:04012000000040009B -:04012100000040009A -:040122000000400099 -:040123000000400098 -:040124000000400097 -:040125000000400096 -:040126000000400095 -:040127000000400094 -:040128000000400093 -:040129000000400092 -:04012A000000400091 -:04012B000000400090 -:04012C00000040008F -:04012D00000040008E -:04012E00000040008D -:04012F00000040008C -:04013000000040008B -:04013100000040008A -:040132000000400089 -:040133000000400088 -:040134000000400087 -:040135000000400086 -:040136000000400085 -:040137000000400084 -:040138000000400083 -:040139000000400082 -:04013A000000400081 -:04013B000000400080 -:04013C00000040007F -:04013D00000040007E -:04013E00000040007D -:04013F00000040007C -:04014000000040007B -:04014100000040007A -:040142000000400079 -:040143000000400078 -:040144000000400077 -:040145000000400076 -:040146000000400075 -:040147000000400074 -:040148000000400073 -:040149000000400072 -:04014A000000400071 -:04014B000000400070 -:04014C00000040006F -:04014D00000040006E -:04014E00000040006D -:04014F00000040006C -:04015000000040006B -:04015100000040006A -:040152000000400069 -:040153000000400068 -:040154000000400067 -:040155000000400066 -:040156000000400065 -:040157000000400064 -:040158000000400063 -:040159000000400062 -:04015A000000400061 -:04015B000000400060 -:04015C00000040005F -:04015D00000040005E -:04015E00000040005D -:04015F00000040005C -:04016000000040005B -:04016100000040005A -:040162000000400059 -:040163000000400058 -:040164000000400057 -:040165000000400056 -:040166000000400055 -:040167000000400054 -:040168000000400053 -:040169000000400052 -:04016A000000400051 -:04016B000000400050 -:04016C00000040004F -:04016D00000040004E -:04016E00000040004D -:04016F00000040004C -:04017000000040004B -:04017100000040004A -:040172000000400049 -:040173000000400048 -:040174000000400047 -:040175000000400046 -:040176000000400045 -:040177000000400044 -:040178000000400043 -:040179000000400042 -:04017A000000400041 -:04017B000000400040 -:04017C00000040003F -:04017D00000040003E -:04017E00000040003D -:04017F00000040003C -:04018000000040003B -:04018100000040003A -:040182000000400039 -:040183000000400038 -:040184000000400037 -:040185000000400036 -:040186000000400035 -:040187000000400034 -:040188000000400033 -:040189000000400032 -:04018A000000400031 -:04018B000000400030 -:04018C00000040002F -:04018D00000040002E -:04018E00000040002D -:04018F00000040002C -:04019000000040002B -:04019100000040002A -:040192000000400029 -:040193000000400028 -:040194000000400027 -:040195000000400026 -:040196000000400025 -:040197000000400024 -:040198000000400023 -:040199000000400022 -:04019A000000400021 -:04019B000000400020 -:04019C00000040001F -:04019D00000040001E -:04019E00000040001D -:04019F00000040001C -:0401A000000040001B -:0401A100000040001A -:0401A2000000400019 -:0401A3000000400018 -:0401A4000000400017 -:0401A5000000400016 -:0401A6000000400015 -:0401A7000000400014 -:0401A8000000400013 -:0401A9000000400012 -:0401AA000000400011 -:0401AB000000400010 -:0401AC00000040000F -:0401AD00000040000E -:0401AE00000040000D -:0401AF00000040000C -:0401B000000040000B -:0401B100000040000A -:0401B2000000400009 -:0401B3000000400008 -:0401B4000000400007 -:0401B5000000400006 -:0401B6000000400005 -:0401B7000000400004 -:0401B8000000400003 -:0401B9000000400002 -:0401BA000000400001 -:0401BB000000400000 -:0401BC0000004000FF -:0401BD0000004000FE -:0401BE0000004000FD -:0401BF0000004000FC -:0401C00000004000FB -:0401C10000004000FA -:0401C20000004000F9 -:0401C30000004000F8 -:0401C40000004000F7 -:0401C50000004000F6 -:0401C60000004000F5 -:0401C70000004000F4 -:0401C80000004000F3 -:0401C90000004000F2 -:0401CA0000004000F1 -:0401CB0000004000F0 -:0401CC0000004000EF -:0401CD0000004000EE -:0401CE0000004000ED -:0401CF0000004000EC -:0401D00000004000EB -:0401D10000004000EA -:0401D20000004000E9 -:0401D30000004000E8 -:0401D40000004000E7 -:0401D50000004000E6 -:0401D60000004000E5 -:0401D70000004000E4 -:0401D80000004000E3 -:0401D90000004000E2 -:0401DA0000004000E1 -:0401DB0000004000E0 -:0401DC0000004000DF -:0401DD0000004000DE -:0401DE0000004000DD -:0401DF0000004000DC -:0401E00000004000DB -:0401E10000004000DA -:0401E20000004000D9 -:0401E30000004000D8 -:0401E40000004000D7 -:0401E50000004000D6 -:0401E60000004000D5 -:0401E70000004000D4 -:0401E80000004000D3 -:0401E90000004000D2 -:0401EA0000004000D1 -:0401EB0000004000D0 -:0401EC0000004000CF -:0401ED0000004000CE -:0401EE0000004000CD -:0401EF0000004000CC -:0401F00000004000CB -:0401F10000004000CA -:0401F20000004000C9 -:0401F30000004000C8 -:0401F40000004000C7 -:0401F50000004000C6 -:0401F60000004000C5 -:0401F70000004000C4 -:0401F80000004000C3 -:0401F90000004000C2 -:0401FA0000004000C1 -:0401FB0000004000C0 -:0401FC0000004000BF -:0401FD0000004000BE -:0401FE0000004000BD -:0401FF0000004000BC -:0402000000004000BA -:0402010000004000B9 -:0402020000004000B8 -:0402030000004000B7 -:0402040000004000B6 -:0402050000004000B5 -:0402060000004000B4 -:0402070000004000B3 -:0402080000004000B2 -:0402090000004000B1 -:04020A0000004000B0 -:04020B0000004000AF -:04020C0000004000AE -:04020D0000004000AD -:04020E0000004000AC -:04020F0000004000AB -:0402100000004000AA -:0402110000004000A9 -:0402120000004000A8 -:0402130000004000A7 -:0402140000004000A6 -:0402150000004000A5 -:0402160000004000A4 -:0402170000004000A3 -:0402180000004000A2 -:0402190000004000A1 -:04021A0000004000A0 -:04021B00000040009F -:04021C00000040009E -:04021D00000040009D -:04021E00000040009C -:04021F00000040009B -:04022000000040009A -:040221000000400099 -:040222000000400098 -:040223000000400097 -:040224000000400096 -:040225000000400095 -:040226000000400094 -:040227000000400093 -:040228000000400092 -:040229000000400091 -:04022A000000400090 -:04022B00000040008F -:04022C00000040008E -:04022D00000040008D -:04022E00000040008C -:04022F00000040008B -:04023000000040008A -:040231000000400089 -:040232000000400088 -:040233000000400087 -:040234000000400086 -:040235000000400085 -:040236000000400084 -:040237000000400083 -:040238000000400082 -:040239000000400081 -:04023A000000400080 -:04023B00000040007F -:04023C00000040007E -:04023D00000040007D -:04023E00000040007C -:04023F00000040007B -:04024000000040007A -:040241000000400079 -:040242000000400078 -:040243000000400077 -:040244000000400076 -:040245000000400075 -:040246000000400074 -:040247000000400073 -:040248000000400072 -:040249000000400071 -:04024A000000400070 -:04024B00000040006F -:04024C00000040006E -:04024D00000040006D -:04024E00000040006C -:04024F00000040006B -:04025000000040006A -:040251000000400069 -:040252000000400068 -:040253000000400067 -:040254000000400066 -:040255000000400065 -:040256000000400064 -:040257000000400063 -:040258000000400062 -:040259000000400061 -:04025A000000400060 -:04025B00000040005F -:04025C00000040005E -:04025D00000040005D -:04025E00000040005C -:04025F00000040005B -:04026000000040005A -:040261000000400059 -:040262000000400058 -:040263000000400057 -:040264000000400056 -:040265000000400055 -:040266000000400054 -:040267000000400053 -:040268000000400052 -:040269000000400051 -:04026A000000400050 -:04026B00000040004F -:04026C00000040004E -:04026D00000040004D -:04026E00000040004C -:04026F00000040004B -:04027000000040004A -:040271000000400049 -:040272000000400048 -:040273000000400047 -:040274000000400046 -:040275000000400045 -:040276000000400044 -:040277000000400043 -:040278000000400042 -:040279000000400041 -:04027A000000400040 -:04027B00000040003F -:04027C00000040003E -:04027D00000040003D -:04027E00000040003C -:04027F00000040003B -:04028000000040003A -:040281000000400039 -:040282000000400038 -:040283000000400037 -:040284000000400036 -:040285000000400035 -:040286000000400034 -:040287000000400033 -:040288000000400032 -:040289000000400031 -:04028A000000400030 -:04028B00000040002F -:04028C00000040002E -:04028D00000040002D -:04028E00000040002C -:04028F00000040002B -:04029000000040002A -:040291000000400029 -:040292000000400028 -:040293000000400027 -:040294000000400026 -:040295000000400025 -:040296000000400024 -:040297000000400023 -:040298000000400022 -:040299000000400021 -:04029A000000400020 -:04029B00000040001F -:04029C00000040001E -:04029D00000040001D -:04029E00000040001C -:04029F00000040001B -:0402A000000040001A -:0402A1000000400019 -:0402A2000000400018 -:0402A3000000400017 -:0402A4000000400016 -:0402A5000000400015 -:0402A6000000400014 -:0402A7000000400013 -:0402A8000000400012 -:0402A9000000400011 -:0402AA000000400010 -:0402AB00000040000F -:0402AC00000040000E -:0402AD00000040000D -:0402AE00000040000C -:0402AF00000040000B -:0402B000000040000A -:0402B1000000400009 -:0402B2000000400008 -:0402B3000000400007 -:0402B4000000400006 -:0402B5000000400005 -:0402B6000000400004 -:0402B7000000400003 -:0402B8000000400002 -:0402B9000000400001 -:0402BA000000400000 -:0402BB0000004000FF -:0402BC0000004000FE -:0402BD0000004000FD -:0402BE0000004000FC -:0402BF0000004000FB -:0402C00000004000FA -:0402C10000004000F9 -:0402C20000004000F8 -:0402C30000004000F7 -:0402C40000004000F6 -:0402C50000004000F5 -:0402C60000004000F4 -:0402C70000004000F3 -:0402C80000004000F2 -:0402C90000004000F1 -:0402CA0000004000F0 -:0402CB0000004000EF -:0402CC0000004000EE -:0402CD0000004000ED -:0402CE0000004000EC -:0402CF0000004000EB -:0402D00000004000EA -:0402D10000004000E9 -:0402D20000004000E8 -:0402D30000004000E7 -:0402D40000004000E6 -:0402D50000004000E5 -:0402D60000004000E4 -:0402D70000004000E3 -:0402D80000004000E2 -:0402D90000004000E1 -:0402DA0000004000E0 -:0402DB0000004000DF -:0402DC0000004000DE -:0402DD0000004000DD -:0402DE0000004000DC -:0402DF0000004000DB -:0402E00000004000DA -:0402E10000004000D9 -:0402E20000004000D8 -:0402E30000004000D7 -:0402E40000004000D6 -:0402E50000004000D5 -:0402E60000004000D4 -:0402E70000004000D3 -:0402E80000004000D2 -:0402E90000004000D1 -:0402EA0000004000D0 -:0402EB0000004000CF -:0402EC0000004000CE -:0402ED0000004000CD -:0402EE0000004000CC -:0402EF0000004000CB -:0402F00000004000CA -:0402F10000004000C9 -:0402F20000004000C8 -:0402F30000004000C7 -:0402F40000004000C6 -:0402F50000004000C5 -:0402F60000004000C4 -:0402F70000004000C3 -:0402F80000004000C2 -:0402F90000004000C1 -:0402FA0000004000C0 -:0402FB0000004000BF -:0402FC0000004000BE -:0402FD0000004000BD -:0402FE0000004000BC -:0402FF0000004000BB -:0403000000004000B9 -:0403010000004000B8 -:0403020000004000B7 -:0403030000004000B6 -:0403040000004000B5 -:0403050000004000B4 -:0403060000004000B3 -:0403070000004000B2 -:0403080000004000B1 -:0403090000004000B0 -:04030A0000004000AF -:04030B0000004000AE -:04030C0000004000AD -:04030D0000004000AC -:04030E0000004000AB -:04030F0000004000AA -:0403100000004000A9 -:0403110000004000A8 -:0403120000004000A7 -:0403130000004000A6 -:0403140000004000A5 -:0403150000004000A4 -:0403160000004000A3 -:0403170000004000A2 -:0403180000004000A1 -:0403190000004000A0 -:04031A00000040009F -:04031B00000040009E -:04031C00000040009D -:04031D00000040009C -:04031E00000040009B -:04031F00000040009A -:040320000000400099 -:040321000000400098 -:040322000000400097 -:040323000000400096 -:040324000000400095 -:040325000000400094 -:040326000000400093 -:040327000000400092 -:040328000000400091 -:040329000000400090 -:04032A00000040008F -:04032B00000040008E -:04032C00000040008D -:04032D00000040008C -:04032E00000040008B -:04032F00000040008A -:040330000000400089 -:040331000000400088 -:040332000000400087 -:040333000000400086 -:040334000000400085 -:040335000000400084 -:040336000000400083 -:040337000000400082 -:040338000000400081 -:040339000000400080 -:04033A00000040007F -:04033B00000040007E -:04033C00000040007D -:04033D00000040007C -:04033E00000040007B -:04033F00000040007A -:040340000000400079 -:040341000000400078 -:040342000000400077 -:040343000000400076 -:040344000000400075 -:040345000000400074 -:040346000000400073 -:040347000000400072 -:040348000000400071 -:040349000000400070 -:04034A00000040006F -:04034B00000040006E -:04034C00000040006D -:04034D00000040006C -:04034E00000040006B -:04034F00000040006A -:040350000000400069 -:040351000000400068 -:040352000000400067 -:040353000000400066 -:040354000000400065 -:040355000000400064 -:040356000000400063 -:040357000000400062 -:040358000000400061 -:040359000000400060 -:04035A00000040005F -:04035B00000040005E -:04035C00000040005D -:04035D00000040005C -:04035E00000040005B -:04035F00000040005A -:040360000000400059 -:040361000000400058 -:040362000000400057 -:040363000000400056 -:040364000000400055 -:040365000000400054 -:040366000000400053 -:040367000000400052 -:040368000000400051 -:040369000000400050 -:04036A00000040004F -:04036B00000040004E -:04036C00000040004D -:04036D00000040004C -:04036E00000040004B -:04036F00000040004A -:040370000000400049 -:040371000000400048 -:040372000000400047 -:040373000000400046 -:040374000000400045 -:040375000000400044 -:040376000000400043 -:040377000000400042 -:040378000000400041 -:040379000000400040 -:04037A00000040003F -:04037B00000040003E -:04037C00000040003D -:04037D00000040003C -:04037E00000040003B -:04037F00000040003A -:040380000000400039 -:040381000000400038 -:040382000000400037 -:040383000000400036 -:040384000000400035 -:040385000000400034 -:040386000000400033 -:040387000000400032 -:040388000000400031 -:040389000000400030 -:04038A00000040002F -:04038B00000040002E -:04038C00000040002D -:04038D00000040002C -:04038E00000040002B -:04038F00000040002A -:040390000000400029 -:040391000000400028 -:040392000000400027 -:040393000000400026 -:040394000000400025 -:040395000000400024 -:040396000000400023 -:040397000000400022 -:040398000000400021 -:040399000000400020 -:04039A00000040001F -:04039B00000040001E -:04039C00000040001D -:04039D00000040001C -:04039E00000040001B -:04039F00000040001A -:0403A0000000400019 -:0403A1000000400018 -:0403A2000000400017 -:0403A3000000400016 -:0403A4000000400015 -:0403A5000000400014 -:0403A6000000400013 -:0403A7000000400012 -:0403A8000000400011 -:0403A9000000400010 -:0403AA00000040000F -:0403AB00000040000E -:0403AC00000040000D -:0403AD00000040000C -:0403AE00000040000B -:0403AF00000040000A -:0403B0000000400009 -:0403B1000000400008 -:0403B2000000400007 -:0403B3000000400006 -:0403B4000000400005 -:0403B5000000400004 -:0403B6000000400003 -:0403B7000000400002 -:0403B8000000400001 -:0403B9000000400000 -:0403BA0000004000FF -:0403BB0000004000FE -:0403BC0000004000FD -:0403BD0000004000FC -:0403BE0000004000FB -:0403BF0000004000FA -:0403C00000004000F9 -:0403C10000004000F8 -:0403C20000004000F7 -:0403C30000004000F6 -:0403C40000004000F5 -:0403C50000004000F4 -:0403C60000004000F3 -:0403C70000004000F2 -:0403C80000004000F1 -:0403C90000004000F0 -:0403CA0000004000EF -:0403CB0000004000EE -:0403CC0000004000ED -:0403CD0000004000EC -:0403CE0000004000EB -:0403CF0000004000EA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_5.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_5.hex deleted file mode 100644 index 145449c561b53ba41814116745a0fd440801640e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_5.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400010000004000BB -:0400020000004000BA -:0400030000004000B9 -:0400040000004000B8 -:0400050000004000B7 -:0400060000004000B6 -:0400070000004000B5 -:0400080000004000B4 -:0400090000004000B3 -:04000A0000004000B2 -:04000B0000004000B1 -:04000C0000004000B0 -:04000D0000004000AF -:04000E0000004000AE -:04000F0000004000AD -:0400100000004000AC -:0400110000004000AB -:0400120000004000AA -:0400130000004000A9 -:0400140000004000A8 -:0400150000004000A7 -:0400160000004000A6 -:0400170000004000A5 -:0400180000004000A4 -:0400190000004000A3 -:04001A0000004000A2 -:04001B0000004000A1 -:04001C0000004000A0 -:04001D00000040009F -:04001E00000040009E -:04001F00000040009D -:04002000000040009C -:04002100000040009B -:04002200000040009A -:040023000000400099 -:040024000000400098 -:040025000000400097 -:040026000000400096 -:040027000000400095 -:040028000000400094 -:040029000000400093 -:04002A000000400092 -:04002B000000400091 -:04002C000000400090 -:04002D00000040008F -:04002E00000040008E -:04002F00000040008D -:04003000000040008C -:04003100000040008B -:04003200000040008A -:040033000000400089 -:040034000000400088 -:040035000000400087 -:040036000000400086 -:040037000000400085 -:040038000000400084 -:040039000000400083 -:04003A000000400082 -:04003B000000400081 -:04003C000000400080 -:04003D00000040007F -:04003E00000040007E -:04003F00000040007D -:04004000000040007C -:04004100000040007B -:04004200000040007A -:040043000000400079 -:040044000000400078 -:040045000000400077 -:040046000000400076 -:040047000000400075 -:040048000000400074 -:040049000000400073 -:04004A000000400072 -:04004B000000400071 -:04004C000000400070 -:04004D00000040006F -:04004E00000040006E -:04004F00000040006D -:04005000000040006C -:04005100000040006B -:04005200000040006A -:040053000000400069 -:040054000000400068 -:040055000000400067 -:040056000000400066 -:040057000000400065 -:040058000000400064 -:040059000000400063 -:04005A000000400062 -:04005B000000400061 -:04005C000000400060 -:04005D00000040005F -:04005E00000040005E -:04005F00000040005D -:04006000000040005C -:04006100000040005B -:04006200000040005A -:040063000000400059 -:040064000000400058 -:040065000000400057 -:040066000000400056 -:040067000000400055 -:040068000000400054 -:040069000000400053 -:04006A000000400052 -:04006B000000400051 -:04006C000000400050 -:04006D00000040004F -:04006E00000040004E -:04006F00000040004D -:04007000000040004C -:04007100000040004B -:04007200000040004A -:040073000000400049 -:040074000000400048 -:040075000000400047 -:040076000000400046 -:040077000000400045 -:040078000000400044 -:040079000000400043 -:04007A000000400042 -:04007B000000400041 -:04007C000000400040 -:04007D00000040003F -:04007E00000040003E -:04007F00000040003D -:04008000000040003C -:04008100000040003B -:04008200000040003A -:040083000000400039 -:040084000000400038 -:040085000000400037 -:040086000000400036 -:040087000000400035 -:040088000000400034 -:040089000000400033 -:04008A000000400032 -:04008B000000400031 -:04008C000000400030 -:04008D00000040002F -:04008E00000040002E -:04008F00000040002D -:04009000000040002C -:04009100000040002B -:04009200000040002A -:040093000000400029 -:040094000000400028 -:040095000000400027 -:040096000000400026 -:040097000000400025 -:040098000000400024 -:040099000000400023 -:04009A000000400022 -:04009B000000400021 -:04009C000000400020 -:04009D00000040001F -:04009E00000040001E -:04009F00000040001D -:0400A000000040001C -:0400A100000040001B -:0400A200000040001A -:0400A3000000400019 -:0400A4000000400018 -:0400A5000000400017 -:0400A6000000400016 -:0400A7000000400015 -:0400A8000000400014 -:0400A9000000400013 -:0400AA000000400012 -:0400AB000000400011 -:0400AC000000400010 -:0400AD00000040000F -:0400AE00000040000E -:0400AF00000040000D -:0400B000000040000C -:0400B100000040000B -:0400B200000040000A -:0400B3000000400009 -:0400B4000000400008 -:0400B5000000400007 -:0400B6000000400006 -:0400B7000000400005 -:0400B8000000400004 -:0400B9000000400003 -:0400BA000000400002 -:0400BB000000400001 -:0400BC000000400000 -:0400BD0000004000FF -:0400BE0000004000FE -:0400BF0000004000FD -:0400C00000004000FC -:0400C10000004000FB -:0400C20000004000FA -:0400C30000004000F9 -:0400C40000004000F8 -:0400C50000004000F7 -:0400C60000004000F6 -:0400C70000004000F5 -:0400C80000004000F4 -:0400C90000004000F3 -:0400CA0000004000F2 -:0400CB0000004000F1 -:0400CC0000004000F0 -:0400CD0000004000EF -:0400CE0000004000EE -:0400CF0000004000ED -:0400D00000004000EC -:0400D10000004000EB -:0400D20000004000EA -:0400D30000004000E9 -:0400D40000004000E8 -:0400D50000004000E7 -:0400D60000004000E6 -:0400D70000004000E5 -:0400D80000004000E4 -:0400D90000004000E3 -:0400DA0000004000E2 -:0400DB0000004000E1 -:0400DC0000004000E0 -:0400DD0000004000DF -:0400DE0000004000DE -:0400DF0000004000DD -:0400E00000004000DC -:0400E10000004000DB -:0400E20000004000DA -:0400E30000004000D9 -:0400E40000004000D8 -:0400E50000004000D7 -:0400E60000004000D6 -:0400E70000004000D5 -:0400E80000004000D4 -:0400E90000004000D3 -:0400EA0000004000D2 -:0400EB0000004000D1 -:0400EC0000004000D0 -:0400ED0000004000CF -:0400EE0000004000CE -:0400EF0000004000CD -:0400F00000004000CC -:0400F10000004000CB -:0400F20000004000CA -:0400F30000004000C9 -:0400F40000004000C8 -:0400F50000004000C7 -:0400F60000004000C6 -:0400F70000004000C5 -:0400F80000004000C4 -:0400F90000004000C3 -:0400FA0000004000C2 -:0400FB0000004000C1 -:0400FC0000004000C0 -:0400FD0000004000BF -:0400FE0000004000BE -:0400FF0000004000BD -:0401000000004000BB -:0401010000004000BA -:0401020000004000B9 -:0401030000004000B8 -:0401040000004000B7 -:0401050000004000B6 -:0401060000004000B5 -:0401070000004000B4 -:0401080000004000B3 -:0401090000004000B2 -:04010A0000004000B1 -:04010B0000004000B0 -:04010C0000004000AF -:04010D0000004000AE -:04010E0000004000AD -:04010F0000004000AC -:0401100000004000AB -:0401110000004000AA -:0401120000004000A9 -:0401130000004000A8 -:0401140000004000A7 -:0401150000004000A6 -:0401160000004000A5 -:0401170000004000A4 -:0401180000004000A3 -:0401190000004000A2 -:04011A0000004000A1 -:04011B0000004000A0 -:04011C00000040009F -:04011D00000040009E -:04011E00000040009D -:04011F00000040009C -:04012000000040009B -:04012100000040009A -:040122000000400099 -:040123000000400098 -:040124000000400097 -:040125000000400096 -:040126000000400095 -:040127000000400094 -:040128000000400093 -:040129000000400092 -:04012A000000400091 -:04012B000000400090 -:04012C00000040008F -:04012D00000040008E -:04012E00000040008D -:04012F00000040008C -:04013000000040008B -:04013100000040008A -:040132000000400089 -:040133000000400088 -:040134000000400087 -:040135000000400086 -:040136000000400085 -:040137000000400084 -:040138000000400083 -:040139000000400082 -:04013A000000400081 -:04013B000000400080 -:04013C00000040007F -:04013D00000040007E -:04013E00000040007D -:04013F00000040007C -:04014000000040007B -:04014100000040007A -:040142000000400079 -:040143000000400078 -:040144000000400077 -:040145000000400076 -:040146000000400075 -:040147000000400074 -:040148000000400073 -:040149000000400072 -:04014A000000400071 -:04014B000000400070 -:04014C00000040006F -:04014D00000040006E -:04014E00000040006D -:04014F00000040006C -:04015000000040006B -:04015100000040006A -:040152000000400069 -:040153000000400068 -:040154000000400067 -:040155000000400066 -:040156000000400065 -:040157000000400064 -:040158000000400063 -:040159000000400062 -:04015A000000400061 -:04015B000000400060 -:04015C00000040005F -:04015D00000040005E -:04015E00000040005D -:04015F00000040005C -:04016000000040005B -:04016100000040005A -:040162000000400059 -:040163000000400058 -:040164000000400057 -:040165000000400056 -:040166000000400055 -:040167000000400054 -:040168000000400053 -:040169000000400052 -:04016A000000400051 -:04016B000000400050 -:04016C00000040004F -:04016D00000040004E -:04016E00000040004D -:04016F00000040004C -:04017000000040004B -:04017100000040004A -:040172000000400049 -:040173000000400048 -:040174000000400047 -:040175000000400046 -:040176000000400045 -:040177000000400044 -:040178000000400043 -:040179000000400042 -:04017A000000400041 -:04017B000000400040 -:04017C00000040003F -:04017D00000040003E -:04017E00000040003D -:04017F00000040003C -:04018000000040003B -:04018100000040003A -:040182000000400039 -:040183000000400038 -:040184000000400037 -:040185000000400036 -:040186000000400035 -:040187000000400034 -:040188000000400033 -:040189000000400032 -:04018A000000400031 -:04018B000000400030 -:04018C00000040002F -:04018D00000040002E -:04018E00000040002D -:04018F00000040002C -:04019000000040002B -:04019100000040002A -:040192000000400029 -:040193000000400028 -:040194000000400027 -:040195000000400026 -:040196000000400025 -:040197000000400024 -:040198000000400023 -:040199000000400022 -:04019A000000400021 -:04019B000000400020 -:04019C00000040001F -:04019D00000040001E -:04019E00000040001D -:04019F00000040001C -:0401A000000040001B -:0401A100000040001A -:0401A2000000400019 -:0401A3000000400018 -:0401A4000000400017 -:0401A5000000400016 -:0401A6000000400015 -:0401A7000000400014 -:0401A8000000400013 -:0401A9000000400012 -:0401AA000000400011 -:0401AB000000400010 -:0401AC00000040000F -:0401AD00000040000E -:0401AE00000040000D -:0401AF00000040000C -:0401B000000040000B -:0401B100000040000A -:0401B2000000400009 -:0401B3000000400008 -:0401B4000000400007 -:0401B5000000400006 -:0401B6000000400005 -:0401B7000000400004 -:0401B8000000400003 -:0401B9000000400002 -:0401BA000000400001 -:0401BB000000400000 -:0401BC0000004000FF -:0401BD0000004000FE -:0401BE0000004000FD -:0401BF0000004000FC -:0401C00000004000FB -:0401C10000004000FA -:0401C20000004000F9 -:0401C30000004000F8 -:0401C40000004000F7 -:0401C50000004000F6 -:0401C60000004000F5 -:0401C70000004000F4 -:0401C80000004000F3 -:0401C90000004000F2 -:0401CA0000004000F1 -:0401CB0000004000F0 -:0401CC0000004000EF -:0401CD0000004000EE -:0401CE0000004000ED -:0401CF0000004000EC -:0401D00000004000EB -:0401D10000004000EA -:0401D20000004000E9 -:0401D30000004000E8 -:0401D40000004000E7 -:0401D50000004000E6 -:0401D60000004000E5 -:0401D70000004000E4 -:0401D80000004000E3 -:0401D90000004000E2 -:0401DA0000004000E1 -:0401DB0000004000E0 -:0401DC0000004000DF -:0401DD0000004000DE -:0401DE0000004000DD -:0401DF0000004000DC -:0401E00000004000DB -:0401E10000004000DA -:0401E20000004000D9 -:0401E30000004000D8 -:0401E40000004000D7 -:0401E50000004000D6 -:0401E60000004000D5 -:0401E70000004000D4 -:0401E80000004000D3 -:0401E90000004000D2 -:0401EA0000004000D1 -:0401EB0000004000D0 -:0401EC0000004000CF -:0401ED0000004000CE -:0401EE0000004000CD -:0401EF0000004000CC -:0401F00000004000CB -:0401F10000004000CA -:0401F20000004000C9 -:0401F30000004000C8 -:0401F40000004000C7 -:0401F50000004000C6 -:0401F60000004000C5 -:0401F70000004000C4 -:0401F80000004000C3 -:0401F90000004000C2 -:0401FA0000004000C1 -:0401FB0000004000C0 -:0401FC0000004000BF -:0401FD0000004000BE -:0401FE0000004000BD -:0401FF0000004000BC -:0402000000004000BA -:0402010000004000B9 -:0402020000004000B8 -:0402030000004000B7 -:0402040000004000B6 -:0402050000004000B5 -:0402060000004000B4 -:0402070000004000B3 -:0402080000004000B2 -:0402090000004000B1 -:04020A0000004000B0 -:04020B0000004000AF -:04020C0000004000AE -:04020D0000004000AD -:04020E0000004000AC -:04020F0000004000AB -:0402100000004000AA -:0402110000004000A9 -:0402120000004000A8 -:0402130000004000A7 -:0402140000004000A6 -:0402150000004000A5 -:0402160000004000A4 -:0402170000004000A3 -:0402180000004000A2 -:0402190000004000A1 -:04021A0000004000A0 -:04021B00000040009F -:04021C00000040009E -:04021D00000040009D -:04021E00000040009C -:04021F00000040009B -:04022000000040009A -:040221000000400099 -:040222000000400098 -:040223000000400097 -:040224000000400096 -:040225000000400095 -:040226000000400094 -:040227000000400093 -:040228000000400092 -:040229000000400091 -:04022A000000400090 -:04022B00000040008F -:04022C00000040008E -:04022D00000040008D -:04022E00000040008C -:04022F00000040008B -:04023000000040008A -:040231000000400089 -:040232000000400088 -:040233000000400087 -:040234000000400086 -:040235000000400085 -:040236000000400084 -:040237000000400083 -:040238000000400082 -:040239000000400081 -:04023A000000400080 -:04023B00000040007F -:04023C00000040007E -:04023D00000040007D -:04023E00000040007C -:04023F00000040007B -:04024000000040007A -:040241000000400079 -:040242000000400078 -:040243000000400077 -:040244000000400076 -:040245000000400075 -:040246000000400074 -:040247000000400073 -:040248000000400072 -:040249000000400071 -:04024A000000400070 -:04024B00000040006F -:04024C00000040006E -:04024D00000040006D -:04024E00000040006C -:04024F00000040006B -:04025000000040006A -:040251000000400069 -:040252000000400068 -:040253000000400067 -:040254000000400066 -:040255000000400065 -:040256000000400064 -:040257000000400063 -:040258000000400062 -:040259000000400061 -:04025A000000400060 -:04025B00000040005F -:04025C00000040005E -:04025D00000040005D -:04025E00000040005C -:04025F00000040005B -:04026000000040005A -:040261000000400059 -:040262000000400058 -:040263000000400057 -:040264000000400056 -:040265000000400055 -:040266000000400054 -:040267000000400053 -:040268000000400052 -:040269000000400051 -:04026A000000400050 -:04026B00000040004F -:04026C00000040004E -:04026D00000040004D -:04026E00000040004C -:04026F00000040004B -:04027000000040004A -:040271000000400049 -:040272000000400048 -:040273000000400047 -:040274000000400046 -:040275000000400045 -:040276000000400044 -:040277000000400043 -:040278000000400042 -:040279000000400041 -:04027A000000400040 -:04027B00000040003F -:04027C00000040003E -:04027D00000040003D -:04027E00000040003C -:04027F00000040003B -:04028000000040003A -:040281000000400039 -:040282000000400038 -:040283000000400037 -:040284000000400036 -:040285000000400035 -:040286000000400034 -:040287000000400033 -:040288000000400032 -:040289000000400031 -:04028A000000400030 -:04028B00000040002F -:04028C00000040002E -:04028D00000040002D -:04028E00000040002C -:04028F00000040002B -:04029000000040002A -:040291000000400029 -:040292000000400028 -:040293000000400027 -:040294000000400026 -:040295000000400025 -:040296000000400024 -:040297000000400023 -:040298000000400022 -:040299000000400021 -:04029A000000400020 -:04029B00000040001F -:04029C00000040001E -:04029D00000040001D -:04029E00000040001C -:04029F00000040001B -:0402A000000040001A -:0402A1000000400019 -:0402A2000000400018 -:0402A3000000400017 -:0402A4000000400016 -:0402A5000000400015 -:0402A6000000400014 -:0402A7000000400013 -:0402A8000000400012 -:0402A9000000400011 -:0402AA000000400010 -:0402AB00000040000F -:0402AC00000040000E -:0402AD00000040000D -:0402AE00000040000C -:0402AF00000040000B -:0402B000000040000A -:0402B1000000400009 -:0402B2000000400008 -:0402B3000000400007 -:0402B4000000400006 -:0402B5000000400005 -:0402B6000000400004 -:0402B7000000400003 -:0402B8000000400002 -:0402B9000000400001 -:0402BA000000400000 -:0402BB0000004000FF -:0402BC0000004000FE -:0402BD0000004000FD -:0402BE0000004000FC -:0402BF0000004000FB -:0402C00000004000FA -:0402C10000004000F9 -:0402C20000004000F8 -:0402C30000004000F7 -:0402C40000004000F6 -:0402C50000004000F5 -:0402C60000004000F4 -:0402C70000004000F3 -:0402C80000004000F2 -:0402C90000004000F1 -:0402CA0000004000F0 -:0402CB0000004000EF -:0402CC0000004000EE -:0402CD0000004000ED -:0402CE0000004000EC -:0402CF0000004000EB -:0402D00000004000EA -:0402D10000004000E9 -:0402D20000004000E8 -:0402D30000004000E7 -:0402D40000004000E6 -:0402D50000004000E5 -:0402D60000004000E4 -:0402D70000004000E3 -:0402D80000004000E2 -:0402D90000004000E1 -:0402DA0000004000E0 -:0402DB0000004000DF -:0402DC0000004000DE -:0402DD0000004000DD -:0402DE0000004000DC -:0402DF0000004000DB -:0402E00000004000DA -:0402E10000004000D9 -:0402E20000004000D8 -:0402E30000004000D7 -:0402E40000004000D6 -:0402E50000004000D5 -:0402E60000004000D4 -:0402E70000004000D3 -:0402E80000004000D2 -:0402E90000004000D1 -:0402EA0000004000D0 -:0402EB0000004000CF -:0402EC0000004000CE -:0402ED0000004000CD -:0402EE0000004000CC -:0402EF0000004000CB -:0402F00000004000CA -:0402F10000004000C9 -:0402F20000004000C8 -:0402F30000004000C7 -:0402F40000004000C6 -:0402F50000004000C5 -:0402F60000004000C4 -:0402F70000004000C3 -:0402F80000004000C2 -:0402F90000004000C1 -:0402FA0000004000C0 -:0402FB0000004000BF -:0402FC0000004000BE -:0402FD0000004000BD -:0402FE0000004000BC -:0402FF0000004000BB -:0403000000004000B9 -:0403010000004000B8 -:0403020000004000B7 -:0403030000004000B6 -:0403040000004000B5 -:0403050000004000B4 -:0403060000004000B3 -:0403070000004000B2 -:0403080000004000B1 -:0403090000004000B0 -:04030A0000004000AF -:04030B0000004000AE -:04030C0000004000AD -:04030D0000004000AC -:04030E0000004000AB -:04030F0000004000AA -:0403100000004000A9 -:0403110000004000A8 -:0403120000004000A7 -:0403130000004000A6 -:0403140000004000A5 -:0403150000004000A4 -:0403160000004000A3 -:0403170000004000A2 -:0403180000004000A1 -:0403190000004000A0 -:04031A00000040009F -:04031B00000040009E -:04031C00000040009D -:04031D00000040009C -:04031E00000040009B -:04031F00000040009A -:040320000000400099 -:040321000000400098 -:040322000000400097 -:040323000000400096 -:040324000000400095 -:040325000000400094 -:040326000000400093 -:040327000000400092 -:040328000000400091 -:040329000000400090 -:04032A00000040008F -:04032B00000040008E -:04032C00000040008D -:04032D00000040008C -:04032E00000040008B -:04032F00000040008A -:040330000000400089 -:040331000000400088 -:040332000000400087 -:040333000000400086 -:040334000000400085 -:040335000000400084 -:040336000000400083 -:040337000000400082 -:040338000000400081 -:040339000000400080 -:04033A00000040007F -:04033B00000040007E -:04033C00000040007D -:04033D00000040007C -:04033E00000040007B -:04033F00000040007A -:040340000000400079 -:040341000000400078 -:040342000000400077 -:040343000000400076 -:040344000000400075 -:040345000000400074 -:040346000000400073 -:040347000000400072 -:040348000000400071 -:040349000000400070 -:04034A00000040006F -:04034B00000040006E -:04034C00000040006D -:04034D00000040006C -:04034E00000040006B -:04034F00000040006A -:040350000000400069 -:040351000000400068 -:040352000000400067 -:040353000000400066 -:040354000000400065 -:040355000000400064 -:040356000000400063 -:040357000000400062 -:040358000000400061 -:040359000000400060 -:04035A00000040005F -:04035B00000040005E -:04035C00000040005D -:04035D00000040005C -:04035E00000040005B -:04035F00000040005A -:040360000000400059 -:040361000000400058 -:040362000000400057 -:040363000000400056 -:040364000000400055 -:040365000000400054 -:040366000000400053 -:040367000000400052 -:040368000000400051 -:040369000000400050 -:04036A00000040004F -:04036B00000040004E -:04036C00000040004D -:04036D00000040004C -:04036E00000040004B -:04036F00000040004A -:040370000000400049 -:040371000000400048 -:040372000000400047 -:040373000000400046 -:040374000000400045 -:040375000000400044 -:040376000000400043 -:040377000000400042 -:040378000000400041 -:040379000000400040 -:04037A00000040003F -:04037B00000040003E -:04037C00000040003D -:04037D00000040003C -:04037E00000040003B -:04037F00000040003A -:040380000000400039 -:040381000000400038 -:040382000000400037 -:040383000000400036 -:040384000000400035 -:040385000000400034 -:040386000000400033 -:040387000000400032 -:040388000000400031 -:040389000000400030 -:04038A00000040002F -:04038B00000040002E -:04038C00000040002D -:04038D00000040002C -:04038E00000040002B -:04038F00000040002A -:040390000000400029 -:040391000000400028 -:040392000000400027 -:040393000000400026 -:040394000000400025 -:040395000000400024 -:040396000000400023 -:040397000000400022 -:040398000000400021 -:040399000000400020 -:04039A00000040001F -:04039B00000040001E -:04039C00000040001D -:04039D00000040001C -:04039E00000040001B -:04039F00000040001A -:0403A0000000400019 -:0403A1000000400018 -:0403A2000000400017 -:0403A3000000400016 -:0403A4000000400015 -:0403A5000000400014 -:0403A6000000400013 -:0403A7000000400012 -:0403A8000000400011 -:0403A9000000400010 -:0403AA00000040000F -:0403AB00000040000E -:0403AC00000040000D -:0403AD00000040000C -:0403AE00000040000B -:0403AF00000040000A -:0403B0000000400009 -:0403B1000000400008 -:0403B2000000400007 -:0403B3000000400006 -:0403B4000000400005 -:0403B5000000400004 -:0403B6000000400003 -:0403B7000000400002 -:0403B8000000400001 -:0403B9000000400000 -:0403BA0000004000FF -:0403BB0000004000FE -:0403BC0000004000FD -:0403BD0000004000FC -:0403BE0000004000FB -:0403BF0000004000FA -:0403C00000004000F9 -:0403C10000004000F8 -:0403C20000004000F7 -:0403C30000004000F6 -:0403C40000004000F5 -:0403C50000004000F4 -:0403C60000004000F3 -:0403C70000004000F2 -:0403C80000004000F1 -:0403C90000004000F0 -:0403CA0000004000EF -:0403CB0000004000EE -:0403CC0000004000ED -:0403CD0000004000EC -:0403CE0000004000EB -:0403CF0000004000EA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_6.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_6.hex deleted file mode 100644 index 145449c561b53ba41814116745a0fd440801640e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_6.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400010000004000BB -:0400020000004000BA -:0400030000004000B9 -:0400040000004000B8 -:0400050000004000B7 -:0400060000004000B6 -:0400070000004000B5 -:0400080000004000B4 -:0400090000004000B3 -:04000A0000004000B2 -:04000B0000004000B1 -:04000C0000004000B0 -:04000D0000004000AF -:04000E0000004000AE -:04000F0000004000AD -:0400100000004000AC -:0400110000004000AB -:0400120000004000AA -:0400130000004000A9 -:0400140000004000A8 -:0400150000004000A7 -:0400160000004000A6 -:0400170000004000A5 -:0400180000004000A4 -:0400190000004000A3 -:04001A0000004000A2 -:04001B0000004000A1 -:04001C0000004000A0 -:04001D00000040009F -:04001E00000040009E -:04001F00000040009D -:04002000000040009C -:04002100000040009B -:04002200000040009A -:040023000000400099 -:040024000000400098 -:040025000000400097 -:040026000000400096 -:040027000000400095 -:040028000000400094 -:040029000000400093 -:04002A000000400092 -:04002B000000400091 -:04002C000000400090 -:04002D00000040008F -:04002E00000040008E -:04002F00000040008D -:04003000000040008C -:04003100000040008B -:04003200000040008A -:040033000000400089 -:040034000000400088 -:040035000000400087 -:040036000000400086 -:040037000000400085 -:040038000000400084 -:040039000000400083 -:04003A000000400082 -:04003B000000400081 -:04003C000000400080 -:04003D00000040007F -:04003E00000040007E -:04003F00000040007D -:04004000000040007C -:04004100000040007B -:04004200000040007A -:040043000000400079 -:040044000000400078 -:040045000000400077 -:040046000000400076 -:040047000000400075 -:040048000000400074 -:040049000000400073 -:04004A000000400072 -:04004B000000400071 -:04004C000000400070 -:04004D00000040006F -:04004E00000040006E -:04004F00000040006D -:04005000000040006C -:04005100000040006B -:04005200000040006A -:040053000000400069 -:040054000000400068 -:040055000000400067 -:040056000000400066 -:040057000000400065 -:040058000000400064 -:040059000000400063 -:04005A000000400062 -:04005B000000400061 -:04005C000000400060 -:04005D00000040005F -:04005E00000040005E -:04005F00000040005D -:04006000000040005C -:04006100000040005B -:04006200000040005A -:040063000000400059 -:040064000000400058 -:040065000000400057 -:040066000000400056 -:040067000000400055 -:040068000000400054 -:040069000000400053 -:04006A000000400052 -:04006B000000400051 -:04006C000000400050 -:04006D00000040004F -:04006E00000040004E -:04006F00000040004D -:04007000000040004C -:04007100000040004B -:04007200000040004A -:040073000000400049 -:040074000000400048 -:040075000000400047 -:040076000000400046 -:040077000000400045 -:040078000000400044 -:040079000000400043 -:04007A000000400042 -:04007B000000400041 -:04007C000000400040 -:04007D00000040003F -:04007E00000040003E -:04007F00000040003D -:04008000000040003C -:04008100000040003B -:04008200000040003A -:040083000000400039 -:040084000000400038 -:040085000000400037 -:040086000000400036 -:040087000000400035 -:040088000000400034 -:040089000000400033 -:04008A000000400032 -:04008B000000400031 -:04008C000000400030 -:04008D00000040002F -:04008E00000040002E -:04008F00000040002D -:04009000000040002C -:04009100000040002B -:04009200000040002A -:040093000000400029 -:040094000000400028 -:040095000000400027 -:040096000000400026 -:040097000000400025 -:040098000000400024 -:040099000000400023 -:04009A000000400022 -:04009B000000400021 -:04009C000000400020 -:04009D00000040001F -:04009E00000040001E -:04009F00000040001D -:0400A000000040001C -:0400A100000040001B -:0400A200000040001A -:0400A3000000400019 -:0400A4000000400018 -:0400A5000000400017 -:0400A6000000400016 -:0400A7000000400015 -:0400A8000000400014 -:0400A9000000400013 -:0400AA000000400012 -:0400AB000000400011 -:0400AC000000400010 -:0400AD00000040000F -:0400AE00000040000E -:0400AF00000040000D -:0400B000000040000C -:0400B100000040000B -:0400B200000040000A -:0400B3000000400009 -:0400B4000000400008 -:0400B5000000400007 -:0400B6000000400006 -:0400B7000000400005 -:0400B8000000400004 -:0400B9000000400003 -:0400BA000000400002 -:0400BB000000400001 -:0400BC000000400000 -:0400BD0000004000FF -:0400BE0000004000FE -:0400BF0000004000FD -:0400C00000004000FC -:0400C10000004000FB -:0400C20000004000FA -:0400C30000004000F9 -:0400C40000004000F8 -:0400C50000004000F7 -:0400C60000004000F6 -:0400C70000004000F5 -:0400C80000004000F4 -:0400C90000004000F3 -:0400CA0000004000F2 -:0400CB0000004000F1 -:0400CC0000004000F0 -:0400CD0000004000EF -:0400CE0000004000EE -:0400CF0000004000ED -:0400D00000004000EC -:0400D10000004000EB -:0400D20000004000EA -:0400D30000004000E9 -:0400D40000004000E8 -:0400D50000004000E7 -:0400D60000004000E6 -:0400D70000004000E5 -:0400D80000004000E4 -:0400D90000004000E3 -:0400DA0000004000E2 -:0400DB0000004000E1 -:0400DC0000004000E0 -:0400DD0000004000DF -:0400DE0000004000DE -:0400DF0000004000DD -:0400E00000004000DC -:0400E10000004000DB -:0400E20000004000DA -:0400E30000004000D9 -:0400E40000004000D8 -:0400E50000004000D7 -:0400E60000004000D6 -:0400E70000004000D5 -:0400E80000004000D4 -:0400E90000004000D3 -:0400EA0000004000D2 -:0400EB0000004000D1 -:0400EC0000004000D0 -:0400ED0000004000CF -:0400EE0000004000CE -:0400EF0000004000CD -:0400F00000004000CC -:0400F10000004000CB -:0400F20000004000CA -:0400F30000004000C9 -:0400F40000004000C8 -:0400F50000004000C7 -:0400F60000004000C6 -:0400F70000004000C5 -:0400F80000004000C4 -:0400F90000004000C3 -:0400FA0000004000C2 -:0400FB0000004000C1 -:0400FC0000004000C0 -:0400FD0000004000BF -:0400FE0000004000BE -:0400FF0000004000BD -:0401000000004000BB -:0401010000004000BA -:0401020000004000B9 -:0401030000004000B8 -:0401040000004000B7 -:0401050000004000B6 -:0401060000004000B5 -:0401070000004000B4 -:0401080000004000B3 -:0401090000004000B2 -:04010A0000004000B1 -:04010B0000004000B0 -:04010C0000004000AF -:04010D0000004000AE -:04010E0000004000AD -:04010F0000004000AC -:0401100000004000AB -:0401110000004000AA -:0401120000004000A9 -:0401130000004000A8 -:0401140000004000A7 -:0401150000004000A6 -:0401160000004000A5 -:0401170000004000A4 -:0401180000004000A3 -:0401190000004000A2 -:04011A0000004000A1 -:04011B0000004000A0 -:04011C00000040009F -:04011D00000040009E -:04011E00000040009D -:04011F00000040009C -:04012000000040009B -:04012100000040009A -:040122000000400099 -:040123000000400098 -:040124000000400097 -:040125000000400096 -:040126000000400095 -:040127000000400094 -:040128000000400093 -:040129000000400092 -:04012A000000400091 -:04012B000000400090 -:04012C00000040008F -:04012D00000040008E -:04012E00000040008D -:04012F00000040008C -:04013000000040008B -:04013100000040008A -:040132000000400089 -:040133000000400088 -:040134000000400087 -:040135000000400086 -:040136000000400085 -:040137000000400084 -:040138000000400083 -:040139000000400082 -:04013A000000400081 -:04013B000000400080 -:04013C00000040007F -:04013D00000040007E -:04013E00000040007D -:04013F00000040007C -:04014000000040007B -:04014100000040007A -:040142000000400079 -:040143000000400078 -:040144000000400077 -:040145000000400076 -:040146000000400075 -:040147000000400074 -:040148000000400073 -:040149000000400072 -:04014A000000400071 -:04014B000000400070 -:04014C00000040006F -:04014D00000040006E -:04014E00000040006D -:04014F00000040006C -:04015000000040006B -:04015100000040006A -:040152000000400069 -:040153000000400068 -:040154000000400067 -:040155000000400066 -:040156000000400065 -:040157000000400064 -:040158000000400063 -:040159000000400062 -:04015A000000400061 -:04015B000000400060 -:04015C00000040005F -:04015D00000040005E -:04015E00000040005D -:04015F00000040005C -:04016000000040005B -:04016100000040005A -:040162000000400059 -:040163000000400058 -:040164000000400057 -:040165000000400056 -:040166000000400055 -:040167000000400054 -:040168000000400053 -:040169000000400052 -:04016A000000400051 -:04016B000000400050 -:04016C00000040004F -:04016D00000040004E -:04016E00000040004D -:04016F00000040004C -:04017000000040004B -:04017100000040004A -:040172000000400049 -:040173000000400048 -:040174000000400047 -:040175000000400046 -:040176000000400045 -:040177000000400044 -:040178000000400043 -:040179000000400042 -:04017A000000400041 -:04017B000000400040 -:04017C00000040003F -:04017D00000040003E -:04017E00000040003D -:04017F00000040003C -:04018000000040003B -:04018100000040003A -:040182000000400039 -:040183000000400038 -:040184000000400037 -:040185000000400036 -:040186000000400035 -:040187000000400034 -:040188000000400033 -:040189000000400032 -:04018A000000400031 -:04018B000000400030 -:04018C00000040002F -:04018D00000040002E -:04018E00000040002D -:04018F00000040002C -:04019000000040002B -:04019100000040002A -:040192000000400029 -:040193000000400028 -:040194000000400027 -:040195000000400026 -:040196000000400025 -:040197000000400024 -:040198000000400023 -:040199000000400022 -:04019A000000400021 -:04019B000000400020 -:04019C00000040001F -:04019D00000040001E -:04019E00000040001D -:04019F00000040001C -:0401A000000040001B -:0401A100000040001A -:0401A2000000400019 -:0401A3000000400018 -:0401A4000000400017 -:0401A5000000400016 -:0401A6000000400015 -:0401A7000000400014 -:0401A8000000400013 -:0401A9000000400012 -:0401AA000000400011 -:0401AB000000400010 -:0401AC00000040000F -:0401AD00000040000E -:0401AE00000040000D -:0401AF00000040000C -:0401B000000040000B -:0401B100000040000A -:0401B2000000400009 -:0401B3000000400008 -:0401B4000000400007 -:0401B5000000400006 -:0401B6000000400005 -:0401B7000000400004 -:0401B8000000400003 -:0401B9000000400002 -:0401BA000000400001 -:0401BB000000400000 -:0401BC0000004000FF -:0401BD0000004000FE -:0401BE0000004000FD -:0401BF0000004000FC -:0401C00000004000FB -:0401C10000004000FA -:0401C20000004000F9 -:0401C30000004000F8 -:0401C40000004000F7 -:0401C50000004000F6 -:0401C60000004000F5 -:0401C70000004000F4 -:0401C80000004000F3 -:0401C90000004000F2 -:0401CA0000004000F1 -:0401CB0000004000F0 -:0401CC0000004000EF -:0401CD0000004000EE -:0401CE0000004000ED -:0401CF0000004000EC -:0401D00000004000EB -:0401D10000004000EA -:0401D20000004000E9 -:0401D30000004000E8 -:0401D40000004000E7 -:0401D50000004000E6 -:0401D60000004000E5 -:0401D70000004000E4 -:0401D80000004000E3 -:0401D90000004000E2 -:0401DA0000004000E1 -:0401DB0000004000E0 -:0401DC0000004000DF -:0401DD0000004000DE -:0401DE0000004000DD -:0401DF0000004000DC -:0401E00000004000DB -:0401E10000004000DA -:0401E20000004000D9 -:0401E30000004000D8 -:0401E40000004000D7 -:0401E50000004000D6 -:0401E60000004000D5 -:0401E70000004000D4 -:0401E80000004000D3 -:0401E90000004000D2 -:0401EA0000004000D1 -:0401EB0000004000D0 -:0401EC0000004000CF -:0401ED0000004000CE -:0401EE0000004000CD -:0401EF0000004000CC -:0401F00000004000CB -:0401F10000004000CA -:0401F20000004000C9 -:0401F30000004000C8 -:0401F40000004000C7 -:0401F50000004000C6 -:0401F60000004000C5 -:0401F70000004000C4 -:0401F80000004000C3 -:0401F90000004000C2 -:0401FA0000004000C1 -:0401FB0000004000C0 -:0401FC0000004000BF -:0401FD0000004000BE -:0401FE0000004000BD -:0401FF0000004000BC -:0402000000004000BA -:0402010000004000B9 -:0402020000004000B8 -:0402030000004000B7 -:0402040000004000B6 -:0402050000004000B5 -:0402060000004000B4 -:0402070000004000B3 -:0402080000004000B2 -:0402090000004000B1 -:04020A0000004000B0 -:04020B0000004000AF -:04020C0000004000AE -:04020D0000004000AD -:04020E0000004000AC -:04020F0000004000AB -:0402100000004000AA -:0402110000004000A9 -:0402120000004000A8 -:0402130000004000A7 -:0402140000004000A6 -:0402150000004000A5 -:0402160000004000A4 -:0402170000004000A3 -:0402180000004000A2 -:0402190000004000A1 -:04021A0000004000A0 -:04021B00000040009F -:04021C00000040009E -:04021D00000040009D -:04021E00000040009C -:04021F00000040009B -:04022000000040009A -:040221000000400099 -:040222000000400098 -:040223000000400097 -:040224000000400096 -:040225000000400095 -:040226000000400094 -:040227000000400093 -:040228000000400092 -:040229000000400091 -:04022A000000400090 -:04022B00000040008F -:04022C00000040008E -:04022D00000040008D -:04022E00000040008C -:04022F00000040008B -:04023000000040008A -:040231000000400089 -:040232000000400088 -:040233000000400087 -:040234000000400086 -:040235000000400085 -:040236000000400084 -:040237000000400083 -:040238000000400082 -:040239000000400081 -:04023A000000400080 -:04023B00000040007F -:04023C00000040007E -:04023D00000040007D -:04023E00000040007C -:04023F00000040007B -:04024000000040007A -:040241000000400079 -:040242000000400078 -:040243000000400077 -:040244000000400076 -:040245000000400075 -:040246000000400074 -:040247000000400073 -:040248000000400072 -:040249000000400071 -:04024A000000400070 -:04024B00000040006F -:04024C00000040006E -:04024D00000040006D -:04024E00000040006C -:04024F00000040006B -:04025000000040006A -:040251000000400069 -:040252000000400068 -:040253000000400067 -:040254000000400066 -:040255000000400065 -:040256000000400064 -:040257000000400063 -:040258000000400062 -:040259000000400061 -:04025A000000400060 -:04025B00000040005F -:04025C00000040005E -:04025D00000040005D -:04025E00000040005C -:04025F00000040005B -:04026000000040005A -:040261000000400059 -:040262000000400058 -:040263000000400057 -:040264000000400056 -:040265000000400055 -:040266000000400054 -:040267000000400053 -:040268000000400052 -:040269000000400051 -:04026A000000400050 -:04026B00000040004F -:04026C00000040004E -:04026D00000040004D -:04026E00000040004C -:04026F00000040004B -:04027000000040004A -:040271000000400049 -:040272000000400048 -:040273000000400047 -:040274000000400046 -:040275000000400045 -:040276000000400044 -:040277000000400043 -:040278000000400042 -:040279000000400041 -:04027A000000400040 -:04027B00000040003F -:04027C00000040003E -:04027D00000040003D -:04027E00000040003C -:04027F00000040003B -:04028000000040003A -:040281000000400039 -:040282000000400038 -:040283000000400037 -:040284000000400036 -:040285000000400035 -:040286000000400034 -:040287000000400033 -:040288000000400032 -:040289000000400031 -:04028A000000400030 -:04028B00000040002F -:04028C00000040002E -:04028D00000040002D -:04028E00000040002C -:04028F00000040002B -:04029000000040002A -:040291000000400029 -:040292000000400028 -:040293000000400027 -:040294000000400026 -:040295000000400025 -:040296000000400024 -:040297000000400023 -:040298000000400022 -:040299000000400021 -:04029A000000400020 -:04029B00000040001F -:04029C00000040001E -:04029D00000040001D -:04029E00000040001C -:04029F00000040001B -:0402A000000040001A -:0402A1000000400019 -:0402A2000000400018 -:0402A3000000400017 -:0402A4000000400016 -:0402A5000000400015 -:0402A6000000400014 -:0402A7000000400013 -:0402A8000000400012 -:0402A9000000400011 -:0402AA000000400010 -:0402AB00000040000F -:0402AC00000040000E -:0402AD00000040000D -:0402AE00000040000C -:0402AF00000040000B -:0402B000000040000A -:0402B1000000400009 -:0402B2000000400008 -:0402B3000000400007 -:0402B4000000400006 -:0402B5000000400005 -:0402B6000000400004 -:0402B7000000400003 -:0402B8000000400002 -:0402B9000000400001 -:0402BA000000400000 -:0402BB0000004000FF -:0402BC0000004000FE -:0402BD0000004000FD -:0402BE0000004000FC -:0402BF0000004000FB -:0402C00000004000FA -:0402C10000004000F9 -:0402C20000004000F8 -:0402C30000004000F7 -:0402C40000004000F6 -:0402C50000004000F5 -:0402C60000004000F4 -:0402C70000004000F3 -:0402C80000004000F2 -:0402C90000004000F1 -:0402CA0000004000F0 -:0402CB0000004000EF -:0402CC0000004000EE -:0402CD0000004000ED -:0402CE0000004000EC -:0402CF0000004000EB -:0402D00000004000EA -:0402D10000004000E9 -:0402D20000004000E8 -:0402D30000004000E7 -:0402D40000004000E6 -:0402D50000004000E5 -:0402D60000004000E4 -:0402D70000004000E3 -:0402D80000004000E2 -:0402D90000004000E1 -:0402DA0000004000E0 -:0402DB0000004000DF -:0402DC0000004000DE -:0402DD0000004000DD -:0402DE0000004000DC -:0402DF0000004000DB -:0402E00000004000DA -:0402E10000004000D9 -:0402E20000004000D8 -:0402E30000004000D7 -:0402E40000004000D6 -:0402E50000004000D5 -:0402E60000004000D4 -:0402E70000004000D3 -:0402E80000004000D2 -:0402E90000004000D1 -:0402EA0000004000D0 -:0402EB0000004000CF -:0402EC0000004000CE -:0402ED0000004000CD -:0402EE0000004000CC -:0402EF0000004000CB -:0402F00000004000CA -:0402F10000004000C9 -:0402F20000004000C8 -:0402F30000004000C7 -:0402F40000004000C6 -:0402F50000004000C5 -:0402F60000004000C4 -:0402F70000004000C3 -:0402F80000004000C2 -:0402F90000004000C1 -:0402FA0000004000C0 -:0402FB0000004000BF -:0402FC0000004000BE -:0402FD0000004000BD -:0402FE0000004000BC -:0402FF0000004000BB -:0403000000004000B9 -:0403010000004000B8 -:0403020000004000B7 -:0403030000004000B6 -:0403040000004000B5 -:0403050000004000B4 -:0403060000004000B3 -:0403070000004000B2 -:0403080000004000B1 -:0403090000004000B0 -:04030A0000004000AF -:04030B0000004000AE -:04030C0000004000AD -:04030D0000004000AC -:04030E0000004000AB -:04030F0000004000AA -:0403100000004000A9 -:0403110000004000A8 -:0403120000004000A7 -:0403130000004000A6 -:0403140000004000A5 -:0403150000004000A4 -:0403160000004000A3 -:0403170000004000A2 -:0403180000004000A1 -:0403190000004000A0 -:04031A00000040009F -:04031B00000040009E -:04031C00000040009D -:04031D00000040009C -:04031E00000040009B -:04031F00000040009A -:040320000000400099 -:040321000000400098 -:040322000000400097 -:040323000000400096 -:040324000000400095 -:040325000000400094 -:040326000000400093 -:040327000000400092 -:040328000000400091 -:040329000000400090 -:04032A00000040008F -:04032B00000040008E -:04032C00000040008D -:04032D00000040008C -:04032E00000040008B -:04032F00000040008A -:040330000000400089 -:040331000000400088 -:040332000000400087 -:040333000000400086 -:040334000000400085 -:040335000000400084 -:040336000000400083 -:040337000000400082 -:040338000000400081 -:040339000000400080 -:04033A00000040007F -:04033B00000040007E -:04033C00000040007D -:04033D00000040007C -:04033E00000040007B -:04033F00000040007A -:040340000000400079 -:040341000000400078 -:040342000000400077 -:040343000000400076 -:040344000000400075 -:040345000000400074 -:040346000000400073 -:040347000000400072 -:040348000000400071 -:040349000000400070 -:04034A00000040006F -:04034B00000040006E -:04034C00000040006D -:04034D00000040006C -:04034E00000040006B -:04034F00000040006A -:040350000000400069 -:040351000000400068 -:040352000000400067 -:040353000000400066 -:040354000000400065 -:040355000000400064 -:040356000000400063 -:040357000000400062 -:040358000000400061 -:040359000000400060 -:04035A00000040005F -:04035B00000040005E -:04035C00000040005D -:04035D00000040005C -:04035E00000040005B -:04035F00000040005A -:040360000000400059 -:040361000000400058 -:040362000000400057 -:040363000000400056 -:040364000000400055 -:040365000000400054 -:040366000000400053 -:040367000000400052 -:040368000000400051 -:040369000000400050 -:04036A00000040004F -:04036B00000040004E -:04036C00000040004D -:04036D00000040004C -:04036E00000040004B -:04036F00000040004A -:040370000000400049 -:040371000000400048 -:040372000000400047 -:040373000000400046 -:040374000000400045 -:040375000000400044 -:040376000000400043 -:040377000000400042 -:040378000000400041 -:040379000000400040 -:04037A00000040003F -:04037B00000040003E -:04037C00000040003D -:04037D00000040003C -:04037E00000040003B -:04037F00000040003A -:040380000000400039 -:040381000000400038 -:040382000000400037 -:040383000000400036 -:040384000000400035 -:040385000000400034 -:040386000000400033 -:040387000000400032 -:040388000000400031 -:040389000000400030 -:04038A00000040002F -:04038B00000040002E -:04038C00000040002D -:04038D00000040002C -:04038E00000040002B -:04038F00000040002A -:040390000000400029 -:040391000000400028 -:040392000000400027 -:040393000000400026 -:040394000000400025 -:040395000000400024 -:040396000000400023 -:040397000000400022 -:040398000000400021 -:040399000000400020 -:04039A00000040001F -:04039B00000040001E -:04039C00000040001D -:04039D00000040001C -:04039E00000040001B -:04039F00000040001A -:0403A0000000400019 -:0403A1000000400018 -:0403A2000000400017 -:0403A3000000400016 -:0403A4000000400015 -:0403A5000000400014 -:0403A6000000400013 -:0403A7000000400012 -:0403A8000000400011 -:0403A9000000400010 -:0403AA00000040000F -:0403AB00000040000E -:0403AC00000040000D -:0403AD00000040000C -:0403AE00000040000B -:0403AF00000040000A -:0403B0000000400009 -:0403B1000000400008 -:0403B2000000400007 -:0403B3000000400006 -:0403B4000000400005 -:0403B5000000400004 -:0403B6000000400003 -:0403B7000000400002 -:0403B8000000400001 -:0403B9000000400000 -:0403BA0000004000FF -:0403BB0000004000FE -:0403BC0000004000FD -:0403BD0000004000FC -:0403BE0000004000FB -:0403BF0000004000FA -:0403C00000004000F9 -:0403C10000004000F8 -:0403C20000004000F7 -:0403C30000004000F6 -:0403C40000004000F5 -:0403C50000004000F4 -:0403C60000004000F3 -:0403C70000004000F2 -:0403C80000004000F1 -:0403C90000004000F0 -:0403CA0000004000EF -:0403CB0000004000EE -:0403CC0000004000ED -:0403CD0000004000EC -:0403CE0000004000EB -:0403CF0000004000EA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_7.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_7.hex deleted file mode 100644 index 145449c561b53ba41814116745a0fd440801640e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_7.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400010000004000BB -:0400020000004000BA -:0400030000004000B9 -:0400040000004000B8 -:0400050000004000B7 -:0400060000004000B6 -:0400070000004000B5 -:0400080000004000B4 -:0400090000004000B3 -:04000A0000004000B2 -:04000B0000004000B1 -:04000C0000004000B0 -:04000D0000004000AF -:04000E0000004000AE -:04000F0000004000AD -:0400100000004000AC -:0400110000004000AB -:0400120000004000AA -:0400130000004000A9 -:0400140000004000A8 -:0400150000004000A7 -:0400160000004000A6 -:0400170000004000A5 -:0400180000004000A4 -:0400190000004000A3 -:04001A0000004000A2 -:04001B0000004000A1 -:04001C0000004000A0 -:04001D00000040009F -:04001E00000040009E -:04001F00000040009D -:04002000000040009C -:04002100000040009B -:04002200000040009A -:040023000000400099 -:040024000000400098 -:040025000000400097 -:040026000000400096 -:040027000000400095 -:040028000000400094 -:040029000000400093 -:04002A000000400092 -:04002B000000400091 -:04002C000000400090 -:04002D00000040008F -:04002E00000040008E -:04002F00000040008D -:04003000000040008C -:04003100000040008B -:04003200000040008A -:040033000000400089 -:040034000000400088 -:040035000000400087 -:040036000000400086 -:040037000000400085 -:040038000000400084 -:040039000000400083 -:04003A000000400082 -:04003B000000400081 -:04003C000000400080 -:04003D00000040007F -:04003E00000040007E -:04003F00000040007D -:04004000000040007C -:04004100000040007B -:04004200000040007A -:040043000000400079 -:040044000000400078 -:040045000000400077 -:040046000000400076 -:040047000000400075 -:040048000000400074 -:040049000000400073 -:04004A000000400072 -:04004B000000400071 -:04004C000000400070 -:04004D00000040006F -:04004E00000040006E -:04004F00000040006D -:04005000000040006C -:04005100000040006B -:04005200000040006A -:040053000000400069 -:040054000000400068 -:040055000000400067 -:040056000000400066 -:040057000000400065 -:040058000000400064 -:040059000000400063 -:04005A000000400062 -:04005B000000400061 -:04005C000000400060 -:04005D00000040005F -:04005E00000040005E -:04005F00000040005D -:04006000000040005C -:04006100000040005B -:04006200000040005A -:040063000000400059 -:040064000000400058 -:040065000000400057 -:040066000000400056 -:040067000000400055 -:040068000000400054 -:040069000000400053 -:04006A000000400052 -:04006B000000400051 -:04006C000000400050 -:04006D00000040004F -:04006E00000040004E -:04006F00000040004D -:04007000000040004C -:04007100000040004B -:04007200000040004A -:040073000000400049 -:040074000000400048 -:040075000000400047 -:040076000000400046 -:040077000000400045 -:040078000000400044 -:040079000000400043 -:04007A000000400042 -:04007B000000400041 -:04007C000000400040 -:04007D00000040003F -:04007E00000040003E -:04007F00000040003D -:04008000000040003C -:04008100000040003B -:04008200000040003A -:040083000000400039 -:040084000000400038 -:040085000000400037 -:040086000000400036 -:040087000000400035 -:040088000000400034 -:040089000000400033 -:04008A000000400032 -:04008B000000400031 -:04008C000000400030 -:04008D00000040002F -:04008E00000040002E -:04008F00000040002D -:04009000000040002C -:04009100000040002B -:04009200000040002A -:040093000000400029 -:040094000000400028 -:040095000000400027 -:040096000000400026 -:040097000000400025 -:040098000000400024 -:040099000000400023 -:04009A000000400022 -:04009B000000400021 -:04009C000000400020 -:04009D00000040001F -:04009E00000040001E -:04009F00000040001D -:0400A000000040001C -:0400A100000040001B -:0400A200000040001A -:0400A3000000400019 -:0400A4000000400018 -:0400A5000000400017 -:0400A6000000400016 -:0400A7000000400015 -:0400A8000000400014 -:0400A9000000400013 -:0400AA000000400012 -:0400AB000000400011 -:0400AC000000400010 -:0400AD00000040000F -:0400AE00000040000E -:0400AF00000040000D -:0400B000000040000C -:0400B100000040000B -:0400B200000040000A -:0400B3000000400009 -:0400B4000000400008 -:0400B5000000400007 -:0400B6000000400006 -:0400B7000000400005 -:0400B8000000400004 -:0400B9000000400003 -:0400BA000000400002 -:0400BB000000400001 -:0400BC000000400000 -:0400BD0000004000FF -:0400BE0000004000FE -:0400BF0000004000FD -:0400C00000004000FC -:0400C10000004000FB -:0400C20000004000FA -:0400C30000004000F9 -:0400C40000004000F8 -:0400C50000004000F7 -:0400C60000004000F6 -:0400C70000004000F5 -:0400C80000004000F4 -:0400C90000004000F3 -:0400CA0000004000F2 -:0400CB0000004000F1 -:0400CC0000004000F0 -:0400CD0000004000EF -:0400CE0000004000EE -:0400CF0000004000ED -:0400D00000004000EC -:0400D10000004000EB -:0400D20000004000EA -:0400D30000004000E9 -:0400D40000004000E8 -:0400D50000004000E7 -:0400D60000004000E6 -:0400D70000004000E5 -:0400D80000004000E4 -:0400D90000004000E3 -:0400DA0000004000E2 -:0400DB0000004000E1 -:0400DC0000004000E0 -:0400DD0000004000DF -:0400DE0000004000DE -:0400DF0000004000DD -:0400E00000004000DC -:0400E10000004000DB -:0400E20000004000DA -:0400E30000004000D9 -:0400E40000004000D8 -:0400E50000004000D7 -:0400E60000004000D6 -:0400E70000004000D5 -:0400E80000004000D4 -:0400E90000004000D3 -:0400EA0000004000D2 -:0400EB0000004000D1 -:0400EC0000004000D0 -:0400ED0000004000CF -:0400EE0000004000CE -:0400EF0000004000CD -:0400F00000004000CC -:0400F10000004000CB -:0400F20000004000CA -:0400F30000004000C9 -:0400F40000004000C8 -:0400F50000004000C7 -:0400F60000004000C6 -:0400F70000004000C5 -:0400F80000004000C4 -:0400F90000004000C3 -:0400FA0000004000C2 -:0400FB0000004000C1 -:0400FC0000004000C0 -:0400FD0000004000BF -:0400FE0000004000BE -:0400FF0000004000BD -:0401000000004000BB -:0401010000004000BA -:0401020000004000B9 -:0401030000004000B8 -:0401040000004000B7 -:0401050000004000B6 -:0401060000004000B5 -:0401070000004000B4 -:0401080000004000B3 -:0401090000004000B2 -:04010A0000004000B1 -:04010B0000004000B0 -:04010C0000004000AF -:04010D0000004000AE -:04010E0000004000AD -:04010F0000004000AC -:0401100000004000AB -:0401110000004000AA -:0401120000004000A9 -:0401130000004000A8 -:0401140000004000A7 -:0401150000004000A6 -:0401160000004000A5 -:0401170000004000A4 -:0401180000004000A3 -:0401190000004000A2 -:04011A0000004000A1 -:04011B0000004000A0 -:04011C00000040009F -:04011D00000040009E -:04011E00000040009D -:04011F00000040009C -:04012000000040009B -:04012100000040009A -:040122000000400099 -:040123000000400098 -:040124000000400097 -:040125000000400096 -:040126000000400095 -:040127000000400094 -:040128000000400093 -:040129000000400092 -:04012A000000400091 -:04012B000000400090 -:04012C00000040008F -:04012D00000040008E -:04012E00000040008D -:04012F00000040008C -:04013000000040008B -:04013100000040008A -:040132000000400089 -:040133000000400088 -:040134000000400087 -:040135000000400086 -:040136000000400085 -:040137000000400084 -:040138000000400083 -:040139000000400082 -:04013A000000400081 -:04013B000000400080 -:04013C00000040007F -:04013D00000040007E -:04013E00000040007D -:04013F00000040007C -:04014000000040007B -:04014100000040007A -:040142000000400079 -:040143000000400078 -:040144000000400077 -:040145000000400076 -:040146000000400075 -:040147000000400074 -:040148000000400073 -:040149000000400072 -:04014A000000400071 -:04014B000000400070 -:04014C00000040006F -:04014D00000040006E -:04014E00000040006D -:04014F00000040006C -:04015000000040006B -:04015100000040006A -:040152000000400069 -:040153000000400068 -:040154000000400067 -:040155000000400066 -:040156000000400065 -:040157000000400064 -:040158000000400063 -:040159000000400062 -:04015A000000400061 -:04015B000000400060 -:04015C00000040005F -:04015D00000040005E -:04015E00000040005D -:04015F00000040005C -:04016000000040005B -:04016100000040005A -:040162000000400059 -:040163000000400058 -:040164000000400057 -:040165000000400056 -:040166000000400055 -:040167000000400054 -:040168000000400053 -:040169000000400052 -:04016A000000400051 -:04016B000000400050 -:04016C00000040004F -:04016D00000040004E -:04016E00000040004D -:04016F00000040004C -:04017000000040004B -:04017100000040004A -:040172000000400049 -:040173000000400048 -:040174000000400047 -:040175000000400046 -:040176000000400045 -:040177000000400044 -:040178000000400043 -:040179000000400042 -:04017A000000400041 -:04017B000000400040 -:04017C00000040003F -:04017D00000040003E -:04017E00000040003D -:04017F00000040003C -:04018000000040003B -:04018100000040003A -:040182000000400039 -:040183000000400038 -:040184000000400037 -:040185000000400036 -:040186000000400035 -:040187000000400034 -:040188000000400033 -:040189000000400032 -:04018A000000400031 -:04018B000000400030 -:04018C00000040002F -:04018D00000040002E -:04018E00000040002D -:04018F00000040002C -:04019000000040002B -:04019100000040002A -:040192000000400029 -:040193000000400028 -:040194000000400027 -:040195000000400026 -:040196000000400025 -:040197000000400024 -:040198000000400023 -:040199000000400022 -:04019A000000400021 -:04019B000000400020 -:04019C00000040001F -:04019D00000040001E -:04019E00000040001D -:04019F00000040001C -:0401A000000040001B -:0401A100000040001A -:0401A2000000400019 -:0401A3000000400018 -:0401A4000000400017 -:0401A5000000400016 -:0401A6000000400015 -:0401A7000000400014 -:0401A8000000400013 -:0401A9000000400012 -:0401AA000000400011 -:0401AB000000400010 -:0401AC00000040000F -:0401AD00000040000E -:0401AE00000040000D -:0401AF00000040000C -:0401B000000040000B -:0401B100000040000A -:0401B2000000400009 -:0401B3000000400008 -:0401B4000000400007 -:0401B5000000400006 -:0401B6000000400005 -:0401B7000000400004 -:0401B8000000400003 -:0401B9000000400002 -:0401BA000000400001 -:0401BB000000400000 -:0401BC0000004000FF -:0401BD0000004000FE -:0401BE0000004000FD -:0401BF0000004000FC -:0401C00000004000FB -:0401C10000004000FA -:0401C20000004000F9 -:0401C30000004000F8 -:0401C40000004000F7 -:0401C50000004000F6 -:0401C60000004000F5 -:0401C70000004000F4 -:0401C80000004000F3 -:0401C90000004000F2 -:0401CA0000004000F1 -:0401CB0000004000F0 -:0401CC0000004000EF -:0401CD0000004000EE -:0401CE0000004000ED -:0401CF0000004000EC -:0401D00000004000EB -:0401D10000004000EA -:0401D20000004000E9 -:0401D30000004000E8 -:0401D40000004000E7 -:0401D50000004000E6 -:0401D60000004000E5 -:0401D70000004000E4 -:0401D80000004000E3 -:0401D90000004000E2 -:0401DA0000004000E1 -:0401DB0000004000E0 -:0401DC0000004000DF -:0401DD0000004000DE -:0401DE0000004000DD -:0401DF0000004000DC -:0401E00000004000DB -:0401E10000004000DA -:0401E20000004000D9 -:0401E30000004000D8 -:0401E40000004000D7 -:0401E50000004000D6 -:0401E60000004000D5 -:0401E70000004000D4 -:0401E80000004000D3 -:0401E90000004000D2 -:0401EA0000004000D1 -:0401EB0000004000D0 -:0401EC0000004000CF -:0401ED0000004000CE -:0401EE0000004000CD -:0401EF0000004000CC -:0401F00000004000CB -:0401F10000004000CA -:0401F20000004000C9 -:0401F30000004000C8 -:0401F40000004000C7 -:0401F50000004000C6 -:0401F60000004000C5 -:0401F70000004000C4 -:0401F80000004000C3 -:0401F90000004000C2 -:0401FA0000004000C1 -:0401FB0000004000C0 -:0401FC0000004000BF -:0401FD0000004000BE -:0401FE0000004000BD -:0401FF0000004000BC -:0402000000004000BA -:0402010000004000B9 -:0402020000004000B8 -:0402030000004000B7 -:0402040000004000B6 -:0402050000004000B5 -:0402060000004000B4 -:0402070000004000B3 -:0402080000004000B2 -:0402090000004000B1 -:04020A0000004000B0 -:04020B0000004000AF -:04020C0000004000AE -:04020D0000004000AD -:04020E0000004000AC -:04020F0000004000AB -:0402100000004000AA -:0402110000004000A9 -:0402120000004000A8 -:0402130000004000A7 -:0402140000004000A6 -:0402150000004000A5 -:0402160000004000A4 -:0402170000004000A3 -:0402180000004000A2 -:0402190000004000A1 -:04021A0000004000A0 -:04021B00000040009F -:04021C00000040009E -:04021D00000040009D -:04021E00000040009C -:04021F00000040009B -:04022000000040009A -:040221000000400099 -:040222000000400098 -:040223000000400097 -:040224000000400096 -:040225000000400095 -:040226000000400094 -:040227000000400093 -:040228000000400092 -:040229000000400091 -:04022A000000400090 -:04022B00000040008F -:04022C00000040008E -:04022D00000040008D -:04022E00000040008C -:04022F00000040008B -:04023000000040008A -:040231000000400089 -:040232000000400088 -:040233000000400087 -:040234000000400086 -:040235000000400085 -:040236000000400084 -:040237000000400083 -:040238000000400082 -:040239000000400081 -:04023A000000400080 -:04023B00000040007F -:04023C00000040007E -:04023D00000040007D -:04023E00000040007C -:04023F00000040007B -:04024000000040007A -:040241000000400079 -:040242000000400078 -:040243000000400077 -:040244000000400076 -:040245000000400075 -:040246000000400074 -:040247000000400073 -:040248000000400072 -:040249000000400071 -:04024A000000400070 -:04024B00000040006F -:04024C00000040006E -:04024D00000040006D -:04024E00000040006C -:04024F00000040006B -:04025000000040006A -:040251000000400069 -:040252000000400068 -:040253000000400067 -:040254000000400066 -:040255000000400065 -:040256000000400064 -:040257000000400063 -:040258000000400062 -:040259000000400061 -:04025A000000400060 -:04025B00000040005F -:04025C00000040005E -:04025D00000040005D -:04025E00000040005C -:04025F00000040005B -:04026000000040005A -:040261000000400059 -:040262000000400058 -:040263000000400057 -:040264000000400056 -:040265000000400055 -:040266000000400054 -:040267000000400053 -:040268000000400052 -:040269000000400051 -:04026A000000400050 -:04026B00000040004F -:04026C00000040004E -:04026D00000040004D -:04026E00000040004C -:04026F00000040004B -:04027000000040004A -:040271000000400049 -:040272000000400048 -:040273000000400047 -:040274000000400046 -:040275000000400045 -:040276000000400044 -:040277000000400043 -:040278000000400042 -:040279000000400041 -:04027A000000400040 -:04027B00000040003F -:04027C00000040003E -:04027D00000040003D -:04027E00000040003C -:04027F00000040003B -:04028000000040003A -:040281000000400039 -:040282000000400038 -:040283000000400037 -:040284000000400036 -:040285000000400035 -:040286000000400034 -:040287000000400033 -:040288000000400032 -:040289000000400031 -:04028A000000400030 -:04028B00000040002F -:04028C00000040002E -:04028D00000040002D -:04028E00000040002C -:04028F00000040002B -:04029000000040002A -:040291000000400029 -:040292000000400028 -:040293000000400027 -:040294000000400026 -:040295000000400025 -:040296000000400024 -:040297000000400023 -:040298000000400022 -:040299000000400021 -:04029A000000400020 -:04029B00000040001F -:04029C00000040001E -:04029D00000040001D -:04029E00000040001C -:04029F00000040001B -:0402A000000040001A -:0402A1000000400019 -:0402A2000000400018 -:0402A3000000400017 -:0402A4000000400016 -:0402A5000000400015 -:0402A6000000400014 -:0402A7000000400013 -:0402A8000000400012 -:0402A9000000400011 -:0402AA000000400010 -:0402AB00000040000F -:0402AC00000040000E -:0402AD00000040000D -:0402AE00000040000C -:0402AF00000040000B -:0402B000000040000A -:0402B1000000400009 -:0402B2000000400008 -:0402B3000000400007 -:0402B4000000400006 -:0402B5000000400005 -:0402B6000000400004 -:0402B7000000400003 -:0402B8000000400002 -:0402B9000000400001 -:0402BA000000400000 -:0402BB0000004000FF -:0402BC0000004000FE -:0402BD0000004000FD -:0402BE0000004000FC -:0402BF0000004000FB -:0402C00000004000FA -:0402C10000004000F9 -:0402C20000004000F8 -:0402C30000004000F7 -:0402C40000004000F6 -:0402C50000004000F5 -:0402C60000004000F4 -:0402C70000004000F3 -:0402C80000004000F2 -:0402C90000004000F1 -:0402CA0000004000F0 -:0402CB0000004000EF -:0402CC0000004000EE -:0402CD0000004000ED -:0402CE0000004000EC -:0402CF0000004000EB -:0402D00000004000EA -:0402D10000004000E9 -:0402D20000004000E8 -:0402D30000004000E7 -:0402D40000004000E6 -:0402D50000004000E5 -:0402D60000004000E4 -:0402D70000004000E3 -:0402D80000004000E2 -:0402D90000004000E1 -:0402DA0000004000E0 -:0402DB0000004000DF -:0402DC0000004000DE -:0402DD0000004000DD -:0402DE0000004000DC -:0402DF0000004000DB -:0402E00000004000DA -:0402E10000004000D9 -:0402E20000004000D8 -:0402E30000004000D7 -:0402E40000004000D6 -:0402E50000004000D5 -:0402E60000004000D4 -:0402E70000004000D3 -:0402E80000004000D2 -:0402E90000004000D1 -:0402EA0000004000D0 -:0402EB0000004000CF -:0402EC0000004000CE -:0402ED0000004000CD -:0402EE0000004000CC -:0402EF0000004000CB -:0402F00000004000CA -:0402F10000004000C9 -:0402F20000004000C8 -:0402F30000004000C7 -:0402F40000004000C6 -:0402F50000004000C5 -:0402F60000004000C4 -:0402F70000004000C3 -:0402F80000004000C2 -:0402F90000004000C1 -:0402FA0000004000C0 -:0402FB0000004000BF -:0402FC0000004000BE -:0402FD0000004000BD -:0402FE0000004000BC -:0402FF0000004000BB -:0403000000004000B9 -:0403010000004000B8 -:0403020000004000B7 -:0403030000004000B6 -:0403040000004000B5 -:0403050000004000B4 -:0403060000004000B3 -:0403070000004000B2 -:0403080000004000B1 -:0403090000004000B0 -:04030A0000004000AF -:04030B0000004000AE -:04030C0000004000AD -:04030D0000004000AC -:04030E0000004000AB -:04030F0000004000AA -:0403100000004000A9 -:0403110000004000A8 -:0403120000004000A7 -:0403130000004000A6 -:0403140000004000A5 -:0403150000004000A4 -:0403160000004000A3 -:0403170000004000A2 -:0403180000004000A1 -:0403190000004000A0 -:04031A00000040009F -:04031B00000040009E -:04031C00000040009D -:04031D00000040009C -:04031E00000040009B -:04031F00000040009A -:040320000000400099 -:040321000000400098 -:040322000000400097 -:040323000000400096 -:040324000000400095 -:040325000000400094 -:040326000000400093 -:040327000000400092 -:040328000000400091 -:040329000000400090 -:04032A00000040008F -:04032B00000040008E -:04032C00000040008D -:04032D00000040008C -:04032E00000040008B -:04032F00000040008A -:040330000000400089 -:040331000000400088 -:040332000000400087 -:040333000000400086 -:040334000000400085 -:040335000000400084 -:040336000000400083 -:040337000000400082 -:040338000000400081 -:040339000000400080 -:04033A00000040007F -:04033B00000040007E -:04033C00000040007D -:04033D00000040007C -:04033E00000040007B -:04033F00000040007A -:040340000000400079 -:040341000000400078 -:040342000000400077 -:040343000000400076 -:040344000000400075 -:040345000000400074 -:040346000000400073 -:040347000000400072 -:040348000000400071 -:040349000000400070 -:04034A00000040006F -:04034B00000040006E -:04034C00000040006D -:04034D00000040006C -:04034E00000040006B -:04034F00000040006A -:040350000000400069 -:040351000000400068 -:040352000000400067 -:040353000000400066 -:040354000000400065 -:040355000000400064 -:040356000000400063 -:040357000000400062 -:040358000000400061 -:040359000000400060 -:04035A00000040005F -:04035B00000040005E -:04035C00000040005D -:04035D00000040005C -:04035E00000040005B -:04035F00000040005A -:040360000000400059 -:040361000000400058 -:040362000000400057 -:040363000000400056 -:040364000000400055 -:040365000000400054 -:040366000000400053 -:040367000000400052 -:040368000000400051 -:040369000000400050 -:04036A00000040004F -:04036B00000040004E -:04036C00000040004D -:04036D00000040004C -:04036E00000040004B -:04036F00000040004A -:040370000000400049 -:040371000000400048 -:040372000000400047 -:040373000000400046 -:040374000000400045 -:040375000000400044 -:040376000000400043 -:040377000000400042 -:040378000000400041 -:040379000000400040 -:04037A00000040003F -:04037B00000040003E -:04037C00000040003D -:04037D00000040003C -:04037E00000040003B -:04037F00000040003A -:040380000000400039 -:040381000000400038 -:040382000000400037 -:040383000000400036 -:040384000000400035 -:040385000000400034 -:040386000000400033 -:040387000000400032 -:040388000000400031 -:040389000000400030 -:04038A00000040002F -:04038B00000040002E -:04038C00000040002D -:04038D00000040002C -:04038E00000040002B -:04038F00000040002A -:040390000000400029 -:040391000000400028 -:040392000000400027 -:040393000000400026 -:040394000000400025 -:040395000000400024 -:040396000000400023 -:040397000000400022 -:040398000000400021 -:040399000000400020 -:04039A00000040001F -:04039B00000040001E -:04039C00000040001D -:04039D00000040001C -:04039E00000040001B -:04039F00000040001A -:0403A0000000400019 -:0403A1000000400018 -:0403A2000000400017 -:0403A3000000400016 -:0403A4000000400015 -:0403A5000000400014 -:0403A6000000400013 -:0403A7000000400012 -:0403A8000000400011 -:0403A9000000400010 -:0403AA00000040000F -:0403AB00000040000E -:0403AC00000040000D -:0403AD00000040000C -:0403AE00000040000B -:0403AF00000040000A -:0403B0000000400009 -:0403B1000000400008 -:0403B2000000400007 -:0403B3000000400006 -:0403B4000000400005 -:0403B5000000400004 -:0403B6000000400003 -:0403B7000000400002 -:0403B8000000400001 -:0403B9000000400000 -:0403BA0000004000FF -:0403BB0000004000FE -:0403BC0000004000FD -:0403BD0000004000FC -:0403BE0000004000FB -:0403BF0000004000FA -:0403C00000004000F9 -:0403C10000004000F8 -:0403C20000004000F7 -:0403C30000004000F6 -:0403C40000004000F5 -:0403C50000004000F4 -:0403C60000004000F3 -:0403C70000004000F2 -:0403C80000004000F1 -:0403C90000004000F0 -:0403CA0000004000EF -:0403CB0000004000EE -:0403CC0000004000ED -:0403CD0000004000EC -:0403CE0000004000EB -:0403CF0000004000EA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_8.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_8.hex deleted file mode 100644 index 145449c561b53ba41814116745a0fd440801640e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_8.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400010000004000BB -:0400020000004000BA -:0400030000004000B9 -:0400040000004000B8 -:0400050000004000B7 -:0400060000004000B6 -:0400070000004000B5 -:0400080000004000B4 -:0400090000004000B3 -:04000A0000004000B2 -:04000B0000004000B1 -:04000C0000004000B0 -:04000D0000004000AF -:04000E0000004000AE -:04000F0000004000AD -:0400100000004000AC -:0400110000004000AB -:0400120000004000AA -:0400130000004000A9 -:0400140000004000A8 -:0400150000004000A7 -:0400160000004000A6 -:0400170000004000A5 -:0400180000004000A4 -:0400190000004000A3 -:04001A0000004000A2 -:04001B0000004000A1 -:04001C0000004000A0 -:04001D00000040009F -:04001E00000040009E -:04001F00000040009D -:04002000000040009C -:04002100000040009B -:04002200000040009A -:040023000000400099 -:040024000000400098 -:040025000000400097 -:040026000000400096 -:040027000000400095 -:040028000000400094 -:040029000000400093 -:04002A000000400092 -:04002B000000400091 -:04002C000000400090 -:04002D00000040008F -:04002E00000040008E -:04002F00000040008D -:04003000000040008C -:04003100000040008B -:04003200000040008A -:040033000000400089 -:040034000000400088 -:040035000000400087 -:040036000000400086 -:040037000000400085 -:040038000000400084 -:040039000000400083 -:04003A000000400082 -:04003B000000400081 -:04003C000000400080 -:04003D00000040007F -:04003E00000040007E -:04003F00000040007D -:04004000000040007C -:04004100000040007B -:04004200000040007A -:040043000000400079 -:040044000000400078 -:040045000000400077 -:040046000000400076 -:040047000000400075 -:040048000000400074 -:040049000000400073 -:04004A000000400072 -:04004B000000400071 -:04004C000000400070 -:04004D00000040006F -:04004E00000040006E -:04004F00000040006D -:04005000000040006C -:04005100000040006B -:04005200000040006A -:040053000000400069 -:040054000000400068 -:040055000000400067 -:040056000000400066 -:040057000000400065 -:040058000000400064 -:040059000000400063 -:04005A000000400062 -:04005B000000400061 -:04005C000000400060 -:04005D00000040005F -:04005E00000040005E -:04005F00000040005D -:04006000000040005C -:04006100000040005B -:04006200000040005A -:040063000000400059 -:040064000000400058 -:040065000000400057 -:040066000000400056 -:040067000000400055 -:040068000000400054 -:040069000000400053 -:04006A000000400052 -:04006B000000400051 -:04006C000000400050 -:04006D00000040004F -:04006E00000040004E -:04006F00000040004D -:04007000000040004C -:04007100000040004B -:04007200000040004A -:040073000000400049 -:040074000000400048 -:040075000000400047 -:040076000000400046 -:040077000000400045 -:040078000000400044 -:040079000000400043 -:04007A000000400042 -:04007B000000400041 -:04007C000000400040 -:04007D00000040003F -:04007E00000040003E -:04007F00000040003D -:04008000000040003C -:04008100000040003B -:04008200000040003A -:040083000000400039 -:040084000000400038 -:040085000000400037 -:040086000000400036 -:040087000000400035 -:040088000000400034 -:040089000000400033 -:04008A000000400032 -:04008B000000400031 -:04008C000000400030 -:04008D00000040002F -:04008E00000040002E -:04008F00000040002D -:04009000000040002C -:04009100000040002B -:04009200000040002A -:040093000000400029 -:040094000000400028 -:040095000000400027 -:040096000000400026 -:040097000000400025 -:040098000000400024 -:040099000000400023 -:04009A000000400022 -:04009B000000400021 -:04009C000000400020 -:04009D00000040001F -:04009E00000040001E -:04009F00000040001D -:0400A000000040001C -:0400A100000040001B -:0400A200000040001A -:0400A3000000400019 -:0400A4000000400018 -:0400A5000000400017 -:0400A6000000400016 -:0400A7000000400015 -:0400A8000000400014 -:0400A9000000400013 -:0400AA000000400012 -:0400AB000000400011 -:0400AC000000400010 -:0400AD00000040000F -:0400AE00000040000E -:0400AF00000040000D -:0400B000000040000C -:0400B100000040000B -:0400B200000040000A -:0400B3000000400009 -:0400B4000000400008 -:0400B5000000400007 -:0400B6000000400006 -:0400B7000000400005 -:0400B8000000400004 -:0400B9000000400003 -:0400BA000000400002 -:0400BB000000400001 -:0400BC000000400000 -:0400BD0000004000FF -:0400BE0000004000FE -:0400BF0000004000FD -:0400C00000004000FC -:0400C10000004000FB -:0400C20000004000FA -:0400C30000004000F9 -:0400C40000004000F8 -:0400C50000004000F7 -:0400C60000004000F6 -:0400C70000004000F5 -:0400C80000004000F4 -:0400C90000004000F3 -:0400CA0000004000F2 -:0400CB0000004000F1 -:0400CC0000004000F0 -:0400CD0000004000EF -:0400CE0000004000EE -:0400CF0000004000ED -:0400D00000004000EC -:0400D10000004000EB -:0400D20000004000EA -:0400D30000004000E9 -:0400D40000004000E8 -:0400D50000004000E7 -:0400D60000004000E6 -:0400D70000004000E5 -:0400D80000004000E4 -:0400D90000004000E3 -:0400DA0000004000E2 -:0400DB0000004000E1 -:0400DC0000004000E0 -:0400DD0000004000DF -:0400DE0000004000DE -:0400DF0000004000DD -:0400E00000004000DC -:0400E10000004000DB -:0400E20000004000DA -:0400E30000004000D9 -:0400E40000004000D8 -:0400E50000004000D7 -:0400E60000004000D6 -:0400E70000004000D5 -:0400E80000004000D4 -:0400E90000004000D3 -:0400EA0000004000D2 -:0400EB0000004000D1 -:0400EC0000004000D0 -:0400ED0000004000CF -:0400EE0000004000CE -:0400EF0000004000CD -:0400F00000004000CC -:0400F10000004000CB -:0400F20000004000CA -:0400F30000004000C9 -:0400F40000004000C8 -:0400F50000004000C7 -:0400F60000004000C6 -:0400F70000004000C5 -:0400F80000004000C4 -:0400F90000004000C3 -:0400FA0000004000C2 -:0400FB0000004000C1 -:0400FC0000004000C0 -:0400FD0000004000BF -:0400FE0000004000BE -:0400FF0000004000BD -:0401000000004000BB -:0401010000004000BA -:0401020000004000B9 -:0401030000004000B8 -:0401040000004000B7 -:0401050000004000B6 -:0401060000004000B5 -:0401070000004000B4 -:0401080000004000B3 -:0401090000004000B2 -:04010A0000004000B1 -:04010B0000004000B0 -:04010C0000004000AF -:04010D0000004000AE -:04010E0000004000AD -:04010F0000004000AC -:0401100000004000AB -:0401110000004000AA -:0401120000004000A9 -:0401130000004000A8 -:0401140000004000A7 -:0401150000004000A6 -:0401160000004000A5 -:0401170000004000A4 -:0401180000004000A3 -:0401190000004000A2 -:04011A0000004000A1 -:04011B0000004000A0 -:04011C00000040009F -:04011D00000040009E -:04011E00000040009D -:04011F00000040009C -:04012000000040009B -:04012100000040009A -:040122000000400099 -:040123000000400098 -:040124000000400097 -:040125000000400096 -:040126000000400095 -:040127000000400094 -:040128000000400093 -:040129000000400092 -:04012A000000400091 -:04012B000000400090 -:04012C00000040008F -:04012D00000040008E -:04012E00000040008D -:04012F00000040008C -:04013000000040008B -:04013100000040008A -:040132000000400089 -:040133000000400088 -:040134000000400087 -:040135000000400086 -:040136000000400085 -:040137000000400084 -:040138000000400083 -:040139000000400082 -:04013A000000400081 -:04013B000000400080 -:04013C00000040007F -:04013D00000040007E -:04013E00000040007D -:04013F00000040007C -:04014000000040007B -:04014100000040007A -:040142000000400079 -:040143000000400078 -:040144000000400077 -:040145000000400076 -:040146000000400075 -:040147000000400074 -:040148000000400073 -:040149000000400072 -:04014A000000400071 -:04014B000000400070 -:04014C00000040006F -:04014D00000040006E -:04014E00000040006D -:04014F00000040006C -:04015000000040006B -:04015100000040006A -:040152000000400069 -:040153000000400068 -:040154000000400067 -:040155000000400066 -:040156000000400065 -:040157000000400064 -:040158000000400063 -:040159000000400062 -:04015A000000400061 -:04015B000000400060 -:04015C00000040005F -:04015D00000040005E -:04015E00000040005D -:04015F00000040005C -:04016000000040005B -:04016100000040005A -:040162000000400059 -:040163000000400058 -:040164000000400057 -:040165000000400056 -:040166000000400055 -:040167000000400054 -:040168000000400053 -:040169000000400052 -:04016A000000400051 -:04016B000000400050 -:04016C00000040004F -:04016D00000040004E -:04016E00000040004D -:04016F00000040004C -:04017000000040004B -:04017100000040004A -:040172000000400049 -:040173000000400048 -:040174000000400047 -:040175000000400046 -:040176000000400045 -:040177000000400044 -:040178000000400043 -:040179000000400042 -:04017A000000400041 -:04017B000000400040 -:04017C00000040003F -:04017D00000040003E -:04017E00000040003D -:04017F00000040003C -:04018000000040003B -:04018100000040003A -:040182000000400039 -:040183000000400038 -:040184000000400037 -:040185000000400036 -:040186000000400035 -:040187000000400034 -:040188000000400033 -:040189000000400032 -:04018A000000400031 -:04018B000000400030 -:04018C00000040002F -:04018D00000040002E -:04018E00000040002D -:04018F00000040002C -:04019000000040002B -:04019100000040002A -:040192000000400029 -:040193000000400028 -:040194000000400027 -:040195000000400026 -:040196000000400025 -:040197000000400024 -:040198000000400023 -:040199000000400022 -:04019A000000400021 -:04019B000000400020 -:04019C00000040001F -:04019D00000040001E -:04019E00000040001D -:04019F00000040001C -:0401A000000040001B -:0401A100000040001A -:0401A2000000400019 -:0401A3000000400018 -:0401A4000000400017 -:0401A5000000400016 -:0401A6000000400015 -:0401A7000000400014 -:0401A8000000400013 -:0401A9000000400012 -:0401AA000000400011 -:0401AB000000400010 -:0401AC00000040000F -:0401AD00000040000E -:0401AE00000040000D -:0401AF00000040000C -:0401B000000040000B -:0401B100000040000A -:0401B2000000400009 -:0401B3000000400008 -:0401B4000000400007 -:0401B5000000400006 -:0401B6000000400005 -:0401B7000000400004 -:0401B8000000400003 -:0401B9000000400002 -:0401BA000000400001 -:0401BB000000400000 -:0401BC0000004000FF -:0401BD0000004000FE -:0401BE0000004000FD -:0401BF0000004000FC -:0401C00000004000FB -:0401C10000004000FA -:0401C20000004000F9 -:0401C30000004000F8 -:0401C40000004000F7 -:0401C50000004000F6 -:0401C60000004000F5 -:0401C70000004000F4 -:0401C80000004000F3 -:0401C90000004000F2 -:0401CA0000004000F1 -:0401CB0000004000F0 -:0401CC0000004000EF -:0401CD0000004000EE -:0401CE0000004000ED -:0401CF0000004000EC -:0401D00000004000EB -:0401D10000004000EA -:0401D20000004000E9 -:0401D30000004000E8 -:0401D40000004000E7 -:0401D50000004000E6 -:0401D60000004000E5 -:0401D70000004000E4 -:0401D80000004000E3 -:0401D90000004000E2 -:0401DA0000004000E1 -:0401DB0000004000E0 -:0401DC0000004000DF -:0401DD0000004000DE -:0401DE0000004000DD -:0401DF0000004000DC -:0401E00000004000DB -:0401E10000004000DA -:0401E20000004000D9 -:0401E30000004000D8 -:0401E40000004000D7 -:0401E50000004000D6 -:0401E60000004000D5 -:0401E70000004000D4 -:0401E80000004000D3 -:0401E90000004000D2 -:0401EA0000004000D1 -:0401EB0000004000D0 -:0401EC0000004000CF -:0401ED0000004000CE -:0401EE0000004000CD -:0401EF0000004000CC -:0401F00000004000CB -:0401F10000004000CA -:0401F20000004000C9 -:0401F30000004000C8 -:0401F40000004000C7 -:0401F50000004000C6 -:0401F60000004000C5 -:0401F70000004000C4 -:0401F80000004000C3 -:0401F90000004000C2 -:0401FA0000004000C1 -:0401FB0000004000C0 -:0401FC0000004000BF -:0401FD0000004000BE -:0401FE0000004000BD -:0401FF0000004000BC -:0402000000004000BA -:0402010000004000B9 -:0402020000004000B8 -:0402030000004000B7 -:0402040000004000B6 -:0402050000004000B5 -:0402060000004000B4 -:0402070000004000B3 -:0402080000004000B2 -:0402090000004000B1 -:04020A0000004000B0 -:04020B0000004000AF -:04020C0000004000AE -:04020D0000004000AD -:04020E0000004000AC -:04020F0000004000AB -:0402100000004000AA -:0402110000004000A9 -:0402120000004000A8 -:0402130000004000A7 -:0402140000004000A6 -:0402150000004000A5 -:0402160000004000A4 -:0402170000004000A3 -:0402180000004000A2 -:0402190000004000A1 -:04021A0000004000A0 -:04021B00000040009F -:04021C00000040009E -:04021D00000040009D -:04021E00000040009C -:04021F00000040009B -:04022000000040009A -:040221000000400099 -:040222000000400098 -:040223000000400097 -:040224000000400096 -:040225000000400095 -:040226000000400094 -:040227000000400093 -:040228000000400092 -:040229000000400091 -:04022A000000400090 -:04022B00000040008F -:04022C00000040008E -:04022D00000040008D -:04022E00000040008C -:04022F00000040008B -:04023000000040008A -:040231000000400089 -:040232000000400088 -:040233000000400087 -:040234000000400086 -:040235000000400085 -:040236000000400084 -:040237000000400083 -:040238000000400082 -:040239000000400081 -:04023A000000400080 -:04023B00000040007F -:04023C00000040007E -:04023D00000040007D -:04023E00000040007C -:04023F00000040007B -:04024000000040007A -:040241000000400079 -:040242000000400078 -:040243000000400077 -:040244000000400076 -:040245000000400075 -:040246000000400074 -:040247000000400073 -:040248000000400072 -:040249000000400071 -:04024A000000400070 -:04024B00000040006F -:04024C00000040006E -:04024D00000040006D -:04024E00000040006C -:04024F00000040006B -:04025000000040006A -:040251000000400069 -:040252000000400068 -:040253000000400067 -:040254000000400066 -:040255000000400065 -:040256000000400064 -:040257000000400063 -:040258000000400062 -:040259000000400061 -:04025A000000400060 -:04025B00000040005F -:04025C00000040005E -:04025D00000040005D -:04025E00000040005C -:04025F00000040005B -:04026000000040005A -:040261000000400059 -:040262000000400058 -:040263000000400057 -:040264000000400056 -:040265000000400055 -:040266000000400054 -:040267000000400053 -:040268000000400052 -:040269000000400051 -:04026A000000400050 -:04026B00000040004F -:04026C00000040004E -:04026D00000040004D -:04026E00000040004C -:04026F00000040004B -:04027000000040004A -:040271000000400049 -:040272000000400048 -:040273000000400047 -:040274000000400046 -:040275000000400045 -:040276000000400044 -:040277000000400043 -:040278000000400042 -:040279000000400041 -:04027A000000400040 -:04027B00000040003F -:04027C00000040003E -:04027D00000040003D -:04027E00000040003C -:04027F00000040003B -:04028000000040003A -:040281000000400039 -:040282000000400038 -:040283000000400037 -:040284000000400036 -:040285000000400035 -:040286000000400034 -:040287000000400033 -:040288000000400032 -:040289000000400031 -:04028A000000400030 -:04028B00000040002F -:04028C00000040002E -:04028D00000040002D -:04028E00000040002C -:04028F00000040002B -:04029000000040002A -:040291000000400029 -:040292000000400028 -:040293000000400027 -:040294000000400026 -:040295000000400025 -:040296000000400024 -:040297000000400023 -:040298000000400022 -:040299000000400021 -:04029A000000400020 -:04029B00000040001F -:04029C00000040001E -:04029D00000040001D -:04029E00000040001C -:04029F00000040001B -:0402A000000040001A -:0402A1000000400019 -:0402A2000000400018 -:0402A3000000400017 -:0402A4000000400016 -:0402A5000000400015 -:0402A6000000400014 -:0402A7000000400013 -:0402A8000000400012 -:0402A9000000400011 -:0402AA000000400010 -:0402AB00000040000F -:0402AC00000040000E -:0402AD00000040000D -:0402AE00000040000C -:0402AF00000040000B -:0402B000000040000A -:0402B1000000400009 -:0402B2000000400008 -:0402B3000000400007 -:0402B4000000400006 -:0402B5000000400005 -:0402B6000000400004 -:0402B7000000400003 -:0402B8000000400002 -:0402B9000000400001 -:0402BA000000400000 -:0402BB0000004000FF -:0402BC0000004000FE -:0402BD0000004000FD -:0402BE0000004000FC -:0402BF0000004000FB -:0402C00000004000FA -:0402C10000004000F9 -:0402C20000004000F8 -:0402C30000004000F7 -:0402C40000004000F6 -:0402C50000004000F5 -:0402C60000004000F4 -:0402C70000004000F3 -:0402C80000004000F2 -:0402C90000004000F1 -:0402CA0000004000F0 -:0402CB0000004000EF -:0402CC0000004000EE -:0402CD0000004000ED -:0402CE0000004000EC -:0402CF0000004000EB -:0402D00000004000EA -:0402D10000004000E9 -:0402D20000004000E8 -:0402D30000004000E7 -:0402D40000004000E6 -:0402D50000004000E5 -:0402D60000004000E4 -:0402D70000004000E3 -:0402D80000004000E2 -:0402D90000004000E1 -:0402DA0000004000E0 -:0402DB0000004000DF -:0402DC0000004000DE -:0402DD0000004000DD -:0402DE0000004000DC -:0402DF0000004000DB -:0402E00000004000DA -:0402E10000004000D9 -:0402E20000004000D8 -:0402E30000004000D7 -:0402E40000004000D6 -:0402E50000004000D5 -:0402E60000004000D4 -:0402E70000004000D3 -:0402E80000004000D2 -:0402E90000004000D1 -:0402EA0000004000D0 -:0402EB0000004000CF -:0402EC0000004000CE -:0402ED0000004000CD -:0402EE0000004000CC -:0402EF0000004000CB -:0402F00000004000CA -:0402F10000004000C9 -:0402F20000004000C8 -:0402F30000004000C7 -:0402F40000004000C6 -:0402F50000004000C5 -:0402F60000004000C4 -:0402F70000004000C3 -:0402F80000004000C2 -:0402F90000004000C1 -:0402FA0000004000C0 -:0402FB0000004000BF -:0402FC0000004000BE -:0402FD0000004000BD -:0402FE0000004000BC -:0402FF0000004000BB -:0403000000004000B9 -:0403010000004000B8 -:0403020000004000B7 -:0403030000004000B6 -:0403040000004000B5 -:0403050000004000B4 -:0403060000004000B3 -:0403070000004000B2 -:0403080000004000B1 -:0403090000004000B0 -:04030A0000004000AF -:04030B0000004000AE -:04030C0000004000AD -:04030D0000004000AC -:04030E0000004000AB -:04030F0000004000AA -:0403100000004000A9 -:0403110000004000A8 -:0403120000004000A7 -:0403130000004000A6 -:0403140000004000A5 -:0403150000004000A4 -:0403160000004000A3 -:0403170000004000A2 -:0403180000004000A1 -:0403190000004000A0 -:04031A00000040009F -:04031B00000040009E -:04031C00000040009D -:04031D00000040009C -:04031E00000040009B -:04031F00000040009A -:040320000000400099 -:040321000000400098 -:040322000000400097 -:040323000000400096 -:040324000000400095 -:040325000000400094 -:040326000000400093 -:040327000000400092 -:040328000000400091 -:040329000000400090 -:04032A00000040008F -:04032B00000040008E -:04032C00000040008D -:04032D00000040008C -:04032E00000040008B -:04032F00000040008A -:040330000000400089 -:040331000000400088 -:040332000000400087 -:040333000000400086 -:040334000000400085 -:040335000000400084 -:040336000000400083 -:040337000000400082 -:040338000000400081 -:040339000000400080 -:04033A00000040007F -:04033B00000040007E -:04033C00000040007D -:04033D00000040007C -:04033E00000040007B -:04033F00000040007A -:040340000000400079 -:040341000000400078 -:040342000000400077 -:040343000000400076 -:040344000000400075 -:040345000000400074 -:040346000000400073 -:040347000000400072 -:040348000000400071 -:040349000000400070 -:04034A00000040006F -:04034B00000040006E -:04034C00000040006D -:04034D00000040006C -:04034E00000040006B -:04034F00000040006A -:040350000000400069 -:040351000000400068 -:040352000000400067 -:040353000000400066 -:040354000000400065 -:040355000000400064 -:040356000000400063 -:040357000000400062 -:040358000000400061 -:040359000000400060 -:04035A00000040005F -:04035B00000040005E -:04035C00000040005D -:04035D00000040005C -:04035E00000040005B -:04035F00000040005A -:040360000000400059 -:040361000000400058 -:040362000000400057 -:040363000000400056 -:040364000000400055 -:040365000000400054 -:040366000000400053 -:040367000000400052 -:040368000000400051 -:040369000000400050 -:04036A00000040004F -:04036B00000040004E -:04036C00000040004D -:04036D00000040004C -:04036E00000040004B -:04036F00000040004A -:040370000000400049 -:040371000000400048 -:040372000000400047 -:040373000000400046 -:040374000000400045 -:040375000000400044 -:040376000000400043 -:040377000000400042 -:040378000000400041 -:040379000000400040 -:04037A00000040003F -:04037B00000040003E -:04037C00000040003D -:04037D00000040003C -:04037E00000040003B -:04037F00000040003A -:040380000000400039 -:040381000000400038 -:040382000000400037 -:040383000000400036 -:040384000000400035 -:040385000000400034 -:040386000000400033 -:040387000000400032 -:040388000000400031 -:040389000000400030 -:04038A00000040002F -:04038B00000040002E -:04038C00000040002D -:04038D00000040002C -:04038E00000040002B -:04038F00000040002A -:040390000000400029 -:040391000000400028 -:040392000000400027 -:040393000000400026 -:040394000000400025 -:040395000000400024 -:040396000000400023 -:040397000000400022 -:040398000000400021 -:040399000000400020 -:04039A00000040001F -:04039B00000040001E -:04039C00000040001D -:04039D00000040001C -:04039E00000040001B -:04039F00000040001A -:0403A0000000400019 -:0403A1000000400018 -:0403A2000000400017 -:0403A3000000400016 -:0403A4000000400015 -:0403A5000000400014 -:0403A6000000400013 -:0403A7000000400012 -:0403A8000000400011 -:0403A9000000400010 -:0403AA00000040000F -:0403AB00000040000E -:0403AC00000040000D -:0403AD00000040000C -:0403AE00000040000B -:0403AF00000040000A -:0403B0000000400009 -:0403B1000000400008 -:0403B2000000400007 -:0403B3000000400006 -:0403B4000000400005 -:0403B5000000400004 -:0403B6000000400003 -:0403B7000000400002 -:0403B8000000400001 -:0403B9000000400000 -:0403BA0000004000FF -:0403BB0000004000FE -:0403BC0000004000FD -:0403BD0000004000FC -:0403BE0000004000FB -:0403BF0000004000FA -:0403C00000004000F9 -:0403C10000004000F8 -:0403C20000004000F7 -:0403C30000004000F6 -:0403C40000004000F5 -:0403C50000004000F4 -:0403C60000004000F3 -:0403C70000004000F2 -:0403C80000004000F1 -:0403C90000004000F0 -:0403CA0000004000EF -:0403CB0000004000EE -:0403CC0000004000ED -:0403CD0000004000EC -:0403CE0000004000EB -:0403CF0000004000EA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_9.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_9.hex deleted file mode 100644 index 145449c561b53ba41814116745a0fd440801640e..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/bf_unit_weights_9.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400010000004000BB -:0400020000004000BA -:0400030000004000B9 -:0400040000004000B8 -:0400050000004000B7 -:0400060000004000B6 -:0400070000004000B5 -:0400080000004000B4 -:0400090000004000B3 -:04000A0000004000B2 -:04000B0000004000B1 -:04000C0000004000B0 -:04000D0000004000AF -:04000E0000004000AE -:04000F0000004000AD -:0400100000004000AC -:0400110000004000AB -:0400120000004000AA -:0400130000004000A9 -:0400140000004000A8 -:0400150000004000A7 -:0400160000004000A6 -:0400170000004000A5 -:0400180000004000A4 -:0400190000004000A3 -:04001A0000004000A2 -:04001B0000004000A1 -:04001C0000004000A0 -:04001D00000040009F -:04001E00000040009E -:04001F00000040009D -:04002000000040009C -:04002100000040009B -:04002200000040009A -:040023000000400099 -:040024000000400098 -:040025000000400097 -:040026000000400096 -:040027000000400095 -:040028000000400094 -:040029000000400093 -:04002A000000400092 -:04002B000000400091 -:04002C000000400090 -:04002D00000040008F -:04002E00000040008E -:04002F00000040008D -:04003000000040008C -:04003100000040008B -:04003200000040008A -:040033000000400089 -:040034000000400088 -:040035000000400087 -:040036000000400086 -:040037000000400085 -:040038000000400084 -:040039000000400083 -:04003A000000400082 -:04003B000000400081 -:04003C000000400080 -:04003D00000040007F -:04003E00000040007E -:04003F00000040007D -:04004000000040007C -:04004100000040007B -:04004200000040007A -:040043000000400079 -:040044000000400078 -:040045000000400077 -:040046000000400076 -:040047000000400075 -:040048000000400074 -:040049000000400073 -:04004A000000400072 -:04004B000000400071 -:04004C000000400070 -:04004D00000040006F -:04004E00000040006E -:04004F00000040006D -:04005000000040006C -:04005100000040006B -:04005200000040006A -:040053000000400069 -:040054000000400068 -:040055000000400067 -:040056000000400066 -:040057000000400065 -:040058000000400064 -:040059000000400063 -:04005A000000400062 -:04005B000000400061 -:04005C000000400060 -:04005D00000040005F -:04005E00000040005E -:04005F00000040005D -:04006000000040005C -:04006100000040005B -:04006200000040005A -:040063000000400059 -:040064000000400058 -:040065000000400057 -:040066000000400056 -:040067000000400055 -:040068000000400054 -:040069000000400053 -:04006A000000400052 -:04006B000000400051 -:04006C000000400050 -:04006D00000040004F -:04006E00000040004E -:04006F00000040004D -:04007000000040004C -:04007100000040004B -:04007200000040004A -:040073000000400049 -:040074000000400048 -:040075000000400047 -:040076000000400046 -:040077000000400045 -:040078000000400044 -:040079000000400043 -:04007A000000400042 -:04007B000000400041 -:04007C000000400040 -:04007D00000040003F -:04007E00000040003E -:04007F00000040003D -:04008000000040003C -:04008100000040003B -:04008200000040003A -:040083000000400039 -:040084000000400038 -:040085000000400037 -:040086000000400036 -:040087000000400035 -:040088000000400034 -:040089000000400033 -:04008A000000400032 -:04008B000000400031 -:04008C000000400030 -:04008D00000040002F -:04008E00000040002E -:04008F00000040002D -:04009000000040002C -:04009100000040002B -:04009200000040002A -:040093000000400029 -:040094000000400028 -:040095000000400027 -:040096000000400026 -:040097000000400025 -:040098000000400024 -:040099000000400023 -:04009A000000400022 -:04009B000000400021 -:04009C000000400020 -:04009D00000040001F -:04009E00000040001E -:04009F00000040001D -:0400A000000040001C -:0400A100000040001B -:0400A200000040001A -:0400A3000000400019 -:0400A4000000400018 -:0400A5000000400017 -:0400A6000000400016 -:0400A7000000400015 -:0400A8000000400014 -:0400A9000000400013 -:0400AA000000400012 -:0400AB000000400011 -:0400AC000000400010 -:0400AD00000040000F -:0400AE00000040000E -:0400AF00000040000D -:0400B000000040000C -:0400B100000040000B -:0400B200000040000A -:0400B3000000400009 -:0400B4000000400008 -:0400B5000000400007 -:0400B6000000400006 -:0400B7000000400005 -:0400B8000000400004 -:0400B9000000400003 -:0400BA000000400002 -:0400BB000000400001 -:0400BC000000400000 -:0400BD0000004000FF -:0400BE0000004000FE -:0400BF0000004000FD -:0400C00000004000FC -:0400C10000004000FB -:0400C20000004000FA -:0400C30000004000F9 -:0400C40000004000F8 -:0400C50000004000F7 -:0400C60000004000F6 -:0400C70000004000F5 -:0400C80000004000F4 -:0400C90000004000F3 -:0400CA0000004000F2 -:0400CB0000004000F1 -:0400CC0000004000F0 -:0400CD0000004000EF -:0400CE0000004000EE -:0400CF0000004000ED -:0400D00000004000EC -:0400D10000004000EB -:0400D20000004000EA -:0400D30000004000E9 -:0400D40000004000E8 -:0400D50000004000E7 -:0400D60000004000E6 -:0400D70000004000E5 -:0400D80000004000E4 -:0400D90000004000E3 -:0400DA0000004000E2 -:0400DB0000004000E1 -:0400DC0000004000E0 -:0400DD0000004000DF -:0400DE0000004000DE -:0400DF0000004000DD -:0400E00000004000DC -:0400E10000004000DB -:0400E20000004000DA -:0400E30000004000D9 -:0400E40000004000D8 -:0400E50000004000D7 -:0400E60000004000D6 -:0400E70000004000D5 -:0400E80000004000D4 -:0400E90000004000D3 -:0400EA0000004000D2 -:0400EB0000004000D1 -:0400EC0000004000D0 -:0400ED0000004000CF -:0400EE0000004000CE -:0400EF0000004000CD -:0400F00000004000CC -:0400F10000004000CB -:0400F20000004000CA -:0400F30000004000C9 -:0400F40000004000C8 -:0400F50000004000C7 -:0400F60000004000C6 -:0400F70000004000C5 -:0400F80000004000C4 -:0400F90000004000C3 -:0400FA0000004000C2 -:0400FB0000004000C1 -:0400FC0000004000C0 -:0400FD0000004000BF -:0400FE0000004000BE -:0400FF0000004000BD -:0401000000004000BB -:0401010000004000BA -:0401020000004000B9 -:0401030000004000B8 -:0401040000004000B7 -:0401050000004000B6 -:0401060000004000B5 -:0401070000004000B4 -:0401080000004000B3 -:0401090000004000B2 -:04010A0000004000B1 -:04010B0000004000B0 -:04010C0000004000AF -:04010D0000004000AE -:04010E0000004000AD -:04010F0000004000AC -:0401100000004000AB -:0401110000004000AA -:0401120000004000A9 -:0401130000004000A8 -:0401140000004000A7 -:0401150000004000A6 -:0401160000004000A5 -:0401170000004000A4 -:0401180000004000A3 -:0401190000004000A2 -:04011A0000004000A1 -:04011B0000004000A0 -:04011C00000040009F -:04011D00000040009E -:04011E00000040009D -:04011F00000040009C -:04012000000040009B -:04012100000040009A -:040122000000400099 -:040123000000400098 -:040124000000400097 -:040125000000400096 -:040126000000400095 -:040127000000400094 -:040128000000400093 -:040129000000400092 -:04012A000000400091 -:04012B000000400090 -:04012C00000040008F -:04012D00000040008E -:04012E00000040008D -:04012F00000040008C -:04013000000040008B -:04013100000040008A -:040132000000400089 -:040133000000400088 -:040134000000400087 -:040135000000400086 -:040136000000400085 -:040137000000400084 -:040138000000400083 -:040139000000400082 -:04013A000000400081 -:04013B000000400080 -:04013C00000040007F -:04013D00000040007E -:04013E00000040007D -:04013F00000040007C -:04014000000040007B -:04014100000040007A -:040142000000400079 -:040143000000400078 -:040144000000400077 -:040145000000400076 -:040146000000400075 -:040147000000400074 -:040148000000400073 -:040149000000400072 -:04014A000000400071 -:04014B000000400070 -:04014C00000040006F -:04014D00000040006E -:04014E00000040006D -:04014F00000040006C -:04015000000040006B -:04015100000040006A -:040152000000400069 -:040153000000400068 -:040154000000400067 -:040155000000400066 -:040156000000400065 -:040157000000400064 -:040158000000400063 -:040159000000400062 -:04015A000000400061 -:04015B000000400060 -:04015C00000040005F -:04015D00000040005E -:04015E00000040005D -:04015F00000040005C -:04016000000040005B -:04016100000040005A -:040162000000400059 -:040163000000400058 -:040164000000400057 -:040165000000400056 -:040166000000400055 -:040167000000400054 -:040168000000400053 -:040169000000400052 -:04016A000000400051 -:04016B000000400050 -:04016C00000040004F -:04016D00000040004E -:04016E00000040004D -:04016F00000040004C -:04017000000040004B -:04017100000040004A -:040172000000400049 -:040173000000400048 -:040174000000400047 -:040175000000400046 -:040176000000400045 -:040177000000400044 -:040178000000400043 -:040179000000400042 -:04017A000000400041 -:04017B000000400040 -:04017C00000040003F -:04017D00000040003E -:04017E00000040003D -:04017F00000040003C -:04018000000040003B -:04018100000040003A -:040182000000400039 -:040183000000400038 -:040184000000400037 -:040185000000400036 -:040186000000400035 -:040187000000400034 -:040188000000400033 -:040189000000400032 -:04018A000000400031 -:04018B000000400030 -:04018C00000040002F -:04018D00000040002E -:04018E00000040002D -:04018F00000040002C -:04019000000040002B -:04019100000040002A -:040192000000400029 -:040193000000400028 -:040194000000400027 -:040195000000400026 -:040196000000400025 -:040197000000400024 -:040198000000400023 -:040199000000400022 -:04019A000000400021 -:04019B000000400020 -:04019C00000040001F -:04019D00000040001E -:04019E00000040001D -:04019F00000040001C -:0401A000000040001B -:0401A100000040001A -:0401A2000000400019 -:0401A3000000400018 -:0401A4000000400017 -:0401A5000000400016 -:0401A6000000400015 -:0401A7000000400014 -:0401A8000000400013 -:0401A9000000400012 -:0401AA000000400011 -:0401AB000000400010 -:0401AC00000040000F -:0401AD00000040000E -:0401AE00000040000D -:0401AF00000040000C -:0401B000000040000B -:0401B100000040000A -:0401B2000000400009 -:0401B3000000400008 -:0401B4000000400007 -:0401B5000000400006 -:0401B6000000400005 -:0401B7000000400004 -:0401B8000000400003 -:0401B9000000400002 -:0401BA000000400001 -:0401BB000000400000 -:0401BC0000004000FF -:0401BD0000004000FE -:0401BE0000004000FD -:0401BF0000004000FC -:0401C00000004000FB -:0401C10000004000FA -:0401C20000004000F9 -:0401C30000004000F8 -:0401C40000004000F7 -:0401C50000004000F6 -:0401C60000004000F5 -:0401C70000004000F4 -:0401C80000004000F3 -:0401C90000004000F2 -:0401CA0000004000F1 -:0401CB0000004000F0 -:0401CC0000004000EF -:0401CD0000004000EE -:0401CE0000004000ED -:0401CF0000004000EC -:0401D00000004000EB -:0401D10000004000EA -:0401D20000004000E9 -:0401D30000004000E8 -:0401D40000004000E7 -:0401D50000004000E6 -:0401D60000004000E5 -:0401D70000004000E4 -:0401D80000004000E3 -:0401D90000004000E2 -:0401DA0000004000E1 -:0401DB0000004000E0 -:0401DC0000004000DF -:0401DD0000004000DE -:0401DE0000004000DD -:0401DF0000004000DC -:0401E00000004000DB -:0401E10000004000DA -:0401E20000004000D9 -:0401E30000004000D8 -:0401E40000004000D7 -:0401E50000004000D6 -:0401E60000004000D5 -:0401E70000004000D4 -:0401E80000004000D3 -:0401E90000004000D2 -:0401EA0000004000D1 -:0401EB0000004000D0 -:0401EC0000004000CF -:0401ED0000004000CE -:0401EE0000004000CD -:0401EF0000004000CC -:0401F00000004000CB -:0401F10000004000CA -:0401F20000004000C9 -:0401F30000004000C8 -:0401F40000004000C7 -:0401F50000004000C6 -:0401F60000004000C5 -:0401F70000004000C4 -:0401F80000004000C3 -:0401F90000004000C2 -:0401FA0000004000C1 -:0401FB0000004000C0 -:0401FC0000004000BF -:0401FD0000004000BE -:0401FE0000004000BD -:0401FF0000004000BC -:0402000000004000BA -:0402010000004000B9 -:0402020000004000B8 -:0402030000004000B7 -:0402040000004000B6 -:0402050000004000B5 -:0402060000004000B4 -:0402070000004000B3 -:0402080000004000B2 -:0402090000004000B1 -:04020A0000004000B0 -:04020B0000004000AF -:04020C0000004000AE -:04020D0000004000AD -:04020E0000004000AC -:04020F0000004000AB -:0402100000004000AA -:0402110000004000A9 -:0402120000004000A8 -:0402130000004000A7 -:0402140000004000A6 -:0402150000004000A5 -:0402160000004000A4 -:0402170000004000A3 -:0402180000004000A2 -:0402190000004000A1 -:04021A0000004000A0 -:04021B00000040009F -:04021C00000040009E -:04021D00000040009D -:04021E00000040009C -:04021F00000040009B -:04022000000040009A -:040221000000400099 -:040222000000400098 -:040223000000400097 -:040224000000400096 -:040225000000400095 -:040226000000400094 -:040227000000400093 -:040228000000400092 -:040229000000400091 -:04022A000000400090 -:04022B00000040008F -:04022C00000040008E -:04022D00000040008D -:04022E00000040008C -:04022F00000040008B -:04023000000040008A -:040231000000400089 -:040232000000400088 -:040233000000400087 -:040234000000400086 -:040235000000400085 -:040236000000400084 -:040237000000400083 -:040238000000400082 -:040239000000400081 -:04023A000000400080 -:04023B00000040007F -:04023C00000040007E -:04023D00000040007D -:04023E00000040007C -:04023F00000040007B -:04024000000040007A -:040241000000400079 -:040242000000400078 -:040243000000400077 -:040244000000400076 -:040245000000400075 -:040246000000400074 -:040247000000400073 -:040248000000400072 -:040249000000400071 -:04024A000000400070 -:04024B00000040006F -:04024C00000040006E -:04024D00000040006D -:04024E00000040006C -:04024F00000040006B -:04025000000040006A -:040251000000400069 -:040252000000400068 -:040253000000400067 -:040254000000400066 -:040255000000400065 -:040256000000400064 -:040257000000400063 -:040258000000400062 -:040259000000400061 -:04025A000000400060 -:04025B00000040005F -:04025C00000040005E -:04025D00000040005D -:04025E00000040005C -:04025F00000040005B -:04026000000040005A -:040261000000400059 -:040262000000400058 -:040263000000400057 -:040264000000400056 -:040265000000400055 -:040266000000400054 -:040267000000400053 -:040268000000400052 -:040269000000400051 -:04026A000000400050 -:04026B00000040004F -:04026C00000040004E -:04026D00000040004D -:04026E00000040004C -:04026F00000040004B -:04027000000040004A -:040271000000400049 -:040272000000400048 -:040273000000400047 -:040274000000400046 -:040275000000400045 -:040276000000400044 -:040277000000400043 -:040278000000400042 -:040279000000400041 -:04027A000000400040 -:04027B00000040003F -:04027C00000040003E -:04027D00000040003D -:04027E00000040003C -:04027F00000040003B -:04028000000040003A -:040281000000400039 -:040282000000400038 -:040283000000400037 -:040284000000400036 -:040285000000400035 -:040286000000400034 -:040287000000400033 -:040288000000400032 -:040289000000400031 -:04028A000000400030 -:04028B00000040002F -:04028C00000040002E -:04028D00000040002D -:04028E00000040002C -:04028F00000040002B -:04029000000040002A -:040291000000400029 -:040292000000400028 -:040293000000400027 -:040294000000400026 -:040295000000400025 -:040296000000400024 -:040297000000400023 -:040298000000400022 -:040299000000400021 -:04029A000000400020 -:04029B00000040001F -:04029C00000040001E -:04029D00000040001D -:04029E00000040001C -:04029F00000040001B -:0402A000000040001A -:0402A1000000400019 -:0402A2000000400018 -:0402A3000000400017 -:0402A4000000400016 -:0402A5000000400015 -:0402A6000000400014 -:0402A7000000400013 -:0402A8000000400012 -:0402A9000000400011 -:0402AA000000400010 -:0402AB00000040000F -:0402AC00000040000E -:0402AD00000040000D -:0402AE00000040000C -:0402AF00000040000B -:0402B000000040000A -:0402B1000000400009 -:0402B2000000400008 -:0402B3000000400007 -:0402B4000000400006 -:0402B5000000400005 -:0402B6000000400004 -:0402B7000000400003 -:0402B8000000400002 -:0402B9000000400001 -:0402BA000000400000 -:0402BB0000004000FF -:0402BC0000004000FE -:0402BD0000004000FD -:0402BE0000004000FC -:0402BF0000004000FB -:0402C00000004000FA -:0402C10000004000F9 -:0402C20000004000F8 -:0402C30000004000F7 -:0402C40000004000F6 -:0402C50000004000F5 -:0402C60000004000F4 -:0402C70000004000F3 -:0402C80000004000F2 -:0402C90000004000F1 -:0402CA0000004000F0 -:0402CB0000004000EF -:0402CC0000004000EE -:0402CD0000004000ED -:0402CE0000004000EC -:0402CF0000004000EB -:0402D00000004000EA -:0402D10000004000E9 -:0402D20000004000E8 -:0402D30000004000E7 -:0402D40000004000E6 -:0402D50000004000E5 -:0402D60000004000E4 -:0402D70000004000E3 -:0402D80000004000E2 -:0402D90000004000E1 -:0402DA0000004000E0 -:0402DB0000004000DF -:0402DC0000004000DE -:0402DD0000004000DD -:0402DE0000004000DC -:0402DF0000004000DB -:0402E00000004000DA -:0402E10000004000D9 -:0402E20000004000D8 -:0402E30000004000D7 -:0402E40000004000D6 -:0402E50000004000D5 -:0402E60000004000D4 -:0402E70000004000D3 -:0402E80000004000D2 -:0402E90000004000D1 -:0402EA0000004000D0 -:0402EB0000004000CF -:0402EC0000004000CE -:0402ED0000004000CD -:0402EE0000004000CC -:0402EF0000004000CB -:0402F00000004000CA -:0402F10000004000C9 -:0402F20000004000C8 -:0402F30000004000C7 -:0402F40000004000C6 -:0402F50000004000C5 -:0402F60000004000C4 -:0402F70000004000C3 -:0402F80000004000C2 -:0402F90000004000C1 -:0402FA0000004000C0 -:0402FB0000004000BF -:0402FC0000004000BE -:0402FD0000004000BD -:0402FE0000004000BC -:0402FF0000004000BB -:0403000000004000B9 -:0403010000004000B8 -:0403020000004000B7 -:0403030000004000B6 -:0403040000004000B5 -:0403050000004000B4 -:0403060000004000B3 -:0403070000004000B2 -:0403080000004000B1 -:0403090000004000B0 -:04030A0000004000AF -:04030B0000004000AE -:04030C0000004000AD -:04030D0000004000AC -:04030E0000004000AB -:04030F0000004000AA -:0403100000004000A9 -:0403110000004000A8 -:0403120000004000A7 -:0403130000004000A6 -:0403140000004000A5 -:0403150000004000A4 -:0403160000004000A3 -:0403170000004000A2 -:0403180000004000A1 -:0403190000004000A0 -:04031A00000040009F -:04031B00000040009E -:04031C00000040009D -:04031D00000040009C -:04031E00000040009B -:04031F00000040009A -:040320000000400099 -:040321000000400098 -:040322000000400097 -:040323000000400096 -:040324000000400095 -:040325000000400094 -:040326000000400093 -:040327000000400092 -:040328000000400091 -:040329000000400090 -:04032A00000040008F -:04032B00000040008E -:04032C00000040008D -:04032D00000040008C -:04032E00000040008B -:04032F00000040008A -:040330000000400089 -:040331000000400088 -:040332000000400087 -:040333000000400086 -:040334000000400085 -:040335000000400084 -:040336000000400083 -:040337000000400082 -:040338000000400081 -:040339000000400080 -:04033A00000040007F -:04033B00000040007E -:04033C00000040007D -:04033D00000040007C -:04033E00000040007B -:04033F00000040007A -:040340000000400079 -:040341000000400078 -:040342000000400077 -:040343000000400076 -:040344000000400075 -:040345000000400074 -:040346000000400073 -:040347000000400072 -:040348000000400071 -:040349000000400070 -:04034A00000040006F -:04034B00000040006E -:04034C00000040006D -:04034D00000040006C -:04034E00000040006B -:04034F00000040006A -:040350000000400069 -:040351000000400068 -:040352000000400067 -:040353000000400066 -:040354000000400065 -:040355000000400064 -:040356000000400063 -:040357000000400062 -:040358000000400061 -:040359000000400060 -:04035A00000040005F -:04035B00000040005E -:04035C00000040005D -:04035D00000040005C -:04035E00000040005B -:04035F00000040005A -:040360000000400059 -:040361000000400058 -:040362000000400057 -:040363000000400056 -:040364000000400055 -:040365000000400054 -:040366000000400053 -:040367000000400052 -:040368000000400051 -:040369000000400050 -:04036A00000040004F -:04036B00000040004E -:04036C00000040004D -:04036D00000040004C -:04036E00000040004B -:04036F00000040004A -:040370000000400049 -:040371000000400048 -:040372000000400047 -:040373000000400046 -:040374000000400045 -:040375000000400044 -:040376000000400043 -:040377000000400042 -:040378000000400041 -:040379000000400040 -:04037A00000040003F -:04037B00000040003E -:04037C00000040003D -:04037D00000040003C -:04037E00000040003B -:04037F00000040003A -:040380000000400039 -:040381000000400038 -:040382000000400037 -:040383000000400036 -:040384000000400035 -:040385000000400034 -:040386000000400033 -:040387000000400032 -:040388000000400031 -:040389000000400030 -:04038A00000040002F -:04038B00000040002E -:04038C00000040002D -:04038D00000040002C -:04038E00000040002B -:04038F00000040002A -:040390000000400029 -:040391000000400028 -:040392000000400027 -:040393000000400026 -:040394000000400025 -:040395000000400024 -:040396000000400023 -:040397000000400022 -:040398000000400021 -:040399000000400020 -:04039A00000040001F -:04039B00000040001E -:04039C00000040001D -:04039D00000040001C -:04039E00000040001B -:04039F00000040001A -:0403A0000000400019 -:0403A1000000400018 -:0403A2000000400017 -:0403A3000000400016 -:0403A4000000400015 -:0403A5000000400014 -:0403A6000000400013 -:0403A7000000400012 -:0403A8000000400011 -:0403A9000000400010 -:0403AA00000040000F -:0403AB00000040000E -:0403AC00000040000D -:0403AD00000040000C -:0403AE00000040000B -:0403AF00000040000A -:0403B0000000400009 -:0403B1000000400008 -:0403B2000000400007 -:0403B3000000400006 -:0403B4000000400005 -:0403B5000000400004 -:0403B6000000400003 -:0403B7000000400002 -:0403B8000000400001 -:0403B9000000400000 -:0403BA0000004000FF -:0403BB0000004000FE -:0403BC0000004000FD -:0403BD0000004000FC -:0403BE0000004000FB -:0403BF0000004000FA -:0403C00000004000F9 -:0403C10000004000F8 -:0403C20000004000F7 -:0403C30000004000F6 -:0403C40000004000F5 -:0403C50000004000F4 -:0403C60000004000F3 -:0403C70000004000F2 -:0403C80000004000F1 -:0403C90000004000F0 -:0403CA0000004000EF -:0403CB0000004000EE -:0403CC0000004000ED -:0403CD0000004000EC -:0403CE0000004000EB -:0403CF0000004000EA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/diag_sin_1024x18.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/diag_sin_1024x18.hex deleted file mode 100644 index 8e1a9a6faab8c1ab9daa1fbedea2d1a2a6f16fdf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/diag_sin_1024x18.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:03000000000000FD -:03000100000324D5 -:03000200000648AD -:0300030000096D84 -:03000400000C915C -:03000500000FB534 -:030006000012D80D -:030007000015FCE5 -:0300080000191FBD -:03000900001C4296 -:03000A00001F656F -:03000B0000228848 -:03000C000025AA22 -:03000D000028CCFC -:03000E00002BEED6 -:03000F00002F0FB0 -:0300100000322F8C -:0300110000354F68 -:0300120000386F44 -:03001300003B8E21 -:03001400003EACFF -:030015000041CADD -:030016000044E8BB -:030017000048049A -:03001800004B207A -:03001900004E3B5B -:03001A000051563C -:03001B0000546F1F -:03001C0000578802 -:03001D00005AA0E6 -:03001E00005DB7CB -:03001F000060CDB1 -:030020000063E397 -:030021000066F77F -:03002200006A0A67 -:03002300006D1D50 -:0300240000702E3B -:0300250000733E27 -:0300260000764D14 -:0300270000795B02 -:03002800007C68F1 -:03002900007F73E2 -:03002A0000827DD4 -:03002B00008587C6 -:03002C0000888EBB -:03002D00008B95B0 -:03002E00008E9AA7 -:03002F0000919E9F -:030030000094A099 -:030031000097A194 -:03003200009AA091 -:03003300009D9E8F -:0300340000A09B8E -:0300350000A39590 -:0300360000A68F92 -:0300370000A98697 -:0300380000AC7C9D -:0300390000AF71A4 -:03003A0000B264AD -:03003B0000B555B8 -:03003C0000B844C5 -:03003D0000BB31D4 -:03003E0000BE1DE4 -:03003F0000C107F6 -:0300400000C3EF0B -:0300410000C6D521 -:0300420000C9B939 -:0300430000CC9B53 -:0300440000CF7B6F -:0300450000D25A8C -:0300460000D536AC -:0300470000D810CE -:0300480000DAE8F3 -:0300490000DDBE19 -:03004A0000E09241 -:03004B0000E3646B -:03004C0000E63398 -:03004D0000E900C7 -:03004E0000EBCBF9 -:03004F0000EE942C -:0300500000F15A62 -:0300510000F41F99 -:0300520000F6E0D5 -:0300530000F9A011 -:0300540000FC5D50 -:0300550000FF1792 -:030056000101CFD6 -:030057000104851C -:0300580001073865 -:030059000109E9B1 -:03005A00010C9600 -:03005B00010F4250 -:03005C000111EBA4 -:03005D00011491FA -:03005E0001173453 -:03005F000119D5AF -:03006000011C730D -:03006100011F0E6E -:030062000121A7D2 -:0300630001243D38 -:030064000126D0A2 -:030065000129600E -:03006600012BED7E -:03006700012E77F0 -:030068000130FF65 -:03006900013383DD -:03006A0001360557 -:03006B00013883D6 -:03006C00013AFF57 -:03006D00013D78DA -:03006E00013FED62 -:03006F0001425FEC -:030070000144CF79 -:0300710001473B09 -:030072000149A49D -:03007300014C0934 -:03007400014E6CCE -:030075000150CB6C -:030076000153270C -:03007700015580B0 -:030078000157D657 -:03007900015A2801 -:03007A00015C77AF -:03007B00015EC360 -:03007C0001610B14 -:03007D00016350CC -:03007E0001659188 -:03007F000167CF47 -:03008000016A0909 -:03008100016C40CF -:03008200016E7498 -:030083000170A366 -:030084000172D036 -:030085000174F90A -:0300860001771EE1 -:0300870001793FBD -:03008800017B5D9C -:03008900017D777F -:03008A00017F8E65 -:03008B000181A14F -:03008C000183B03D -:03008D000185BC2E -:03008E000187C324 -:03008F000189C71D -:03009000018BC71A -:03009100018DC41A -:03009200018FBC1F -:030093000191B127 -:030094000193A134 -:0300950001958E44 -:0300960001977758 -:0300970001995C70 -:03009800019B3D8C -:03009900019D1AAC -:03009A00019EF3D1 -:03009B0001A0C9F8 -:03009C0001A29A24 -:03009D0001A46754 -:03009E0001A63088 -:03009F0001A7F5C1 -:0300A00001A9B6FD -:0300A10001AB723E -:0300A20001AD2B82 -:0300A30001AEDFCC -:0300A40001B09018 -:0300A50001B23C69 -:0300A60001B3E4BF -:0300A70001B58818 -:0300A80001B72776 -:0300A90001B8C3D8 -:0300AA0001BA5A3E -:0300AB0001BBEDA9 -:0300AC0001BD7B18 -:0300AD0001BF058B -:0300AE0001C08B03 -:0300AF0001C20D7E -:0300B00001C38AFF -:0300B10001C50383 -:0300B20001C6780C -:0300B30001C7E89A -:0300B40001C9542B -:0300B50001CABBC2 -:0300B60001CC1E5C -:0300B70001CD7DFB -:0300B80001CED79F -:0300B90001D02C47 -:0300BA0001D17EF3 -:0300BB0001D2CAA5 -:0300BC0001D4125A -:0300BD0001D55614 -:0300BE0001D695D3 -:0300BF0001D7D096 -:0300C00001D9065D -:0300C10001DA372A -:0300C20001DB64FB -:0300C30001DC8DD0 -:0300C40001DDB0AB -:0300C50001DECF8A -:0300C60001DFEA6D -:0300C70001E10054 -:0300C80001E21141 -:0300C90001E31E32 -:0300CA0001E42628 -:0300CB0001E52923 -:0300CC0001E62822 -:0300CD0001E72226 -:0300CE0001E8172F -:0300CF0001E9073D -:0300D00001E9F350 -:0300D10001EADA67 -:0300D20001EBBD82 -:0300D30001EC9AA3 -:0300D40001ED73C8 -:0300D50001EE47F2 -:0300D60001EF1720 -:0300D70001EFE155 -:0300D80001F0A78D -:0300D90001F168CA -:0300DA0001F2240C -:0300DB0001F2DC53 -:0300DC0001F38E9F -:0300DD0001F43CEF -:0300DE0001F4E545 -:0300DF0001F5899F -:0300E00001F629FD -:0300E10001F6C362 -:0300E20001F759CA -:0300E30001F7E939 -:0300E40001F875AB -:0300E50001F8FC23 -:0300E60001F97F9E -:0300E70001F9FC20 -:0300E80001FA74A6 -:0300E90001FAE831 -:0300EA0001FB57C0 -:0300EB0001FBC056 -:0300EC0001FC25EF -:0300ED0001FC858E -:0300EE0001FCE032 -:0300EF0001FD37D9 -:0300F00001FD8887 -:0300F10001FDD43A -:0300F20001FE1CF0 -:0300F30001FE5EAD -:0300F40001FE9C6E -:0300F50001FED534 -:0300F60001FF08FF -:0300F70001FF37CF -:0300F80001FF61A4 -:0300F90001FF867E -:0300FA0001FFA65D -:0300FB0001FFC141 -:0300FC0001FFD829 -:0300FD0001FFE917 -:0300FE0001FFF50A -:0300FF0001FFFD01 -:0301000001FFFFFD -:0301010001FFFDFE -:0301020001FFF505 -:0301030001FFE910 -:0301040001FFD820 -:0301050001FFC136 -:0301060001FFA650 -:0301070001FF866F -:0301080001FF6193 -:0301090001FF37BC -:03010A0001FF08EA -:03010B0001FED51D -:03010C0001FE9C55 -:03010D0001FE5E92 -:03010E0001FE1CD3 -:03010F0001FDD41B -:0301100001FD8866 -:0301110001FD37B6 -:0301120001FCE00D -:0301130001FC8567 -:0301140001FC25C6 -:0301150001FBC02B -:0301160001FB5793 -:0301170001FAE802 -:0301180001FA7475 -:0301190001F9FCED -:03011A0001F97F69 -:03011B0001F8FCEC -:03011C0001F87572 -:03011D0001F7E9FE -:03011E0001F7598D -:03011F0001F6C323 -:0301200001F629BC -:0301210001F5895C -:0301220001F4E500 -:0301230001F43CA8 -:0301240001F38E56 -:0301250001F2DC08 -:0301260001F224BF -:0301270001F1687B -:0301280001F0A73C -:0301290001EFE102 -:03012A0001EF17CB -:03012B0001EE479B -:03012C0001ED736F -:03012D0001EC9A48 -:03012E0001EBBD25 -:03012F0001EADA08 -:0301300001E9F3EF -:0301310001E907DA -:0301320001E817CA -:0301330001E722BF -:0301340001E628B9 -:0301350001E529B8 -:0301360001E426BB -:0301370001E31EC3 -:0301380001E211D0 -:0301390001E100E1 -:03013A0001DFEAF8 -:03013B0001DECF13 -:03013C0001DDB032 -:03013D0001DC8D55 -:03013E0001DB647E -:03013F0001DA37AB -:0301400001D906DC -:0301410001D7D013 -:0301420001D6954E -:0301430001D5568D -:0301440001D412D1 -:0301450001D2CA1A -:0301460001D17E66 -:0301470001D02CB8 -:0301480001CED70E -:0301490001CD7D68 -:03014A0001CC1EC7 -:03014B0001CABB2B -:03014C0001C95492 -:03014D0001C7E8FF -:03014E0001C6786F -:03014F0001C503E4 -:0301500001C38A5E -:0301510001C20DDB -:0301520001C08B5E -:0301530001BF05E4 -:0301540001BD7B6F -:0301550001BBEDFE -:0301560001BA5A91 -:0301570001B8C329 -:0301580001B727C5 -:0301590001B58865 -:03015A0001B3E40A -:03015B0001B23CB2 -:03015C0001B0905F -:03015D0001AEDF11 -:03015E0001AD2BC5 -:03015F0001AB727F -:0301600001A9B63C -:0301610001A7F5FE -:0301620001A630C3 -:0301630001A4678D -:0301640001A29A5B -:0301650001A0C92D -:03016600019EF304 -:03016700019D1ADD -:03016800019B3DBB -:0301690001995C9D -:03016A0001977783 -:03016B0001958E6D -:03016C000193A15B -:03016D000191B14C -:03016E00018FBC42 -:03016F00018DC43B -:03017000018BC739 -:030171000189C73A -:030172000187C33F -:030173000185BC47 -:030174000183B054 -:030175000181A164 -:03017600017F8E78 -:03017700017D7790 -:03017800017B5DAB -:0301790001793FCA -:03017A0001771EEC -:03017B000174F913 -:03017C000172D03D -:03017D000170A36B -:03017E00016E749B -:03017F00016C40D0 -:03018000016A0908 -:030181000167CF44 -:0301820001659183 -:03018300016350C5 -:0301840001610B0B -:03018500015EC355 -:03018600015C77A2 -:03018700015A28F2 -:030188000157D646 -:030189000155809D -:03018A00015327F7 -:03018B000150CB55 -:03018C00014E6CB5 -:03018D00014C0919 -:03018E000149A480 -:03018F0001473BEA -:030190000144CF58 -:0301910001425FC9 -:03019200013FED3D -:03019300013D78B3 -:03019400013AFF2E -:03019500013883AB -:030196000136052A -:03019700013383AE -:030198000130FF34 -:03019900012E77BD -:03019A00012BED49 -:03019B00012960D7 -:03019C000126D069 -:03019D0001243DFD -:03019E000121A795 -:03019F00011F0E2F -:0301A000011C73CC -:0301A1000119D56C -:0301A2000117340E -:0301A300011491B3 -:0301A4000111EB5B -:0301A500010F4205 -:0301A600010C96B3 -:0301A7000109E962 -:0301A80001073814 -:0301A900010485C9 -:0301AA000101CF81 -:0301AB0000FF173B -:0301AC0000FC5DF7 -:0301AD0000F9A0B6 -:0301AE0000F6E078 -:0301AF0000F41F3A -:0301B00000F15A01 -:0301B10000EE94C9 -:0301B20000EBCB94 -:0301B30000E90060 -:0301B40000E6332F -:0301B50000E36400 -:0301B60000E092D4 -:0301B70000DDBEAA -:0301B80000DAE882 -:0301B90000D8105B -:0301BA0000D53637 -:0301BB0000D25A15 -:0301BC0000CF7BF6 -:0301BD0000CC9BD8 -:0301BE0000C9B9BC -:0301BF0000C6D5A2 -:0301C00000C3EF8A -:0301C10000C10773 -:0301C20000BE1D5F -:0301C30000BB314D -:0301C40000B8443C -:0301C50000B5552D -:0301C60000B26420 -:0301C70000AF7115 -:0301C80000AC7C0C -:0301C90000A98604 -:0301CA0000A68FFD -:0301CB0000A395F9 -:0301CC0000A09BF5 -:0301CD00009D9EF4 -:0301CE00009AA0F4 -:0301CF000097A1F5 -:0301D0000094A0F8 -:0301D10000919EFC -:0301D200008E9A02 -:0301D300008B9509 -:0301D40000888E12 -:0301D5000085871B -:0301D60000827D27 -:0301D700007F7333 -:0301D800007C6840 -:0301D90000795B4F -:0301DA0000764D5F -:0301DB0000733E70 -:0301DC0000702E82 -:0301DD00006D1D95 -:0301DE00006A0AAA -:0301DF000066F7C0 -:0301E0000063E3D6 -:0301E1000060CDEE -:0301E200005DB706 -:0301E300005AA01F -:0301E40000578839 -:0301E50000546F54 -:0301E6000051566F -:0301E700004E3B8C -:0301E800004B20A9 -:0301E900004804C7 -:0301EA000044E8E6 -:0301EB000041CA06 -:0301EC00003EAC26 -:0301ED00003B8E46 -:0301EE0000386F67 -:0301EF0000354F89 -:0301F00000322FAB -:0301F100002F0FCD -:0301F200002BEEF1 -:0301F3000028CC15 -:0301F4000025AA39 -:0301F5000022885D -:0301F600001F6582 -:0301F700001C42A7 -:0301F80000191FCC -:0301F9000015FCF2 -:0301FA000012D818 -:0301FB00000FB53D -:0301FC00000C9163 -:0301FD0000096D89 -:0301FE00000648B0 -:0301FF00000324D6 -:03020000000000FB -:0302010003FCDC1F -:0302020003F9B845 -:0302030003F6936C -:0302040003F36F92 -:0302050003F04BB8 -:0302060003ED28DD -:0302070003EA0403 -:0302080003E6E129 -:0302090003E3BE4E -:03020A0003E09B73 -:03020B0003DD7898 -:03020C0003DA56BC -:03020D0003D734E0 -:03020E0003D41204 -:03020F0003D0F128 -:0302100003CDD14A -:0302110003CAB16C -:0302120003C7918E -:0302130003C472AF -:0302140003C154CF -:0302150003BE36EF -:0302160003BB180F -:0302170003B7FC2E -:0302180003B4E04C -:0302190003B1C569 -:03021A0003AEAA86 -:03021B0003AB91A1 -:03021C0003A878BC -:03021D0003A560D6 -:03021E0003A249EF -:03021F00039F3307 -:03022000039C1D1F -:0302210003990935 -:030222000395F64B -:030223000392E360 -:03022400038FD273 -:03022500038CC285 -:030226000389B396 -:030227000386A5A6 -:03022800038398B5 -:0302290003808DC2 -:03022A00037D83CE -:03022B00037A79DA -:03022C00037772E3 -:03022D0003746BEC -:03022E00037166F3 -:03022F00036E62F9 -:03023000036B60FD -:0302310003685F00 -:0302320003656001 -:0302330003626201 -:03023400035F6500 -:03023500035C6BFC -:03023600035971F8 -:0302370003567AF1 -:03023800035384E9 -:0302390003508FE0 -:03023A00034D9CD5 -:03023B00034AABC8 -:03023C000347BCB9 -:03023D000344CFA8 -:03023E000341E396 -:03023F00033EF982 -:03024000033C116B -:0302410003392B53 -:0302420003364739 -:030243000333651D -:03024400033085FF -:03024500032DA6E0 -:03024600032ACABE -:030247000327F09A -:0302480003251873 -:030249000322424B -:03024A00031F6E21 -:03024B00031C9CF5 -:03024C000319CDC6 -:03024D0003170094 -:03024E0003143561 -:03024F0003116C2C -:03025000030EA6F4 -:03025100030BE1BB -:030252000309207D -:030253000306603F -:030254000303A3FE -:030255000300E9BA -:0302560002FE3174 -:0302570002FB7B2C -:0302580002F8C8E1 -:0302590002F61793 -:03025A0002F36A42 -:03025B0002F0BEF0 -:03025C0002EE159A -:03025D0002EB6F42 -:03025E0002E8CCE7 -:03025F0002E62B89 -:0302600002E38D29 -:0302610002E0F2C6 -:0302620002DE5960 -:0302630002DBC3F8 -:0302640002D9308C -:0302650002D6A01E -:0302660002D413AC -:0302670002D18938 -:0302680002CF01C1 -:0302690002CC7D47 -:03026A0002C9FBCB -:03026B0002C77D4A -:03026C0002C501C7 -:03026D0002C28842 -:03026E0002C013B8 -:03026F0002BDA12C -:0302700002BB319D -:0302710002B8C50B -:0302720002B65C75 -:0302730002B3F7DC -:0302740002B19440 -:0302750002AF35A0 -:0302760002ACD9FE -:0302770002AA8058 -:0302780002A82AAF -:0302790002A5D803 -:03027A0002A38953 -:03027B0002A13DA0 -:03027C00029EF5EA -:03027D00029CB030 -:03027E00029A6F72 -:03027F00029831B1 -:030280000295F7ED -:030281000293C025 -:0302820002918C5A -:03028300028F5D8A -:03028400028D30B8 -:03028500028B07E2 -:030286000288E209 -:030287000286C12B -:030288000284A34A -:0302890002828965 -:03028A000280727D -:03028B00027E5F91 -:03028C00027C50A1 -:03028D00027A44AE -:03028E0002783DB6 -:03028F00027639BB -:03029000027439BC -:0302910002723CBA -:03029200027044B3 -:03029300026E4FA9 -:03029400026C5F9A -:03029500026A7288 -:0302960002688972 -:030297000266A458 -:030298000264C33A -:030299000262E618 -:03029A0002610DF1 -:03029B00025F37C8 -:03029C00025D669A -:03029D00025B9968 -:03029E000259D032 -:03029F0002580BF7 -:0302A00002564AB9 -:0302A10002548E76 -:0302A2000252D530 -:0302A300025121E4 -:0302A400024F7096 -:0302A500024DC443 -:0302A600024C1CEB -:0302A700024A7890 -:0302A8000248D930 -:0302A90002473DCC -:0302AA000245A664 -:0302AB00024413F7 -:0302AC0002428586 -:0302AD000240FB11 -:0302AE00023F7597 -:0302AF00023DF31A -:0302B000023C7697 -:0302B100023AFD11 -:0302B20002398886 -:0302B300023818F6 -:0302B4000236AC63 -:0302B500023545CA -:0302B6000233E22E -:0302B7000232838D -:0302B800023129E7 -:0302B900022FD43D -:0302BA00022E828F -:0302BB00022D36DB -:0302BC00022BEE24 -:0302BD00022AAA68 -:0302BE0002296BA7 -:0302BF00022830E2 -:0302C0000226FA19 -:0302C1000225C94A -:0302C20002249C77 -:0302C300022373A0 -:0302C400022250C3 -:0302C500022131E2 -:0302C600022016FD -:0302C700021F0013 -:0302C800021DEF25 -:0302C900021CE232 -:0302CA00021BDA3A -:0302CB00021AD73D -:0302CC000219D83C -:0302CD000218DE36 -:0302CE000217E92B -:0302CF000216F91B -:0302D00002160D06 -:0302D100021526ED -:0302D200021443D0 -:0302D300021366AD -:0302D40002128D86 -:0302D5000211B95A -:0302D6000210E92A -:0302D70002101FF3 -:0302D800020F59B9 -:0302D900020E987A -:0302DA00020DDC36 -:0302DB00020D24ED -:0302DC00020C729F -:0302DD00020BC44D -:0302DE00020B1BF5 -:0302DF00020A7799 -:0302E0000209D739 -:0302E10002093DD2 -:0302E2000208A768 -:0302E300020817F7 -:0302E40002078B83 -:0302E50002070409 -:0302E6000206818C -:0302E70002060408 -:0302E80002058C80 -:0302E900020518F3 -:0302EA000204A962 -:0302EB00020440CA -:0302EC000203DB2F -:0302ED0002037B8E -:0302EE00020320E8 -:0302EF000202C93F -:0302F0000202788F -:0302F10002022CDA -:0302F2000201E422 -:0302F3000201A263 -:0302F400020164A0 -:0302F50002012BD8 -:0302F6000200F80B -:0302F7000200C939 -:0302F80002009F62 -:0302F90002007A86 -:0302FA0002005AA5 -:0302FB0002003FBF -:0302FC00020028D5 -:0302FD00020017E5 -:0302FE0002000BF0 -:0302FF00020003F7 -:03030000020001F7 -:03030100020003F4 -:0303020002000BEB -:03030300020017DE -:03030400020028CC -:0303050002003FB4 -:0303060002005A98 -:0303070002007A77 -:0303080002009F51 -:030309000200C926 -:03030A000200F8F6 -:03030B0002012BC1 -:03030C0002016487 -:03030D000201A248 -:03030E000201E405 -:03030F0002022CBB -:030310000202786E -:030311000202C91C -:03031200020320C3 -:0303130002037B67 -:030314000203DB06 -:030315000204409F -:030316000204A935 -:03031700020518C4 -:0303180002058C4F -:03031900020604D5 -:03031A0002068157 -:03031B00020704D2 -:03031C0002078B4A -:03031D00020817BC -:03031E000208A72B -:03031F0002093D93 -:030320000209D7F8 -:03032100020A7756 -:03032200020B1BB0 -:03032300020BC406 -:03032400020C7256 -:03032500020D24A2 -:03032600020DDCE9 -:03032700020E982B -:03032800020F5968 -:0303290002101FA0 -:03032A000210E9D5 -:03032B000211B903 -:03032C0002128D2D -:03032D0002136652 -:03032E0002144373 -:03032F000215268E -:0303300002160DA5 -:030331000216F9B8 -:030332000217E9C6 -:030333000218DECF -:030334000219D8D3 -:03033500021AD7D2 -:03033600021BDACD -:03033700021CE2C3 -:03033800021DEFB4 -:03033900021F00A0 -:03033A0002201688 -:03033B000221316B -:03033C000222504A -:03033D0002237325 -:03033E0002249CFA -:03033F000225C9CB -:030340000226FA98 -:030341000228305F -:0303420002296B22 -:03034300022AAAE1 -:03034400022BEE9B -:03034500022D3650 -:03034600022E8202 -:03034700022FD4AE -:0303480002312956 -:03034900023283FA -:03034A000233E299 -:03034B0002354533 -:03034C000236ACCA -:03034D000238185B -:03034E00023988E9 -:03034F00023AFD72 -:03035000023C76F6 -:03035100023DF377 -:03035200023F75F2 -:030353000240FB6A -:03035400024285DD -:030355000244134C -:030356000245A6B7 -:0303570002473D1D -:030358000248D97F -:03035900024A78DD -:03035A00024C1C36 -:03035B00024DC48C -:03035C00024F70DD -:03035D0002512129 -:03035E000252D573 -:03035F0002548EB7 -:0303600002564AF8 -:0303610002580B34 -:030362000259D06D -:03036300025B99A1 -:03036400025D66D1 -:03036500025F37FD -:0303660002610D24 -:030367000262E649 -:030368000264C369 -:030369000266A485 -:03036A000268899D -:03036B00026A72B1 -:03036C00026C5FC1 -:03036D00026E4FCE -:03036E00027044D6 -:03036F0002723CDB -:03037000027439DB -:03037100027639D8 -:0303720002783DD1 -:03037300027A44C7 -:03037400027C50B8 -:03037500027E5FA6 -:0303760002807290 -:0303770002828976 -:030378000284A359 -:030379000286C138 -:03037A000288E214 -:03037B00028B07EB -:03037C00028D30BF -:03037D00028F5D8F -:03037E0002918C5D -:03037F000293C026 -:030380000295F7EC -:03038100029831AE -:03038200029A6F6D -:03038300029CB029 -:03038400029EF5E1 -:0303850002A13D95 -:0303860002A38946 -:0303870002A5D8F4 -:0303880002A82A9E -:0303890002AA8045 -:03038A0002ACD9E9 -:03038B0002AF3589 -:03038C0002B19427 -:03038D0002B3F7C1 -:03038E0002B65C58 -:03038F0002B8C5EC -:0303900002BB317C -:0303910002BDA109 -:0303920002C01393 -:0303930002C2881B -:0303940002C5019E -:0303950002C77D1F -:0303960002C9FB9E -:0303970002CC7D18 -:0303980002CF0190 -:0303990002D18905 -:03039A0002D41377 -:03039B0002D6A0E7 -:03039C0002D93053 -:03039D0002DBC3BD -:03039E0002DE5923 -:03039F0002E0F287 -:0303A00002E38DE8 -:0303A10002E62B46 -:0303A20002E8CCA2 -:0303A30002EB6FFB -:0303A40002EE1551 -:0303A50002F0BEA5 -:0303A60002F36AF5 -:0303A70002F61744 -:0303A80002F8C890 -:0303A90002FB7BD9 -:0303AA0002FE311F -:0303AB000300E963 -:0303AC000303A3A5 -:0303AD00030660E4 -:0303AE0003092020 -:0303AF00030BE15C -:0303B000030EA693 -:0303B10003116CC9 -:0303B200031435FC -:0303B3000317002D -:0303B4000319CD5D -:0303B500031C9C8A -:0303B600031F6EB4 -:0303B700032242DC -:0303B80003251802 -:0303B9000327F027 -:0303BA00032ACA49 -:0303BB00032DA669 -:0303BC0003308586 -:0303BD00033365A2 -:0303BE00033647BC -:0303BF0003392BD4 -:0303C000033C11EA -:0303C100033EF9FF -:0303C2000341E311 -:0303C3000344CF21 -:0303C4000347BC30 -:0303C500034AAB3D -:0303C600034D9C48 -:0303C70003508F51 -:0303C80003538458 -:0303C90003567A5E -:0303CA0003597163 -:0303CB00035C6B65 -:0303CC00035F6567 -:0303CD0003626266 -:0303CE0003656064 -:0303CF0003685F61 -:0303D000036B605C -:0303D100036E6256 -:0303D2000371664E -:0303D30003746B45 -:0303D4000377723A -:0303D500037A792F -:0303D600037D8321 -:0303D70003808D13 -:0303D80003839804 -:0303D9000386A5F3 -:0303DA000389B3E1 -:0303DB00038CC2CE -:0303DC00038FD2BA -:0303DD000392E3A5 -:0303DE000395F68E -:0303DF0003990976 -:0303E000039C1D5E -:0303E100039F3344 -:0303E20003A2492A -:0303E30003A5600F -:0303E40003A878F3 -:0303E50003AB91D6 -:0303E60003AEAAB9 -:0303E70003B1C59A -:0303E80003B4E07B -:0303E90003B7FC5B -:0303EA0003BB183A -:0303EB0003BE3618 -:0303EC0003C154F6 -:0303ED0003C472D4 -:0303EE0003C791B1 -:0303EF0003CAB18D -:0303F00003CDD169 -:0303F10003D0F145 -:0303F20003D4121F -:0303F30003D734F9 -:0303F40003DA56D3 -:0303F50003DD78AD -:0303F60003E09B86 -:0303F70003E3BE5F -:0303F80003E6E138 -:0303F90003EA0410 -:0303FA0003ED28E8 -:0303FB0003F04BC1 -:0303FC0003F36F99 -:0303FD0003F69371 -:0303FE0003F9B848 -:0303FF0003FCDC20 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/diag_sin_1024x8.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/diag_sin_1024x8.hex deleted file mode 100644 index b73e91443a5e6cae9a7ed345ac4f13c13c08bca1..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/diag_sin_1024x8.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0100000000FF -:0100010001FD -:0100020002FB -:0100030002FA -:0100040003F8 -:0100050004F6 -:0100060005F4 -:0100070005F3 -:0100080006F1 -:0100090007EF -:01000A0008ED -:01000B0009EB -:01000C0009EA -:01000D000AE8 -:01000E000BE6 -:01000F000CE4 -:010010000CE3 -:010011000DE1 -:010012000EDF -:010013000FDD -:0100140010DB -:0100150010DA -:0100160011D8 -:0100170012D6 -:0100180013D4 -:0100190013D3 -:01001A0014D1 -:01001B0015CF -:01001C0016CD -:01001D0016CC -:01001E0017CA -:01001F0018C8 -:0100200019C6 -:010021001AC4 -:010022001AC3 -:010023001BC1 -:010024001CBF -:010025001DBD -:010026001DBC -:010027001EBA -:010028001FB8 -:0100290020B6 -:01002A0020B5 -:01002B0021B3 -:01002C0022B1 -:01002D0023AF -:01002E0023AE -:01002F0024AC -:0100300025AA -:0100310026A8 -:0100320026A7 -:0100330027A5 -:0100340028A3 -:0100350029A1 -:0100360029A0 -:010037002A9E -:010038002B9C -:010039002C9A -:01003A002C99 -:01003B002D97 -:01003C002E95 -:01003D002E94 -:01003E002F92 -:01003F003090 -:01004000318E -:01004100318D -:01004200328B -:010043003389 -:010044003388 -:010045003486 -:010046003584 -:010047003682 -:010048003681 -:01004900377F -:01004A00387D -:01004B00387C -:01004C00397A -:01004D003A78 -:01004E003A77 -:01004F003B75 -:010050003C73 -:010051003D71 -:010052003D70 -:010053003E6E -:010054003F6C -:010055003F6B -:010056004069 -:010057004167 -:010058004166 -:010059004264 -:01005A004362 -:01005B004361 -:01005C00445F -:01005D00455D -:01005E00455C -:01005F00465A -:010060004758 -:010061004757 -:010062004855 -:010063004854 -:010064004952 -:010065004A50 -:010066004A4F -:010067004B4D -:010068004C4B -:010069004C4A -:01006A004D48 -:01006B004E46 -:01006C004E45 -:01006D004F43 -:01006E004F42 -:01006F005040 -:01007000513E -:01007100513D -:01007200523B -:01007300523A -:010074005338 -:010075005436 -:010076005435 -:010077005533 -:010078005532 -:010079005630 -:01007A00562F -:01007B00572D -:01007C00582B -:01007D00582A -:01007E005928 -:01007F005927 -:010080005A25 -:010081005A24 -:010082005B22 -:010083005B21 -:010084005C1F -:010085005D1D -:010086005D1C -:010087005E1A -:010088005E19 -:010089005F17 -:01008A005F16 -:01008B006014 -:01008C006013 -:01008D006111 -:01008E006110 -:01008F00620E -:01009000620D -:01009100630B -:01009200630A -:010093006408 -:010094006407 -:010095006505 -:010096006504 -:010097006602 -:010098006601 -:010099006600 -:01009A0067FE -:01009B0067FD -:01009C0068FB -:01009D0068FA -:01009E0069F8 -:01009F0069F7 -:0100A0006AF5 -:0100A1006AF4 -:0100A2006AF3 -:0100A3006BF1 -:0100A4006BF0 -:0100A5006CEE -:0100A6006CED -:0100A7006DEB -:0100A8006DEA -:0100A9006DE9 -:0100AA006EE7 -:0100AB006EE6 -:0100AC006FE4 -:0100AD006FE3 -:0100AE006FE2 -:0100AF0070E0 -:0100B00070DF -:0100B10070DE -:0100B20071DC -:0100B30071DB -:0100B40071DA -:0100B50072D8 -:0100B60072D7 -:0100B70072D6 -:0100B80073D4 -:0100B90073D3 -:0100BA0073D2 -:0100BB0074D0 -:0100BC0074CF -:0100BD0074CE -:0100BE0075CC -:0100BF0075CB -:0100C00075CA -:0100C10076C8 -:0100C20076C7 -:0100C30076C6 -:0100C40076C5 -:0100C50077C3 -:0100C60077C2 -:0100C70077C1 -:0100C80078BF -:0100C90078BE -:0100CA0078BD -:0100CB0078BC -:0100CC0079BA -:0100CD0079B9 -:0100CE0079B8 -:0100CF0079B7 -:0100D0007AB5 -:0100D1007AB4 -:0100D2007AB3 -:0100D3007AB2 -:0100D4007AB1 -:0100D5007BAF -:0100D6007BAE -:0100D7007BAD -:0100D8007BAC -:0100D9007BAB -:0100DA007CA9 -:0100DB007CA8 -:0100DC007CA7 -:0100DD007CA6 -:0100DE007CA5 -:0100DF007CA4 -:0100E0007DA2 -:0100E1007DA1 -:0100E2007DA0 -:0100E3007D9F -:0100E4007D9E -:0100E5007D9D -:0100E6007D9C -:0100E7007E9A -:0100E8007E99 -:0100E9007E98 -:0100EA007E97 -:0100EB007E96 -:0100EC007E95 -:0100ED007E94 -:0100EE007E93 -:0100EF007E92 -:0100F0007E91 -:0100F1007E90 -:0100F2007F8E -:0100F3007F8D -:0100F4007F8C -:0100F5007F8B -:0100F6007F8A -:0100F7007F89 -:0100F8007F88 -:0100F9007F87 -:0100FA007F86 -:0100FB007F85 -:0100FC007F84 -:0100FD007F83 -:0100FE007F82 -:0100FF007F81 -:010100007F7F -:010101007F7E -:010102007F7D -:010103007F7C -:010104007F7B -:010105007F7A -:010106007F79 -:010107007F78 -:010108007F77 -:010109007F76 -:01010A007F75 -:01010B007F74 -:01010C007F73 -:01010D007F72 -:01010E007F71 -:01010F007E71 -:010110007E70 -:010111007E6F -:010112007E6E -:010113007E6D -:010114007E6C -:010115007E6B -:010116007E6A -:010117007E69 -:010118007E68 -:010119007E67 -:01011A007D67 -:01011B007D66 -:01011C007D65 -:01011D007D64 -:01011E007D63 -:01011F007D62 -:010120007D61 -:010121007C61 -:010122007C60 -:010123007C5F -:010124007C5E -:010125007C5D -:010126007C5C -:010127007B5C -:010128007B5B -:010129007B5A -:01012A007B59 -:01012B007B58 -:01012C007A58 -:01012D007A57 -:01012E007A56 -:01012F007A55 -:010130007A54 -:010131007954 -:010132007953 -:010133007952 -:010134007951 -:010135007851 -:010136007850 -:01013700784F -:01013800784E -:01013900774E -:01013A00774D -:01013B00774C -:01013C00764C -:01013D00764B -:01013E00764A -:01013F007649 -:010140007549 -:010141007548 -:010142007547 -:010143007447 -:010144007446 -:010145007445 -:010146007345 -:010147007344 -:010148007343 -:010149007243 -:01014A007242 -:01014B007241 -:01014C007141 -:01014D007140 -:01014E00713F -:01014F00703F -:01015000703E -:01015100703D -:010152006F3D -:010153006F3C -:010154006F3B -:010155006E3B -:010156006E3A -:010157006D3A -:010158006D39 -:010159006D38 -:01015A006C38 -:01015B006C37 -:01015C006B37 -:01015D006B36 -:01015E006A36 -:01015F006A35 -:010160006A34 -:010161006934 -:010162006933 -:010163006833 -:010164006832 -:010165006732 -:010166006731 -:010167006631 -:010168006630 -:01016900662F -:01016A00652F -:01016B00652E -:01016C00642E -:01016D00642D -:01016E00632D -:01016F00632C -:01017000622C -:01017100622B -:01017200612B -:01017300612A -:01017400602A -:010175006029 -:010176005F29 -:010177005F28 -:010178005E28 -:010179005E27 -:01017A005D27 -:01017B005D26 -:01017C005C26 -:01017D005B26 -:01017E005B25 -:01017F005A25 -:010180005A24 -:010181005924 -:010182005923 -:010183005823 -:010184005822 -:010185005722 -:010186005622 -:010187005621 -:010188005521 -:010189005520 -:01018A005420 -:01018B00541F -:01018C00531F -:01018D00521F -:01018E00521E -:01018F00511E -:01019000511D -:01019100501D -:010192004F1D -:010193004F1C -:010194004E1C -:010195004E1B -:010196004D1B -:010197004C1B -:010198004C1A -:010199004B1A -:01019A004A1A -:01019B004A19 -:01019C004919 -:01019D004819 -:01019E004818 -:01019F004718 -:0101A0004717 -:0101A1004617 -:0101A2004517 -:0101A3004516 -:0101A4004416 -:0101A5004316 -:0101A6004315 -:0101A7004215 -:0101A8004115 -:0101A9004114 -:0101AA004014 -:0101AB003F14 -:0101AC003F13 -:0101AD003E13 -:0101AE003D13 -:0101AF003D12 -:0101B0003C12 -:0101B1003B12 -:0101B2003A12 -:0101B3003A11 -:0101B4003911 -:0101B5003811 -:0101B6003810 -:0101B7003710 -:0101B8003610 -:0101B900360F -:0101BA00350F -:0101BB00340F -:0101BC00330F -:0101BD00330E -:0101BE00320E -:0101BF00310E -:0101C000310D -:0101C100300D -:0101C2002F0D -:0101C3002E0D -:0101C4002E0C -:0101C5002D0C -:0101C6002C0C -:0101C7002C0B -:0101C8002B0B -:0101C9002A0B -:0101CA00290B -:0101CB00290A -:0101CC00280A -:0101CD00270A -:0101CE00260A -:0101CF002609 -:0101D0002509 -:0101D1002409 -:0101D2002309 -:0101D3002308 -:0101D4002208 -:0101D5002108 -:0101D6002008 -:0101D7002007 -:0101D8001F07 -:0101D9001E07 -:0101DA001D07 -:0101DB001D06 -:0101DC001C06 -:0101DD001B06 -:0101DE001A06 -:0101DF001A05 -:0101E0001905 -:0101E1001805 -:0101E2001705 -:0101E3001605 -:0101E4001604 -:0101E5001504 -:0101E6001404 -:0101E7001304 -:0101E8001303 -:0101E9001203 -:0101EA001103 -:0101EB001003 -:0101EC001002 -:0101ED000F02 -:0101EE000E02 -:0101EF000D02 -:0101F0000C02 -:0101F1000C01 -:0101F2000B01 -:0101F3000A01 -:0101F4000901 -:0101F5000900 -:0101F6000800 -:0101F7000700 -:0101F8000600 -:0101F9000500 -:0101FA0005FF -:0101FB0004FF -:0101FC0003FF -:0101FD0002FF -:0101FE0002FE -:0101FF0001FE -:0102000000FD -:01020100FFFD -:01020200FEFD -:01020300FEFC -:01020400FDFC -:01020500FCFC -:01020600FBFC -:01020700FBFB -:01020800FAFB -:01020900F9FB -:01020A00F8FB -:01020B00F7FB -:01020C00F7FA -:01020D00F6FA -:01020E00F5FA -:01020F00F4FA -:01021000F4F9 -:01021100F3F9 -:01021200F2F9 -:01021300F1F9 -:01021400F0F9 -:01021500F0F8 -:01021600EFF8 -:01021700EEF8 -:01021800EDF8 -:01021900EDF7 -:01021A00ECF7 -:01021B00EBF7 -:01021C00EAF7 -:01021D00EAF6 -:01021E00E9F6 -:01021F00E8F6 -:01022000E7F6 -:01022100E6F6 -:01022200E6F5 -:01022300E5F5 -:01022400E4F5 -:01022500E3F5 -:01022600E3F4 -:01022700E2F4 -:01022800E1F4 -:01022900E0F4 -:01022A00E0F3 -:01022B00DFF3 -:01022C00DEF3 -:01022D00DDF3 -:01022E00DDF2 -:01022F00DCF2 -:01023000DBF2 -:01023100DAF2 -:01023200DAF1 -:01023300D9F1 -:01023400D8F1 -:01023500D7F1 -:01023600D7F0 -:01023700D6F0 -:01023800D5F0 -:01023900D4F0 -:01023A00D4EF -:01023B00D3EF -:01023C00D2EF -:01023D00D2EE -:01023E00D1EE -:01023F00D0EE -:01024000CFEE -:01024100CFED -:01024200CEED -:01024300CDED -:01024400CDEC -:01024500CCEC -:01024600CBEC -:01024700CAEC -:01024800CAEB -:01024900C9EB -:01024A00C8EB -:01024B00C8EA -:01024C00C7EA -:01024D00C6EA -:01024E00C6E9 -:01024F00C5E9 -:01025000C4E9 -:01025100C3E9 -:01025200C3E8 -:01025300C2E8 -:01025400C1E8 -:01025500C1E7 -:01025600C0E7 -:01025700BFE7 -:01025800BFE6 -:01025900BEE6 -:01025A00BDE6 -:01025B00BDE5 -:01025C00BCE5 -:01025D00BBE5 -:01025E00BBE4 -:01025F00BAE4 -:01026000B9E4 -:01026100B9E3 -:01026200B8E3 -:01026300B8E2 -:01026400B7E2 -:01026500B6E2 -:01026600B6E1 -:01026700B5E1 -:01026800B4E1 -:01026900B4E0 -:01026A00B3E0 -:01026B00B2E0 -:01026C00B2DF -:01026D00B1DF -:01026E00B1DE -:01026F00B0DE -:01027000AFDE -:01027100AFDD -:01027200AEDD -:01027300AEDC -:01027400ADDC -:01027500ACDC -:01027600ACDB -:01027700ABDB -:01027800ABDA -:01027900AADA -:01027A00AAD9 -:01027B00A9D9 -:01027C00A8D9 -:01027D00A8D8 -:01027E00A7D8 -:01027F00A7D7 -:01028000A6D7 -:01028100A6D6 -:01028200A5D6 -:01028300A5D5 -:01028400A4D5 -:01028500A3D5 -:01028600A3D4 -:01028700A2D4 -:01028800A2D3 -:01028900A1D3 -:01028A00A1D2 -:01028B00A0D2 -:01028C00A0D1 -:01028D009FD1 -:01028E009FD0 -:01028F009ED0 -:010290009ECF -:010291009DCF -:010292009DCE -:010293009CCE -:010294009CCD -:010295009BCD -:010296009BCC -:010297009ACC -:010298009ACB -:010299009ACA -:01029A0099CA -:01029B0099C9 -:01029C0098C9 -:01029D0098C8 -:01029E0097C8 -:01029F0097C7 -:0102A00096C7 -:0102A10096C6 -:0102A20096C5 -:0102A30095C5 -:0102A40095C4 -:0102A50094C4 -:0102A60094C3 -:0102A70093C3 -:0102A80093C2 -:0102A90093C1 -:0102AA0092C1 -:0102AB0092C0 -:0102AC0091C0 -:0102AD0091BF -:0102AE0091BE -:0102AF0090BE -:0102B00090BD -:0102B10090BC -:0102B2008FBC -:0102B3008FBB -:0102B4008FBA -:0102B5008EBA -:0102B6008EB9 -:0102B7008EB8 -:0102B8008DB8 -:0102B9008DB7 -:0102BA008DB6 -:0102BB008CB6 -:0102BC008CB5 -:0102BD008CB4 -:0102BE008BB4 -:0102BF008BB3 -:0102C0008BB2 -:0102C1008AB2 -:0102C2008AB1 -:0102C3008AB0 -:0102C4008AAF -:0102C50089AF -:0102C60089AE -:0102C70089AD -:0102C80088AD -:0102C90088AC -:0102CA0088AB -:0102CB0088AA -:0102CC0087AA -:0102CD0087A9 -:0102CE0087A8 -:0102CF0087A7 -:0102D00086A7 -:0102D10086A6 -:0102D20086A5 -:0102D30086A4 -:0102D40086A3 -:0102D50085A3 -:0102D60085A2 -:0102D70085A1 -:0102D80085A0 -:0102D900859F -:0102DA00849F -:0102DB00849E -:0102DC00849D -:0102DD00849C -:0102DE00849B -:0102DF00849A -:0102E000839A -:0102E1008399 -:0102E2008398 -:0102E3008397 -:0102E4008396 -:0102E5008395 -:0102E6008394 -:0102E7008294 -:0102E8008293 -:0102E9008292 -:0102EA008291 -:0102EB008290 -:0102EC00828F -:0102ED00828E -:0102EE00828D -:0102EF00828C -:0102F000828B -:0102F100828A -:0102F200818A -:0102F3008189 -:0102F4008188 -:0102F5008187 -:0102F6008186 -:0102F7008185 -:0102F8008184 -:0102F9008183 -:0102FA008182 -:0102FB008181 -:0102FC008180 -:0102FD00817F -:0102FE00817E -:0102FF00817D -:01030000817B -:01030100817A -:010302008179 -:010303008178 -:010304008177 -:010305008176 -:010306008175 -:010307008174 -:010308008173 -:010309008172 -:01030A008171 -:01030B008170 -:01030C00816F -:01030D00816E -:01030E00816D -:01030F00826B -:01031000826A -:010311008269 -:010312008268 -:010313008267 -:010314008266 -:010315008265 -:010316008264 -:010317008263 -:010318008262 -:010319008261 -:01031A00835F -:01031B00835E -:01031C00835D -:01031D00835C -:01031E00835B -:01031F00835A -:010320008359 -:010321008457 -:010322008456 -:010323008455 -:010324008454 -:010325008453 -:010326008452 -:010327008550 -:01032800854F -:01032900854E -:01032A00854D -:01032B00854C -:01032C00864A -:01032D008649 -:01032E008648 -:01032F008647 -:010330008646 -:010331008744 -:010332008743 -:010333008742 -:010334008741 -:01033500883F -:01033600883E -:01033700883D -:01033800883C -:01033900893A -:01033A008939 -:01033B008938 -:01033C008A36 -:01033D008A35 -:01033E008A34 -:01033F008A33 -:010340008B31 -:010341008B30 -:010342008B2F -:010343008C2D -:010344008C2C -:010345008C2B -:010346008D29 -:010347008D28 -:010348008D27 -:010349008E25 -:01034A008E24 -:01034B008E23 -:01034C008F21 -:01034D008F20 -:01034E008F1F -:01034F00901D -:01035000901C -:01035100901B -:010352009119 -:010353009118 -:010354009117 -:010355009215 -:010356009214 -:010357009312 -:010358009311 -:010359009310 -:01035A00940E -:01035B00940D -:01035C00950B -:01035D00950A -:01035E009608 -:01035F009607 -:010360009606 -:010361009704 -:010362009703 -:010363009801 -:010364009800 -:0103650099FE -:0103660099FD -:010367009AFB -:010368009AFA -:010369009AF9 -:01036A009BF7 -:01036B009BF6 -:01036C009CF4 -:01036D009CF3 -:01036E009DF1 -:01036F009DF0 -:010370009EEE -:010371009EED -:010372009FEB -:010373009FEA -:01037400A0E8 -:01037500A0E7 -:01037600A1E5 -:01037700A1E4 -:01037800A2E2 -:01037900A2E1 -:01037A00A3DF -:01037B00A3DE -:01037C00A4DC -:01037D00A5DA -:01037E00A5D9 -:01037F00A6D7 -:01038000A6D6 -:01038100A7D4 -:01038200A7D3 -:01038300A8D1 -:01038400A8D0 -:01038500A9CE -:01038600AACC -:01038700AACB -:01038800ABC9 -:01038900ABC8 -:01038A00ACC6 -:01038B00ACC5 -:01038C00ADC3 -:01038D00AEC1 -:01038E00AEC0 -:01038F00AFBE -:01039000AFBD -:01039100B0BB -:01039200B1B9 -:01039300B1B8 -:01039400B2B6 -:01039500B2B5 -:01039600B3B3 -:01039700B4B1 -:01039800B4B0 -:01039900B5AE -:01039A00B6AC -:01039B00B6AB -:01039C00B7A9 -:01039D00B8A7 -:01039E00B8A6 -:01039F00B9A4 -:0103A000B9A3 -:0103A100BAA1 -:0103A200BB9F -:0103A300BB9E -:0103A400BC9C -:0103A500BD9A -:0103A600BD99 -:0103A700BE97 -:0103A800BF95 -:0103A900BF94 -:0103AA00C092 -:0103AB00C190 -:0103AC00C18F -:0103AD00C28D -:0103AE00C38B -:0103AF00C38A -:0103B000C488 -:0103B100C586 -:0103B200C684 -:0103B300C683 -:0103B400C781 -:0103B500C87F -:0103B600C87E -:0103B700C97C -:0103B800CA7A -:0103B900CA79 -:0103BA00CB77 -:0103BB00CC75 -:0103BC00CD73 -:0103BD00CD72 -:0103BE00CE70 -:0103BF00CF6E -:0103C000CF6D -:0103C100D06B -:0103C200D169 -:0103C300D267 -:0103C400D266 -:0103C500D364 -:0103C600D462 -:0103C700D461 -:0103C800D55F -:0103C900D65D -:0103CA00D75B -:0103CB00D75A -:0103CC00D858 -:0103CD00D956 -:0103CE00DA54 -:0103CF00DA53 -:0103D000DB51 -:0103D100DC4F -:0103D200DD4D -:0103D300DD4C -:0103D400DE4A -:0103D500DF48 -:0103D600E046 -:0103D700E045 -:0103D800E143 -:0103D900E241 -:0103DA00E33F -:0103DB00E33E -:0103DC00E43C -:0103DD00E53A -:0103DE00E638 -:0103DF00E637 -:0103E000E735 -:0103E100E833 -:0103E200E931 -:0103E300EA2F -:0103E400EA2E -:0103E500EB2C -:0103E600EC2A -:0103E700ED28 -:0103E800ED27 -:0103E900EE25 -:0103EA00EF23 -:0103EB00F021 -:0103EC00F020 -:0103ED00F11E -:0103EE00F21C -:0103EF00F31A -:0103F000F418 -:0103F100F417 -:0103F200F515 -:0103F300F613 -:0103F400F711 -:0103F500F710 -:0103F600F80E -:0103F700F90C -:0103F800FA0A -:0103F900FB08 -:0103FA00FB07 -:0103FB00FC05 -:0103FC00FD03 -:0103FD00FE01 -:0103FE00FE00 -:0103FF00FFFE -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/diag_sin_2048x18.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/diag_sin_2048x18.hex deleted file mode 100644 index 709e6ec6b706ffbdb062c62907c7a76ce04b7431..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/diag_sin_2048x18.hex +++ /dev/null @@ -1,2049 +0,0 @@ -:03000000000000fd -:0300010000019269 -:03000200000324d4 -:030003000004b640 -:03000400000648ab -:030005000007db16 -:0300060000096d81 -:03000700000affed -:03000800000c9158 -:03000900000e23c3 -:03000a00000fb52f -:03000b000011469b -:03000c000012d807 -:03000d0000146a72 -:03000e000015fcde -:03000f0000178e49 -:0300100000191fb5 -:03001100001ab121 -:03001200001c428d -:03001300001dd4f9 -:03001400001f6565 -:030015000020f7d1 -:030016000022883d -:03001700002419a9 -:030018000025aa16 -:0300190000273b82 -:03001a000028ccef -:03001b00002a5d5b -:03001c00002beec8 -:03001d00002d7e35 -:03001e00002f0fa1 -:03001f0000309f0f -:0300200000322f7c -:030021000033bfea -:0300220000354f57 -:030023000036dfc5 -:0300240000386f32 -:030025000039ffa0 -:03002600003b8e0e -:03002700003d1d7c -:03002800003eaceb -:0300290000403c58 -:03002a000041cac8 -:03002b0000435936 -:03002c000044e8a5 -:03002d0000467614 -:03002e0000480483 -:03002f00004992f3 -:03003000004b2062 -:03003100004caed2 -:03003200004e3b42 -:03003300004fc9b2 -:0300340000515622 -:030035000052e393 -:0300360000546f04 -:030037000055fc75 -:03003800005788e6 -:0300390000591457 -:03003a00005aa0c9 -:03003b00005c2c3a -:03003c00005db7ad -:03003d00005f421f -:03003e000060cd92 -:03003f0000625804 -:030040000063e377 -:0300410000656dea -:030042000066f75e -:03004300006881d1 -:03004400006a0a45 -:03004500006b94b9 -:03004600006d1d2d -:03004700006ea5a3 -:0300480000702e17 -:030049000071b68d -:03004a0000733e02 -:03004b000074c678 -:03004c0000764dee -:03004d000077d465 -:03004e0000795bdb -:03004f00007ae153 -:03005000007c68c9 -:03005100007dee41 -:03005200007f73b9 -:030053000080f832 -:0300540000827daa -:0300550000840222 -:030056000085879b -:0300570000870b14 -:0300580000888e8f -:03005900008a1208 -:03005a00008b9583 -:03005b00008d17fe -:03005c00008e9a79 -:03005d0000901cf4 -:03005e0000919e70 -:03005f0000931fec -:030060000094a069 -:03006100009621e5 -:030062000097a163 -:03006300009921e0 -:03006400009aa05f -:03006500009c1fdd -:03006600009d9e5c -:03006700009f1dda -:0300680000a09b5a -:0300690000a218da -:03006a0000a3955b -:03006b0000a512db -:03006c0000a68f5c -:03006d0000a80bdd -:03006e0000a98660 -:03006f0000ab02e1 -:0300700000ac7c65 -:0300710000adf7e8 -:0300720000af716b -:0300730000b0eaf0 -:0300740000b26473 -:0300750000b3dcf9 -:0300760000b5557d -:0300770000b6cc04 -:0300780000b84489 -:0300790000b9bb10 -:03007a0000bb3197 -:03007b0000bca71f -:03007c0000be1da6 -:03007d0000bf922f -:03007e0000c107b7 -:03007f0000c27b41 -:0300800000c3efcb -:0300810000c56255 -:0300820000c6d5e0 -:0300830000c8476b -:0300840000c9b9f7 -:0300850000cb2a83 -:0300860000cc9b10 -:0300870000ce0c9c -:0300880000cf7b2b -:0300890000d0ebb9 -:03008a0000d25a47 -:03008b0000d3c8d7 -:03008c0000d53666 -:03008d0000d6a3f7 -:03008e0000d81087 -:03008f0000d97c19 -:0300900000dae8ab -:0300910000dc533d -:0300920000ddbed0 -:0300930000df2863 -:0300940000e092f7 -:0300950000e1fb8c -:0300960000e36420 -:0300970000e4ccb6 -:0300980000e6334c -:0300990000e79ae3 -:03009a0000e9007a -:03009b0000ea6612 -:03009c0000ebcbab -:03009d0000ed3043 -:03009e0000ee94dd -:03009f0000eff877 -:0300a00000f15a12 -:0300a10000f2bdad -:0300a20000f41f48 -:0300a30000f580e5 -:0300a40000f6e083 -:0300a50000f84020 -:0300a60000f9a0be -:0300a70000fafe5e -:0300a80000fc5dfc -:0300a90000fdba9d -:0300aa0000ff173d -:0300ab00010074dd -:0300ac000101cf80 -:0300ad0001032a22 -:0300ae00010485c5 -:0300af000105df69 -:0300b0000107380d -:0300b100010891b2 -:0300b2000109e958 -:0300b300010b40fe -:0300b400010c96a6 -:0300b500010ded4d -:0300b600010f42f5 -:0300b7000110979e -:0300b8000111eb48 -:0300b90001133ef2 -:0300ba000114919d -:0300bb000115e349 -:0300bc00011734f5 -:0300bd00011885a2 -:0300be000119d550 -:0300bf00011b24fe -:0300c000011c73ad -:0300c100011dc15d -:0300c200011f0e0d -:0300c30001205bbe -:0300c4000121a770 -:0300c5000122f223 -:0300c60001243dd5 -:0300c70001258789 -:0300c8000126d03e -:0300c900012818f3 -:0300ca00012960a9 -:0300cb00012aa760 -:0300cc00012bed18 -:0300cd00012d33cf -:0300ce00012e7789 -:0300cf00012fbc42 -:0300d0000130fffd -:0300d100013242b7 -:0300d20001338374 -:0300d3000134c530 -:0300d400013605ed -:0300d500013745ab -:0300d6000138836b -:0300d7000139c22a -:0300d800013affeb -:0300d900013c3cab -:0300da00013d786d -:0300db00013eb330 -:0300dc00013fedf4 -:0300dd00014127b7 -:0300de0001425f7d -:0300df0001439743 -:0300e0000144cf09 -:0300e100014605d0 -:0300e20001473b98 -:0300e30001487061 -:0300e4000149a42b -:0300e500014ad7f6 -:0300e600014c09c1 -:0300e700014d3b8d -:0300e800014e6c5a -:0300e900014f9c28 -:0300ea000150cbf7 -:0300eb000151fac6 -:0300ec0001532796 -:0300ed0001545467 -:0300ee0001558039 -:0300ef000156ac0b -:0300f0000157d6df -:0300f1000158ffb4 -:0300f200015a2888 -:0300f300015b505e -:0300f400015c7735 -:0300f500015d9d0d -:0300f600015ec3e5 -:0300f700015fe7bf -:0300f80001610b98 -:0300f90001622e73 -:0300fa000163504f -:0300fb000164712c -:0300fc000165910a -:0300fd000166b0e9 -:0300fe000167cfc8 -:0300ff000168eca9 -:03010000016a0988 -:03010100016b256a -:03010200016c404d -:03010300016d5a31 -:03010400016e7415 -:03010500016f8cfb -:030106000170a3e2 -:030107000171bac9 -:030108000172d0b1 -:030109000173e59a -:03010a000174f984 -:03010b0001760c6e -:03010c0001771e5a -:03010d0001782f47 -:03010e0001793f35 -:03010f00017a4f23 -:03011000017b5d13 -:03011100017c6b03 -:03011200017d77f5 -:03011300017e83e7 -:03011400017f8eda -:03011500018098ce -:030116000181a1c3 -:030117000182a9b9 -:030118000183b0b0 -:030119000184b6a8 -:03011a000185bca0 -:03011b000186c09a -:03011c000187c395 -:03011d000188c690 -:03011e000189c78d -:03011f00018ac88a -:03012000018bc789 -:03012100018cc688 -:03012200018dc488 -:03012300018ec08a -:03012400018fbc8c -:030125000190b78f -:030126000191b193 -:030127000192a999 -:030128000193a19f -:03012900019498a6 -:03012a0001958eae -:03012b00019683b7 -:03012c00019777c1 -:03012d0001986acc -:03012e0001995cd8 -:03012f00019a4de5 -:03013000019b3df3 -:03013100019c2c02 -:03013200019d1a12 -:03013300019e0723 -:03013400019ef336 -:03013500019fde49 -:0301360001a0c95c -:0301370001a1b271 -:0301380001a29a87 -:0301390001a3819e -:03013a0001a467b6 -:03013b0001a54ccf -:03013c0001a630e9 -:03013d0001a71304 -:03013e0001a7f521 -:03013f0001a8d63e -:0301400001a9b65c -:0301410001aa947c -:0301420001ab729c -:0301430001ac4fbd -:0301440001ad2bdf -:0301450001ae0602 -:0301460001aedf28 -:0301470001afb84d -:0301480001b09073 -:0301490001b1669b -:03014a0001b23cc3 -:03014b0001b311ec -:03014c0001b3e418 -:03014d0001b4b644 -:03014e0001b58870 -:03014f0001b6589e -:0301500001b727cd -:0301510001b7f6fd -:0301520001b8c32e -:0301530001b98f60 -:0301540001ba5a93 -:0301550001bb24c7 -:0301560001bbedfd -:0301570001bcb434 -:0301580001bd7b6b -:0301590001be41a3 -:03015a0001bf05dd -:03015b0001bfc918 -:03015c0001c08b54 -:03015d0001c14d90 -:03015e0001c20dce -:03015f0001c2cc0e -:0301600001c38a4e -:0301610001c4478f -:0301620001c503d1 -:0301630001c5be15 -:0301640001c67859 -:0301650001c7309f -:0301660001c7e8e6 -:0301670001c89e2e -:0301680001c95476 -:0301690001ca08c0 -:03016a0001cabb0c -:03016b0001cb6d58 -:03016c0001cc1ea5 -:03016d0001cccef4 -:03016e0001cd7d43 -:03016f0001ce2a94 -:0301700001ced7e6 -:0301710001cf8239 -:0301720001d02c8d -:0301730001d0d6e2 -:0301740001d17e38 -:0301750001d22490 -:0301760001d2cae9 -:0301770001d36f42 -:0301780001d4129d -:0301790001d4b5f9 -:03017a0001d55656 -:03017b0001d5f6b5 -:03017c0001d69514 -:03017d0001d73374 -:03017e0001d7d0d6 -:03017f0001d86b39 -:0301800001d9069c -:0301810001d99f02 -:0301820001da3768 -:0301830001daced0 -:0301840001db6438 -:0301850001dbf9a2 -:0301860001dc8d0c -:0301870001dd1f78 -:0301880001ddb0e6 -:0301890001de4054 -:03018a0001decfc4 -:03018b0001df5d34 -:03018c0001dfeaa6 -:03018d0001e07519 -:03018e0001e1008c -:03018f0001e18902 -:0301900001e21178 -:0301910001e298f0 -:0301920001e31e68 -:0301930001e3a2e3 -:0301940001e4265d -:0301950001e4a8da -:0301960001e52957 -:0301970001e5a9d6 -:0301980001e62855 -:0301990001e6a5d7 -:03019a0001e72258 -:03019b0001e79ddc -:03019c0001e81760 -:03019d0001e890e6 -:03019e0001e9076d -:03019f0001e97ef5 -:0301a00001e9f37f -:0301a10001ea6709 -:0301a20001eada95 -:0301a30001eb4c21 -:0301a40001ebbdaf -:0301a50001ec2c3e -:0301a60001ec9acf -:0301a70001ed0760 -:0301a80001ed73f3 -:0301a90001edde87 -:0301aa0001ee471c -:0301ab0001eeafb3 -:0301ac0001ef1749 -:0301ad0001ef7ce3 -:0301ae0001efe17d -:0301af0001f04517 -:0301b00001f0a7b4 -:0301b10001f10851 -:0301b20001f168f0 -:0301b30001f1c790 -:0301b40001f22431 -:0301b50001f281d3 -:0301b60001f2dc77 -:0301b70001f3361b -:0301b80001f38ec2 -:0301b90001f3e669 -:0301ba0001f43c11 -:0301bb0001f491bb -:0301bc0001f4e566 -:0301bd0001f53811 -:0301be0001f589bf -:0301bf0001f5d96e -:0301c00001f6291c -:0301c10001f676ce -:0301c20001f6c380 -:0301c30001f70e33 -:0301c40001f759e7 -:0301c50001f7a29d -:0301c60001f7e955 -:0301c70001f8300c -:0301c80001f875c6 -:0301c90001f8b981 -:0301ca0001f8fc3d -:0301cb0001f93ef9 -:0301cc0001f97fb7 -:0301cd0001f9be77 -:0301ce0001f9fc38 -:0301cf0001fa39f9 -:0301d00001fa74bd -:0301d10001faaf81 -:0301d20001fae847 -:0301d30001fb200d -:0301d40001fb57d5 -:0301d50001fb8c9f -:0301d60001fbc06a -:0301d70001fbf336 -:0301d80001fc2502 -:0301d90001fc56d0 -:0301da0001fc85a0 -:0301db0001fcb371 -:0301dc0001fce043 -:0301dd0001fd0c15 -:0301de0001fd37e9 -:0301df0001fd60bf -:0301e00001fd8896 -:0301e10001fdaf6e -:0301e20001fdd448 -:0301e30001fdf922 -:0301e40001fe1cfd -:0301e50001fe3eda -:0301e60001fe5eb9 -:0301e70001fe7e98 -:0301e80001fe9c79 -:0301e90001feb95b -:0301ea0001fed53e -:0301eb0001feef23 -:0301ec0001ff0808 -:0301ed0001ff20ef -:0301ee0001ff37d7 -:0301ef0001ff4dc0 -:0301f00001ff61ab -:0301f10001ff7497 -:0301f20001ff8684 -:0301f30001ff9772 -:0301f40001ffa662 -:0301f50001ffb453 -:0301f60001ffc145 -:0301f70001ffcd38 -:0301f80001ffd82c -:0301f90001ffe122 -:0301fa0001ffe919 -:0301fb0001fff011 -:0301fc0001fff50b -:0301fd0001fff906 -:0301fe0001fffd01 -:0301ff0001fffeff -:0302000001fffffc -:0302010001fffefc -:0302020001fffdfc -:0302030001fff9ff -:0302040001fff502 -:0302050001fff006 -:0302060001ffe90c -:0302070001ffe113 -:0302080001ffd81b -:0302090001ffcd25 -:03020a0001ffc130 -:03020b0001ffb43c -:03020c0001ffa649 -:03020d0001ff9757 -:03020e0001ff8667 -:03020f0001ff7478 -:0302100001ff618a -:0302110001ff4d9d -:0302120001ff37b2 -:0302130001ff20c8 -:0302140001ff08df -:0302150001feeff8 -:0302160001fed511 -:0302170001feb92c -:0302180001fe9c48 -:0302190001fe7e65 -:03021a0001fe5e84 -:03021b0001fe3ea3 -:03021c0001fe1cc4 -:03021d0001fdf9e7 -:03021e0001fdd40b -:03021f0001fdaf2f -:0302200001fd8855 -:0302210001fd607c -:0302220001fd37a4 -:0302230001fd0cce -:0302240001fce0fa -:0302250001fcb326 -:0302260001fc8553 -:0302270001fc5681 -:0302280001fc25b1 -:0302290001fbf3e3 -:03022a0001fbc015 -:03022b0001fb8c48 -:03022c0001fb577c -:03022d0001fb20b2 -:03022e0001fae8ea -:03022f0001faaf22 -:0302300001fa745c -:0302310001fa3996 -:0302320001f9fcd3 -:0302330001f9be10 -:0302340001f97f4e -:0302350001f93e8e -:0302360001f8fcd0 -:0302370001f8b912 -:0302380001f87555 -:0302390001f83099 -:03023a0001f7e9e0 -:03023b0001f7a226 -:03023c0001f7596e -:03023d0001f70eb8 -:03023e0001f6c303 -:03023f0001f6764f -:0302400001f6299b -:0302410001f5d9eb -:0302420001f5893a -:0302430001f5388a -:0302440001f4e5dd -:0302450001f49130 -:0302460001f43c84 -:0302470001f3e6da -:0302480001f38e31 -:0302490001f33688 -:03024a0001f2dce2 -:03024b0001f2813c -:03024c0001f22498 -:03024d0001f1c7f5 -:03024e0001f16853 -:03024f0001f108b2 -:0302500001f0a713 -:0302510001f04574 -:0302520001efe1d8 -:0302530001ef7c3c -:0302540001ef17a0 -:0302550001eeaf08 -:0302560001ee476f -:0302570001edded8 -:0302580001ed7342 -:0302590001ed07ad -:03025a0001ec9a1a -:03025b0001ec2c87 -:03025c0001ebbdf6 -:03025d0001eb4c66 -:03025e0001eadad8 -:03025f0001ea674a -:0302600001e9f3be -:0302610001e97e32 -:0302620001e907a8 -:0302630001e8901f -:0302640001e81797 -:0302650001e79d11 -:0302660001e7228b -:0302670001e6a508 -:0302680001e62884 -:0302690001e5a903 -:03026a0001e52982 -:03026b0001e4a803 -:03026c0001e42684 -:03026d0001e3a208 -:03026e0001e31e8b -:03026f0001e29811 -:0302700001e21197 -:0302710001e1891f -:0302720001e100a7 -:0302730001e07532 -:0302740001dfeabd -:0302750001df5d49 -:0302760001decfd7 -:0302770001de4065 -:0302780001ddb0f5 -:0302790001dd1f85 -:03027a0001dc8d17 -:03027b0001dbf9ab -:03027c0001db643f -:03027d0001daced5 -:03027e0001da376b -:03027f0001d99f03 -:0302800001d9069b -:0302810001d86b36 -:0302820001d7d0d1 -:0302830001d7336d -:0302840001d6950b -:0302850001d5f6aa -:0302860001d55649 -:0302870001d4b5ea -:0302880001d4128c -:0302890001d36f2f -:03028a0001d2cad4 -:03028b0001d22479 -:03028c0001d17e1f -:03028d0001d0d6c7 -:03028e0001d02c70 -:03028f0001cf821a -:0302900001ced7c5 -:0302910001ce2a71 -:0302920001cd7d1e -:0302930001cccecd -:0302940001cc1e7c -:0302950001cb6d2d -:0302960001cabbdf -:0302970001ca0891 -:0302980001c95445 -:0302990001c89efb -:03029a0001c7e8b1 -:03029b0001c73068 -:03029c0001c67820 -:03029d0001c5beda -:03029e0001c50394 -:03029f0001c44750 -:0302a00001c38a0d -:0302a10001c2cccb -:0302a20001c20d89 -:0302a30001c14d49 -:0302a40001c08b0b -:0302a50001bfc9cd -:0302a60001bf0590 -:0302a70001be4154 -:0302a80001bd7b1a -:0302a90001bcb4e1 -:0302aa0001bbeda8 -:0302ab0001bb2470 -:0302ac0001ba5a3a -:0302ad0001b98f05 -:0302ae0001b8c3d1 -:0302af0001b7f69e -:0302b00001b7276c -:0302b10001b6583b -:0302b20001b5880b -:0302b30001b4b6dd -:0302b40001b3e4af -:0302b50001b31181 -:0302b60001b23c56 -:0302b70001b1662c -:0302b80001b09002 -:0302b90001afb8da -:0302ba0001aedfb3 -:0302bb0001ae068b -:0302bc0001ad2b66 -:0302bd0001ac4f42 -:0302be0001ab721f -:0302bf0001aa94fd -:0302c00001a9b6db -:0302c10001a8d6bb -:0302c20001a7f59c -:0302c30001a7137d -:0302c40001a63060 -:0302c50001a54c44 -:0302c60001a46729 -:0302c70001a3810f -:0302c80001a29af6 -:0302c90001a1b2de -:0302ca0001a0c9c7 -:0302cb00019fdeb2 -:0302cc00019ef39d -:0302cd00019e0788 -:0302ce00019d1a75 -:0302cf00019c2c63 -:0302d000019b3d52 -:0302d100019a4d42 -:0302d20001995c33 -:0302d30001986a25 -:0302d40001977718 -:0302d5000196830c -:0302d60001958e01 -:0302d700019498f7 -:0302d8000193a1ee -:0302d9000192a9e6 -:0302da000191b1de -:0302db000190b7d8 -:0302dc00018fbcd3 -:0302dd00018ec0cf -:0302de00018dc4cb -:0302df00018cc6c9 -:0302e000018bc7c8 -:0302e100018ac8c7 -:0302e2000189c7c8 -:0302e3000188c6c9 -:0302e4000187c3cc -:0302e5000186c0cf -:0302e6000185bcd3 -:0302e7000184b6d9 -:0302e8000183b0df -:0302e9000182a9e6 -:0302ea000181a1ee -:0302eb00018098f7 -:0302ec00017f8e01 -:0302ed00017e830c -:0302ee00017d7718 -:0302ef00017c6b24 -:0302f000017b5d32 -:0302f100017a4f40 -:0302f20001793f50 -:0302f30001782f60 -:0302f40001771e71 -:0302f50001760c83 -:0302f6000174f997 -:0302f7000173e5ab -:0302f8000172d0c0 -:0302f9000171bad6 -:0302fa000170a3ed -:0302fb00016f8c04 -:0302fc00016e741c -:0302fd00016d5a36 -:0302fe00016c4050 -:0302ff00016b256b -:03030000016a0986 -:030301000168eca4 -:030302000167cfc1 -:030303000166b0e0 -:03030400016591ff -:030305000164711f -:0303060001635040 -:0303070001622e62 -:0303080001610b85 -:03030900015fe7aa -:03030a00015ec3ce -:03030b00015d9df4 -:03030c00015c771a -:03030d00015b5041 -:03030e00015a2869 -:03030f000158ff93 -:030310000157d6bc -:030311000156ace6 -:0303120001558012 -:030313000154543e -:030314000153276b -:030315000151fa99 -:030316000150cbc8 -:03031700014f9cf7 -:03031800014e6c27 -:03031900014d3b58 -:03031a00014c098a -:03031b00014ad7bd -:03031c000149a4f0 -:03031d0001487024 -:03031e0001473b59 -:03031f000146058f -:030320000144cfc6 -:03032100014397fe -:0303220001425f36 -:030323000141276e -:03032400013feda9 -:03032500013eb3e3 -:03032600013d781e -:03032700013c3c5a -:03032800013aff98 -:030329000139c2d5 -:03032a0001388314 -:03032b0001374552 -:03032c0001360592 -:03032d000134c5d3 -:03032e0001338315 -:03032f0001324256 -:030330000130ff9a -:03033100012fbcdd -:03033200012e7722 -:03033300012d3366 -:03033400012bedad -:03033500012aa7f3 -:030336000129603a -:0303370001281882 -:030338000126d0cb -:0303390001258714 -:03033a0001243d5e -:03033b000122f2aa -:03033c000121a7f5 -:03033d0001205b41 -:03033e00011f0e8e -:03033f00011dc1dc -:03034000011c732a -:03034100011b2479 -:030342000119d5c9 -:0303430001188519 -:030344000117346a -:030345000115e3bc -:030346000114910e -:0303470001133e61 -:030348000111ebb5 -:0303490001109709 -:03034a00010f425e -:03034b00010dedb4 -:03034c00010c960b -:03034d00010b4061 -:03034e000109e9b9 -:03034f0001089111 -:030350000107386a -:030351000105dfc4 -:030352000104851e -:0303530001032a79 -:030354000101cfd5 -:0303550001007430 -:0303560000ff178e -:0303570000fdbaec -:0303580000fc5d49 -:0303590000fafea9 -:03035a0000f9a007 -:03035b0000f84067 -:03035c0000f6e0c8 -:03035d0000f58028 -:03035e0000f41f89 -:03035f0000f2bdec -:0303600000f15a4f -:0303610000eff8b2 -:0303620000ee9416 -:0303630000ed307a -:0303640000ebcbe0 -:0303650000ea6645 -:0303660000e900ab -:0303670000e79a12 -:0303680000e63379 -:0303690000e4cce1 -:03036a0000e36449 -:03036b0000e1fbb3 -:03036c0000e0921c -:03036d0000df2886 -:03036e0000ddbef1 -:03036f0000dc535c -:0303700000dae8c8 -:0303710000d97c34 -:0303720000d810a0 -:0303730000d6a30e -:0303740000d5367b -:0303750000d3c8ea -:0303760000d25a58 -:0303770000d0ebc8 -:0303780000cf7b38 -:0303790000ce0ca7 -:03037a0000cc9b19 -:03037b0000cb2a8a -:03037c0000c9b9fc -:03037d0000c8476e -:03037e0000c6d5e1 -:03037f0000c56254 -:0303800000c3efc8 -:0303810000c27b3c -:0303820000c107b0 -:0303830000bf9226 -:0303840000be1d9b -:0303850000bca712 -:0303860000bb3188 -:0303870000b9bbff -:0303880000b84476 -:0303890000b6ccef -:03038a0000b55566 -:03038b0000b3dce0 -:03038c0000b26458 -:03038d0000b0ead3 -:03038e0000af714c -:03038f0000adf7c7 -:0303900000ac7c42 -:0303910000ab02bc -:0303920000a98639 -:0303930000a80bb4 -:0303940000a68f31 -:0303950000a512ae -:0303960000a3952c -:0303970000a218a9 -:0303980000a09b27 -:03039900009f1da5 -:03039a00009d9e25 -:03039b00009c1fa4 -:03039c00009aa024 -:03039d00009921a3 -:03039e000097a124 -:03039f00009621a4 -:0303a0000094a026 -:0303a10000931fa7 -:0303a20000919e29 -:0303a30000901cab -:0303a400008e9a2e -:0303a500008d17b1 -:0303a600008b9534 -:0303a700008a12b7 -:0303a80000888e3c -:0303a90000870bbf -:0303aa0000858744 -:0303ab00008402c9 -:0303ac0000827d4f -:0303ad000080f8d5 -:0303ae00007f735a -:0303af00007deee0 -:0303b000007c6866 -:0303b100007ae1ee -:0303b20000795b74 -:0303b3000077d4fc -:0303b40000764d83 -:0303b5000074c60b -:0303b60000733e93 -:0303b7000071b61c -:0303b80000702ea4 -:0303b900006ea52e -:0303ba00006d1db6 -:0303bb00006b9440 -:0303bc00006a0aca -:0303bd0000688154 -:0303be000066f7df -:0303bf0000656d69 -:0303c0000063e3f4 -:0303c1000062587f -:0303c2000060cd0b -:0303c300005f4296 -:0303c400005db722 -:0303c500005c2cad -:0303c600005aa03a -:0303c700005914c6 -:0303c80000578853 -:0303c9000055fce0 -:0303ca0000546f6d -:0303cb000052e3fa -:0303cc0000515687 -:0303cd00004fc915 -:0303ce00004e3ba3 -:0303cf00004cae31 -:0303d000004b20bf -:0303d1000049924e -:0303d200004804dc -:0303d3000046766b -:0303d4000044e8fa -:0303d50000435989 -:0303d6000041ca19 -:0303d70000403ca7 -:0303d800003eac38 -:0303d900003d1dc7 -:0303da00003b8e57 -:0303db000039ffe7 -:0303dc0000386f77 -:0303dd000036df08 -:0303de0000354f98 -:0303df000033bf29 -:0303e00000322fb9 -:0303e10000309f4a -:0303e200002f0fda -:0303e300002d7e6c -:0303e400002beefd -:0303e500002a5d8e -:0303e6000028cc20 -:0303e70000273bb1 -:0303e8000025aa43 -:0303e900002419d4 -:0303ea0000228866 -:0303eb000020f7f8 -:0303ec00001f658a -:0303ed00001dd41c -:0303ee00001c42ae -:0303ef00001ab140 -:0303f00000191fd2 -:0303f10000178e64 -:0303f2000015fcf7 -:0303f30000146a89 -:0303f4000012d81c -:0303f500001146ae -:0303f600000fb540 -:0303f700000e23d2 -:0303f800000c9165 -:0303f900000afff8 -:0303fa0000096d8a -:0303fb000007db1d -:0303fc00000648b0 -:0303fd000004b643 -:0303fe00000324d5 -:0303ff0000019268 -:03040000000000f9 -:0304010003fe6e89 -:0304020003fcdc1c -:0304030003fb4aae -:0304040003f9b841 -:0304050003f825d4 -:0304060003f69367 -:0304070003f501f9 -:0304080003f36f8c -:0304090003f1dd1f -:03040a0003f04bb1 -:03040b0003eeba43 -:03040c0003ed28d5 -:03040d0003eb9668 -:03040e0003ea04fa -:03040f0003e8728d -:0304100003e6e11f -:0304110003e54fb1 -:0304120003e3be43 -:0304130003e22cd5 -:0304140003e09b67 -:0304150003df09f9 -:0304160003dd788b -:0304170003dbe71d -:0304180003da56ae -:0304190003d8c540 -:03041a0003d734d1 -:03041b0003d5a363 -:03041c0003d412f4 -:03041d0003d28285 -:03041e0003d0f117 -:03041f0003cf61a7 -:0304200003cdd138 -:0304210003cc41c8 -:0304220003cab159 -:0304230003c921e9 -:0304240003c7917a -:0304250003c6010a -:0304260003c4729a -:0304270003c2e32a -:0304280003c154b9 -:0304290003bfc44a -:03042a0003be36d8 -:03042b0003bca768 -:03042c0003bb18f7 -:03042d0003b98a86 -:03042e0003b7fc15 -:03042f0003b66ea3 -:0304300003b4e032 -:0304310003b352c0 -:0304320003b1c54e -:0304330003b037dc -:0304340003aeaa6a -:0304350003ad1df7 -:0304360003ab9184 -:0304370003aa0411 -:0304380003a8789e -:0304390003a6ec2b -:03043a0003a560b7 -:03043b0003a3d444 -:03043c0003a249cf -:03043d0003a0be5b -:03043e00039f33e6 -:03043f00039da872 -:03044000039c1dfd -:03044100039a9388 -:0304420003990912 -:0304430003977f9d -:030444000395f627 -:0304450003946cb1 -:030446000392e33b -:0304470003915bc3 -:03044800038fd24d -:03044900038e4ad5 -:03044a00038cc25e -:03044b00038b3ae6 -:03044c000389b36e -:03044d0003882cf5 -:03044e000386a57d -:03044f0003851f03 -:030450000383988b -:0304510003821211 -:0304520003808d97 -:03045300037f081c -:03045400037d83a2 -:03045500037bfe28 -:03045600037a79ad -:030457000378f532 -:03045800037772b5 -:030459000375ee3a -:03045a0003746bbd -:03045b000372e940 -:03045c00037166c3 -:03045d00036fe446 -:03045e00036e62c8 -:03045f00036ce14a -:03046000036b60cb -:030461000369df4d -:0304620003685fcd -:030463000366df4e -:03046400036560cd -:030465000363e14d -:03046600036262cc -:030467000360e34c -:03046800035f65ca -:03046900035de848 -:03046a00035c6bc5 -:03046b00035aee43 -:03046c00035971c0 -:03046d000357f53d -:03046e0003567ab8 -:03046f000354fe35 -:03047000035384af -:030471000352092a -:0304720003508fa5 -:03047300034f161e -:03047400034d9c99 -:03047500034c2411 -:03047600034aab8b -:0304770003493402 -:030478000347bc7b -:03047900034645f2 -:03047a000344cf69 -:03047b00034359df -:03047c000341e356 -:03047d0003406ecb -:03047e00033ef941 -:03047f00033d85b5 -:03048000033c1129 -:03048100033a9e9d -:0304820003392b10 -:030483000337b983 -:03048400033647f5 -:030485000334d667 -:03048600033365d8 -:030487000331f44a -:03048800033085b9 -:03048900032f1529 -:03048a00032da699 -:03048b00032c3807 -:03048c00032aca76 -:03048d0003295de3 -:03048e000327f051 -:03048f00032684bd -:0304900003251829 -:030491000323ad95 -:0304920003224200 -:030493000320d86b -:03049400031f6ed5 -:03049500031e053e -:03049600031c9ca8 -:03049700031b3410 -:030498000319cd78 -:03049900031866df -:03049a0003170045 -:03049b0003159aac -:03049c0003143511 -:03049d000312d077 -:03049e0003116cdb -:03049f000310083f -:0304a000030ea6a2 -:0304a100030d4305 -:0304a200030be168 -:0304a300030a80c9 -:0304a40003092029 -:0304a5000307c08a -:0304a600030660ea -:0304a70003050248 -:0304a8000303a3a8 -:0304a90003024605 -:0304aa000300e963 -:0304ab0002ff8cc1 -:0304ac0002fe311c -:0304ad0002fcd678 -:0304ae0002fb7bd3 -:0304af0002fa212d -:0304b00002f8c887 -:0304b10002f76fe0 -:0304b20002f61738 -:0304b30002f4c090 -:0304b40002f36ae6 -:0304b50002f2133d -:0304b60002f0be93 -:0304b70002ef69e8 -:0304b80002ee153c -:0304b90002ecc290 -:0304ba0002eb6fe3 -:0304bb0002ea1d35 -:0304bc0002e8cc87 -:0304bd0002e77bd8 -:0304be0002e62b28 -:0304bf0002e4dc78 -:0304c00002e38dc7 -:0304c10002e23f15 -:0304c20002e0f263 -:0304c30002dfa5b0 -:0304c40002de59fc -:0304c50002dd0e47 -:0304c60002dbc393 -:0304c70002da79dd -:0304c80002d93026 -:0304c90002d7e86f -:0304ca0002d6a0b7 -:0304cb0002d559fe -:0304cc0002d41344 -:0304cd0002d2cd8b -:0304ce0002d189cf -:0304cf0002d04414 -:0304d00002cf0157 -:0304d10002cdbe9b -:0304d20002cc7ddc -:0304d30002cb3b1e -:0304d40002c9fb5f -:0304d50002c8bb9f -:0304d60002c77ddd -:0304d70002c63e1c -:0304d80002c50159 -:0304d90002c3c497 -:0304da0002c288d3 -:0304db0002c14d0e -:0304dc0002c01348 -:0304dd0002bed983 -:0304de0002bda1bb -:0304df0002bc69f3 -:0304e00002bb312b -:0304e10002b9fb62 -:0304e20002b8c598 -:0304e30002b790cd -:0304e40002b65c01 -:0304e50002b52934 -:0304e60002b3f767 -:0304e70002b2c599 -:0304e80002b194ca -:0304e90002b064fa -:0304ea0002af3529 -:0304eb0002ae0658 -:0304ec0002acd986 -:0304ed0002abacb3 -:0304ee0002aa80df -:0304ef0002a9540b -:0304f00002a82a35 -:0304f10002a7015e -:0304f20002a5d888 -:0304f30002a4b0b0 -:0304f40002a389d7 -:0304f50002a263fd -:0304f60002a13d23 -:0304f70002a01947 -:0304f800029ef56c -:0304f900029dd28f -:0304fa00029cb0b1 -:0304fb00029b8fd2 -:0304fc00029a6ff2 -:0304fd0002995011 -:0304fe0002983130 -:0304ff000297144d -:030500000295f76a -:030501000294db86 -:030502000293c0a1 -:030503000292a6bb -:0305040002918cd5 -:03050500029074ed -:03050600028f5d04 -:03050700028e461b -:03050800028d3031 -:03050900028c1b46 -:03050a00028b075a -:03050b000289f46e -:03050c000288e280 -:03050d000287d191 -:03050e000286c1a1 -:03050f000285b1b1 -:030510000284a3bf -:03051100028395cd -:03051200028289d9 -:0305130002817de5 -:03051400028072f0 -:03051500027f68fa -:03051600027e5f03 -:03051700027d570b -:03051800027c5012 -:03051900027b4a18 -:03051a00027a441e -:03051b0002794022 -:03051c0002783d25 -:03051d0002773a28 -:03051e0002763929 -:03051f000275382a -:0305200002743929 -:0305210002733a28 -:0305220002723c26 -:0305230002714022 -:030524000270441e -:03052500026f4919 -:03052600026e4f13 -:03052700026d570b -:03052800026c5f03 -:03052900026b68fa -:03052a00026a72f0 -:03052b0002697de5 -:03052c00026889d9 -:03052d00026796cc -:03052e000266a4be -:03052f000265b3af -:030530000264c39f -:030531000263d48e -:030532000262e67c -:030533000261f969 -:0305340002610d54 -:030535000260223f -:03053600025f372a -:03053700025e4e13 -:03053800025d66fb -:03053900025c7fe2 -:03053a00025b99c8 -:03053b00025ab4ad -:03053c000259d091 -:03053d000258ed74 -:03053e0002580b55 -:03053f0002572a36 -:0305400002564a16 -:0305410002556cf4 -:0305420002548ed2 -:030543000253b1af -:030544000252d58b -:030545000251fa66 -:030546000251213e -:0305470002504817 -:03054800024f70ef -:03054900024e9ac5 -:03054a00024dc49b -:03054b00024cef70 -:03054c00024c1c42 -:03054d00024b4a14 -:03054e00024a78e6 -:03054f000249a8b6 -:030550000248d985 -:0305510002480a53 -:0305520002473d20 -:03055300024671ec -:030554000245a6b7 -:030555000244dc81 -:0305560002441349 -:0305570002434c10 -:03055800024285d7 -:030559000241bf9d -:03055a000240fb61 -:03055b0002403724 -:03055c00023f75e6 -:03055d00023eb3a8 -:03055e00023df368 -:03055f00023d3426 -:03056000023c76e4 -:03056100023bb9a1 -:03056200023afd5d -:03056300023a4217 -:03056400023988d1 -:030565000238d089 -:0305660002381840 -:03056700023762f6 -:030568000236acac -:030569000235f860 -:03056a0002354512 -:03056b00023493c4 -:03056c000233e275 -:03056d0002333224 -:03056e00023283d3 -:03056f000231d680 -:030570000231292c -:0305710002307ed7 -:03057200022fd481 -:03057300022f2a2a -:03057400022e82d2 -:03057500022ddc78 -:03057600022d361d -:03057700022c91c2 -:03057800022bee65 -:03057900022b4b07 -:03057a00022aaaa8 -:03057b00022a0a47 -:03057c0002296be6 -:03057d000228cd84 -:03057e0002283020 -:03057f00022795bb -:030580000226fa56 -:03058100022661ee -:030582000225c986 -:030583000225321c -:0305840002249cb2 -:0305850002240746 -:03058600022373da -:030587000222e16c -:03058800022250fc -:030589000221c08c -:03058a000221311a -:03058b000220a3a8 -:03058c0002201634 -:03058d00021f8bbf -:03058e00021f0049 -:03058f00021e77d2 -:03059000021def5a -:03059100021d68e0 -:03059200021ce266 -:03059300021c5ee9 -:03059400021bda6d -:03059500021b58ee -:03059600021ad76f -:03059700021a57ee -:030598000219d86d -:0305990002195be9 -:03059a000218de66 -:03059b00021863e0 -:03059c000217e95a -:03059d00021770d2 -:03059e000216f949 -:03059f00021682bf -:0305a00002160d33 -:0305a100021599a7 -:0305a20002152619 -:0305a3000214b48b -:0305a400021443fb -:0305a5000213d46a -:0305a600021366d7 -:0305a7000212f944 -:0305a80002128daf -:0305a90002122219 -:0305aa000211b982 -:0305ab00021151e9 -:0305ac000210e951 -:0305ad00021084b5 -:0305ae0002101f19 -:0305af00020fbb7d -:0305b000020f59de -:0305b100020ef83f -:0305b200020e989e -:0305b300020e39fc -:0305b400020ddc59 -:0305b500020d7fb5 -:0305b600020d240f -:0305b700020cca69 -:0305b800020c72c0 -:0305b900020c1a17 -:0305ba00020bc46d -:0305bb00020b6fc1 -:0305bc00020b1b14 -:0305bd00020ac867 -:0305be00020a77b7 -:0305bf00020a2706 -:0305c0000209d756 -:0305c10002098aa2 -:0305c20002093dee -:0305c3000208f239 -:0305c4000208a783 -:0305c50002085ecb -:0305c60002081711 -:0305c7000207d058 -:0305c80002078b9c -:0305c900020747df -:0305ca0002070421 -:0305cb000206c263 -:0305cc00020681a3 -:0305cd00020642e1 -:0305ce000206041e -:0305cf000205c75b -:0305d00002058c95 -:0305d100020551cf -:0305d20002051807 -:0305d3000204e03f -:0305d4000204a975 -:0305d500020474a9 -:0305d600020440dc -:0305d70002040d0e -:0305d8000203db40 -:0305d9000203aa70 -:0305da0002037b9e -:0305db0002034dcb -:0305dc00020320f7 -:0305dd000202f423 -:0305de000202c94d -:0305df000202a075 -:0305e0000202789c -:0305e100020251c2 -:0305e20002022ce6 -:0305e3000202070a -:0305e4000201e42d -:0305e5000201c24e -:0305e6000201a26d -:0305e7000201828c -:0305e800020164a9 -:0305e900020147c5 -:0305ea0002012be0 -:0305eb00020111f9 -:0305ec000200f812 -:0305ed000200e029 -:0305ee000200c93f -:0305ef000200b354 -:0305f00002009f67 -:0305f10002008c79 -:0305f20002007a8a -:0305f3000200699a -:0305f40002005aa8 -:0305f50002004cb5 -:0305f60002003fc1 -:0305f700020033cc -:0305f800020028d6 -:0305f90002001fde -:0305fa00020017e5 -:0305fb00020010eb -:0305fc0002000bef -:0305fd00020007f2 -:0305fe00020003f5 -:0305ff00020002f5 -:03060000020001f4 -:03060100020002f2 -:03060200020003f0 -:03060300020007eb -:0306040002000be6 -:03060500020010e0 -:03060600020017d8 -:0306070002001fcf -:03060800020028c5 -:03060900020033b9 -:03060a0002003fac -:03060b0002004c9e -:03060c0002005a8f -:03060d000200697f -:03060e0002007a6d -:03060f0002008c5a -:0306100002009f46 -:030611000200b331 -:030612000200c91a -:030613000200e002 -:030614000200f8e9 -:03061500020111ce -:0306160002012bb3 -:0306170002014796 -:0306180002016478 -:0306190002018259 -:03061a000201a238 -:03061b000201c217 -:03061c000201e4f4 -:03061d00020207cf -:03061e0002022ca9 -:03061f0002025183 -:030620000202785b -:030621000202a032 -:030622000202c908 -:030623000202f4dc -:03062400020320ae -:0306250002034d80 -:0306260002037b51 -:030627000203aa21 -:030628000203dbef -:0306290002040dbb -:03062a0002044087 -:03062b0002047452 -:03062c000204a91c -:03062d000204e0e4 -:03062e00020518aa -:03062f0002055170 -:0306300002058c34 -:030631000205c7f8 -:03063200020604b9 -:030633000206427a -:030634000206813a -:030635000206c2f8 -:03063600020704b4 -:0306370002074770 -:0306380002078b2b -:030639000207d0e5 -:03063a000208179c -:03063b0002085e54 -:03063c000208a70a -:03063d000208f2be -:03063e0002093d71 -:03063f0002098a23 -:030640000209d7d5 -:03064100020a2783 -:03064200020a7732 -:03064300020ac8e0 -:03064400020b1b8b -:03064500020b6f36 -:03064600020bc4e0 -:03064700020c1a88 -:03064800020c722f -:03064900020ccad6 -:03064a00020d247a -:03064b00020d7f1e -:03064c00020ddcc0 -:03064d00020e3961 -:03064e00020e9801 -:03064f00020ef8a0 -:03065000020f593d -:03065100020fbbda -:0306520002101f74 -:030653000210840e -:030654000210e9a8 -:030655000211513e -:030656000211b9d5 -:030657000212226a -:0306580002128dfe -:030659000212f991 -:03065a0002136622 -:03065b000213d4b3 -:03065c0002144342 -:03065d000214b4d0 -:03065e000215265c -:03065f00021599e8 -:0306600002160d72 -:03066100021682fc -:030662000216f984 -:030663000217700b -:030664000217e991 -:0306650002186315 -:030666000218de99 -:0306670002195b1a -:030668000219d89c -:03066900021a571b -:03066a00021ad79a -:03066b00021b5817 -:03066c00021bda94 -:03066d00021c5e0e -:03066e00021ce289 -:03066f00021d6801 -:03067000021def79 -:03067100021e77ef -:03067200021f0064 -:03067300021f8bd8 -:030674000220164b -:030675000220a3bd -:030676000221312d -:030677000221c09d -:030678000222500b -:030679000222e179 -:03067a00022373e5 -:03067b000224074f -:03067c0002249cb9 -:03067d0002253221 -:03067e000225c989 -:03067f00022661ef -:030680000226fa55 -:03068100022795b8 -:030682000228301b -:030683000228cd7d -:0306840002296bdd -:03068500022a0a3c -:03068600022aaa9b -:03068700022b4bf8 -:03068800022bee54 -:03068900022c91af -:03068a00022d3608 -:03068b00022ddc61 -:03068c00022e82b9 -:03068d00022f2a0f -:03068e00022fd464 -:03068f0002307eb8 -:030690000231290b -:030691000231d65d -:03069200023283ae -:03069300023332fd -:030694000233e24c -:0306950002349399 -:03069600023545e5 -:030697000235f831 -:030698000236ac7b -:03069900023762c3 -:03069a000238180b -:03069b000238d052 -:03069c0002398898 -:03069d00023a42dc -:03069e00023afd20 -:03069f00023bb962 -:0306a000023c76a3 -:0306a100023d34e3 -:0306a200023df323 -:0306a300023eb361 -:0306a400023f759d -:0306a500024037d9 -:0306a6000240fb14 -:0306a7000241bf4e -:0306a80002428586 -:0306a90002434cbd -:0306aa00024413f4 -:0306ab000244dc2a -:0306ac000245a65e -:0306ad0002467191 -:0306ae0002473dc3 -:0306af0002480af4 -:0306b0000248d924 -:0306b1000249a853 -:0306b200024a7881 -:0306b300024b4aad -:0306b400024c1cd9 -:0306b500024cef05 -:0306b600024dc42e -:0306b700024e9a56 -:0306b800024f707e -:0306b900025048a4 -:0306ba00025121c9 -:0306bb000251faef -:0306bc000252d512 -:0306bd000253b134 -:0306be0002548e55 -:0306bf0002556c75 -:0306c00002564a95 -:0306c10002572ab3 -:0306c20002580bd0 -:0306c3000258eded -:0306c4000259d008 -:0306c500025ab422 -:0306c600025b993b -:0306c700025c7f53 -:0306c800025d666a -:0306c900025e4e80 -:0306ca00025f3795 -:0306cb00026022a8 -:0306cc0002610dbb -:0306cd000261f9ce -:0306ce000262e6df -:0306cf000263d4ef -:0306d0000264c3fe -:0306d1000265b30c -:0306d2000266a419 -:0306d30002679625 -:0306d40002688930 -:0306d50002697d3a -:0306d600026a7243 -:0306d700026b684b -:0306d800026c5f52 -:0306d900026d5758 -:0306da00026e4f5e -:0306db00026f4962 -:0306dc0002704465 -:0306dd0002714067 -:0306de0002723c69 -:0306df0002733a69 -:0306e00002743968 -:0306e10002753867 -:0306e20002763964 -:0306e30002773a61 -:0306e40002783d5c -:0306e50002794057 -:0306e600027a4451 -:0306e700027b4a49 -:0306e800027c5041 -:0306e900027d5738 -:0306ea00027e5f2e -:0306eb00027f6823 -:0306ec0002807217 -:0306ed0002817d0a -:0306ee00028289fc -:0306ef00028395ee -:0306f0000284a3de -:0306f1000285b1ce -:0306f2000286c1bc -:0306f3000287d1aa -:0306f4000288e297 -:0306f5000289f483 -:0306f600028b076d -:0306f700028c1b57 -:0306f800028d3040 -:0306f900028e4628 -:0306fa00028f5d0f -:0306fb00029074f6 -:0306fc0002918cdc -:0306fd000292a6c0 -:0306fe000293c0a4 -:0306ff000294db87 -:030700000295f768 -:0307010002971448 -:0307020002983129 -:0307030002995008 -:03070400029a6fe7 -:03070500029b8fc5 -:03070600029cb0a2 -:03070700029dd27e -:03070800029ef559 -:0307090002a01932 -:03070a0002a13d0c -:03070b0002a263e4 -:03070c0002a389bc -:03070d0002a4b093 -:03070e0002a5d869 -:03070f0002a7013d -:0307100002a82a12 -:0307110002a954e6 -:0307120002aa80b8 -:0307130002abac8a -:0307140002acd95b -:0307150002ae062b -:0307160002af35fa -:0307170002b064c9 -:0307180002b19497 -:0307190002b2c564 -:03071a0002b3f730 -:03071b0002b529fb -:03071c0002b65cc6 -:03071d0002b79090 -:03071e0002b8c559 -:03071f0002b9fb21 -:0307200002bb31e8 -:0307210002bc69ae -:0307220002bda174 -:0307230002bed93a -:0307240002c013fd -:0307250002c14dc1 -:0307260002c28884 -:0307270002c3c446 -:0307280002c50106 -:0307290002c63ec7 -:03072a0002c77d86 -:03072b0002c8bb46 -:03072c0002c9fb04 -:03072d0002cb3bc1 -:03072e0002cc7d7d -:03072f0002cdbe3a -:0307300002cf01f4 -:0307310002d044af -:0307320002d18968 -:0307330002d2cd22 -:0307340002d413d9 -:0307350002d55991 -:0307360002d6a048 -:0307370002d7e8fe -:0307380002d930b3 -:0307390002da7968 -:03073a0002dbc31c -:03073b0002dd0ece -:03073c0002de5981 -:03073d0002dfa533 -:03073e0002e0f2e4 -:03073f0002e23f94 -:0307400002e38d44 -:0307410002e4dcf3 -:0307420002e62ba1 -:0307430002e77b4f -:0307440002e8ccfc -:0307450002ea1da8 -:0307460002eb6f54 -:0307470002ecc2ff -:0307480002ee15a9 -:0307490002ef6953 -:03074a0002f0befc -:03074b0002f213a4 -:03074c0002f36a4b -:03074d0002f4c0f3 -:03074e0002f61799 -:03074f0002f76f3f -:0307500002f8c8e4 -:0307510002fa2188 -:0307520002fb7b2c -:0307530002fcd6cf -:0307540002fe3171 -:0307550002ff8c14 -:030756000300e9b4 -:0307570003024654 -:030758000303a3f5 -:0307590003050293 -:03075a0003066033 -:03075b000307c0d1 -:03075c000309206e -:03075d00030a800c -:03075e00030be1a9 -:03075f00030d4344 -:03076000030ea6df -:030761000310087a -:0307620003116c14 -:030763000312d0ae -:0307640003143546 -:0307650003159adf -:0307660003170076 -:030767000318660e -:030768000319cda5 -:03076900031b343b -:03076a00031c9cd1 -:03076b00031e0565 -:03076c00031f6efa -:03076d000320d88e -:03076e0003224221 -:03076f000323adb4 -:0307700003251846 -:03077100032684d8 -:030772000327f06a -:0307730003295dfa -:03077400032aca8b -:03077500032c381a -:03077600032da6aa -:03077700032f1538 -:03077800033085c6 -:030779000331f455 -:03077a00033365e1 -:03077b000334d66e -:03077c00033647fa -:03077d000337b986 -:03077e0003392b11 -:03077f00033a9e9c -:03078000033c1126 -:03078100033d85b0 -:03078200033ef93a -:0307830003406ec2 -:030784000341e34b -:03078500034359d2 -:030786000344cf5a -:03078700034645e1 -:030788000347bc68 -:03078900034934ed -:03078a00034aab74 -:03078b00034c24f8 -:03078c00034d9c7e -:03078d00034f1601 -:03078e0003508f86 -:03078f0003520909 -:030790000353848c -:030791000354fe10 -:0307920003567a91 -:030793000357f514 -:0307940003597195 -:03079500035aee16 -:03079600035c6b96 -:03079700035de817 -:03079800035f6597 -:030799000360e317 -:03079a0003626295 -:03079b000363e114 -:03079c0003656092 -:03079d000366df11 -:03079e0003685f8e -:03079f000369df0c -:0307a000036b6088 -:0307a100036ce105 -:0307a200036e6281 -:0307a300036fe4fd -:0307a40003716678 -:0307a5000372e9f3 -:0307a60003746b6e -:0307a7000375eee9 -:0307a80003777262 -:0307a9000378f5dd -:0307aa00037a7956 -:0307ab00037bfecf -:0307ac00037d8347 -:0307ad00037f08bf -:0307ae0003808d38 -:0307af00038212b0 -:0307b00003839828 -:0307b10003851f9e -:0307b2000386a516 -:0307b30003882c8c -:0307b4000389b303 -:0307b500038b3a79 -:0307b600038cc2ef -:0307b700038e4a64 -:0307b800038fd2da -:0307b90003915b4e -:0307ba000392e3c4 -:0307bb0003946c38 -:0307bc000395f6ac -:0307bd0003977f20 -:0307be0003990993 -:0307bf00039a9307 -:0307c000039c1d7a -:0307c100039da8ed -:0307c200039f335f -:0307c30003a0bed2 -:0307c40003a24944 -:0307c50003a3d4b7 -:0307c60003a56028 -:0307c70003a6ec9a -:0307c80003a8780b -:0307c90003aa047c -:0307ca0003ab91ed -:0307cb0003ad1d5e -:0307cc0003aeaacf -:0307cd0003b0373f -:0307ce0003b1c5af -:0307cf0003b3521f -:0307d00003b4e08f -:0307d10003b66efe -:0307d20003b7fc6e -:0307d30003b98add -:0307d40003bb184c -:0307d50003bca7bb -:0307d60003be3629 -:0307d70003bfc499 -:0307d80003c15406 -:0307d90003c2e375 -:0307da0003c472e3 -:0307db0003c60151 -:0307dc0003c791bf -:0307dd0003c9212c -:0307de0003cab19a -:0307df0003cc4107 -:0307e00003cdd175 -:0307e10003cf61e2 -:0307e20003d0f150 -:0307e30003d282bc -:0307e40003d41229 -:0307e50003d5a396 -:0307e60003d73402 -:0307e70003d8c56f -:0307e80003da56db -:0307e90003dbe748 -:0307ea0003dd78b4 -:0307eb0003df0920 -:0307ec0003e09b8c -:0307ed0003e22cf8 -:0307ee0003e3be64 -:0307ef0003e54fd0 -:0307f00003e6e13c -:0307f10003e872a8 -:0307f20003ea0413 -:0307f30003eb967f -:0307f40003ed28ea -:0307f50003eeba56 -:0307f60003f04bc2 -:0307f70003f1dd2e -:0307f80003f36f99 -:0307f90003f50104 -:0307fa0003f69370 -:0307fb0003f825db -:0307fc0003f9b846 -:0307fd0003fb4ab1 -:0307fe0003fcdc1d -:0307ff0003fe6e88 -:00000001ff diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/freq_shift_half_subband_2048x16_im_re.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/freq_shift_half_subband_2048x16_im_re.hex deleted file mode 100644 index 19547d8205c37b81f704cafae5ac8ba4fd17beaf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/freq_shift_half_subband_2048x16_im_re.hex +++ /dev/null @@ -1,2049 +0,0 @@ -:0400000000007FFF7E -:0400010000657FFF18 -:0400020000C97FFEB4 -:04000300012E7FFE4D -:0400040001927FFDE9 -:0400050001F77FFB85 -:04000600025B7FF921 -:0400070002C07FF7BD -:0400080003247FF559 -:0400090003897FF3F5 -:04000A0003ED7FF093 -:04000B0004527FEC30 -:04000C0004B67FE9CE -:04000D00051B7FE56B -:04000E00057F7FE10A -:04000F0005E37FDCAA -:0400100006487FD847 -:0400110006AC7FD2E8 -:0400120007117FCD86 -:0400130007757FC727 -:0400140007D97FC1C8 -:04001500083E7FBB67 -:0400160008A27FB409 -:0400170009067FADAA -:04001800096A7FA64C -:0400190009CF7F9FED -:04001A000A337F978F -:04001B000A977F8F32 -:04001C000AFB7F86D6 -:04001D000B5F7F7D79 -:04001E000BC47F741C -:04001F000C287F6BBF -:040020000C8C7F6164 -:040021000CF07F5709 -:040022000D547F4DAD -:040023000DB87F4253 -:040024000E1C7F37F8 -:040025000E807F2C9E -:040026000EE37F2145 -:040027000F477F15EB -:040028000FAB7F0992 -:04002900100F7EFC3A -:04002A0010727EEFE3 -:04002B0010D67EE28B -:04002C00113A7ED532 -:04002D00119D7EC7DC -:04002E0012017EB984 -:04002F0012647EAB2E -:0400300012C87E9CD8 -:04003100132B7E8D82 -:04003200138F7E7E2C -:0400330013F27E6FD7 -:0400340014557E5F82 -:0400350014B97E4F2D -:04003600151C7E3ED9 -:04003700157F7E2E85 -:0400380015E27E1D32 -:0400390016457E0BDF -:04003A0016A87DFA8D -:04003B00170B7DE83A -:04003C00176E7DD5E9 -:04003D0017D07DC398 -:04003E0018337DB046 -:04003F0018967D9DF5 -:0400400018F97D89A5 -:04004100195B7D7654 -:0400420019BE7D6204 -:040043001A207D4DB5 -:040044001A827D3966 -:040045001AE57D2417 -:040046001B477D0EC9 -:040047001BA97CF97C -:040048001C0B7CE32E -:040049001C6D7CCDE1 -:04004A001CCF7CB695 -:04004B001D317C9F48 -:04004C001D937C88FC -:04004D001DF57C71B0 -:04004E001E577C5964 -:04004F001EB87C411A -:040050001F1A7C29CE -:040051001F7B7C1085 -:040052001FDD7BF83B -:04005300203E7BDEF2 -:04005400209F7BC5A9 -:0400550021007BAB60 -:0400560021617B9118 -:0400570021C27B77D0 -:0400580022237B5C88 -:0400590022847B4141 -:04005A0022E57B26FA -:04005B0023467B0AB3 -:04005C0023A67AEE6F -:04005D0024077AD228 -:04005E0024677AB6E3 -:04005F0024C87A999E -:0400600025287A7C59 -:0400610025887A5F15 -:0400620025E87A41D2 -:0400630026487A238E -:0400640026A87A054B -:04006500270879E609 -:04006600276779C8C7 -:0400670027C779A985 -:040068002826798944 -:040069002886796A02 -:04006A0028E5794AC2 -:04006B002944792982 -:04006C0029A3790942 -:04006D002A0278E803 -:04006E002A6178C7C4 -:04006F002AC078A586 -:040070002B1F788446 -:040071002B7D786209 -:040072002BDC783FCC -:040073002C3A781D8E -:040074002C9977FA52 -:040075002CF777D716 -:040076002D5577B3DA -:040077002DB3778F9F -:040078002E11776B63 -:040079002E6E774729 -:04007A002ECC7722EF -:04007B002F2A76FEB4 -:04007C002F8776D87C -:04007D002FE476B343 -:04007E003041768D0A -:04007F00309E7667D2 -:0400800030FB76419A -:040081003158761A62 -:0400820031B575F32C -:04008300321175CCF5 -:04008400326E75A5BE -:0400850032CA757D89 -:040086003326755553 -:040087003383752D1D -:0400880033DF7504E9 -:04008900343A74DBB6 -:04008A00349674B282 -:04008B0034F274884F -:04008C00354D745F1B -:04008D0035A87435E9 -:04008E003604740AB6 -:04008F00365F73E085 -:0400900036BA73B554 -:040091003715738A22 -:04009200376F735EF3 -:0400930037CA7333C2 -:040094003824730792 -:04009500387E72DB64 -:0400960038D972AE35 -:040097003933728106 -:04009800398C7254D9 -:0400990039E67227AB -:04009A003A4071F97E -:04009B003A9971CB52 -:04009C003AF2719D26 -:04009D003B4C716FF8 -:04009E003BA57140CD -:04009F003BFE7111A2 -:0400A0003C5670E278 -:0400A1003CAF70B24E -:0400A2003D07708323 -:0400A3003D607053F9 -:0400A4003DB87022D1 -:0400A5003E106FF2A8 -:0400A6003E686FC180 -:0400A7003EBF6F9059 -:0400A8003F176F5E31 -:0400A9003F6E6F2C0B -:0400AA003FC56EFBE5 -:0400AB00401D6EC8BE -:0400AC0040736E9699 -:0400AD0040CA6E6374 -:0400AE0041216E304E -:0400AF0041776DFD2B -:0400B00041CE6DC907 -:0400B10042246D95E3 -:0400B200427A6D61C0 -:0400B30042D06D2D9D -:0400B40043256CF87C -:0400B500437B6CC35A -:0400B60043D06C8E39 -:0400B70044256C5917 -:0400B800447A6C23F7 -:0400B90044CF6BEDD8 -:0400BA0045246BB7B7 -:0400BB0045786B8198 -:0400BC0045CD6B4A79 -:0400BD0046216B135A -:0400BE0046756ADC3D -:0400BF0046C96AA420 -:0400C000471C6A6D02 -:0400C10047706A35E5 -:0400C20047C369FDCA -:0400C300481669C4AE -:0400C4004869698B93 -:0400C50048BC695278 -:0400C600490F69195C -:0400C700496168E043 -:0400C80049B468A629 -:0400C9004A06686C0F -:0400CA004A586832F6 -:0400CB004AA967F7E0 -:0400CC004AFB67BCC8 -:0400CD004B4C6781B0 -:0400CE004B9D674699 -:0400CF004BEE670A83 -:0400D0004C3F66CF6C -:0400D1004C90669356 -:0400D2004CE0665642 -:0400D3004D31661A2B -:0400D4004D8165DD18 -:0400D5004DD165A004 -:0400D6004E206563F0 -:0400D7004E706525DD -:0400D8004EBF64E8CB -:0400D9004F0E64AAB8 -:0400DA004F5D646CA6 -:0400DB004FAC642D95 -:0400DC004FFB63EE85 -:0400DD00504963AF74 -:0400DE005097637064 -:0400DF0050E5633154 -:0400E000513362F145 -:0400E100518162B136 -:0400E20051CE627128 -:0400E300521B623119 -:0400E400526861F00D -:0400E50052B561AF00 -:0400E6005302616EF2 -:0400E700534E612DE6 -:0400E800539B60EBDB -:0400E90053E760AACF -:0400EA0054326068C4 -:0400EB00547E6025BA -:0400EC0054C95FE3B1 -:0400ED0055155FA0A6 -:0400EE0055605F5D9D -:0400EF0055AA5F1A95 -:0400F00055F55ED78D -:0400F100563F5E9385 -:0400F200568A5E4F7D -:0400F30056D35E0B77 -:0400F400571D5DC770 -:0400F50057675D826A -:0400F60057B05D3E64 -:0400F70057F95CF960 -:0400F80058425CB35B -:0400F900588B5C6E56 -:0400FA0058D35C2853 -:0400FB00591C5BE24F -:0400FC0059645B9C4C -:0400FD0059AC5B5649 -:0400FE0059F35B0F48 -:0400FF005A3B5AC945 -:040100005A825A8243 -:040101005AC95A3B42 -:040102005B0F59F343 -:040103005B5659AC42 -:040104005B9C596443 -:040105005BE2591C44 -:040106005C2858D346 -:040107005C6E588B47 -:040108005CB358424A -:040109005CF957F94D -:04010A005D3E57B04F -:04010B005D82576753 -:04010C005DC7571D57 -:04010D005E0B56D35C -:04010E005E4F568A60 -:04010F005E93563F66 -:040110005ED755F56C -:040111005F1A55AA72 -:040112005F5D556078 -:040113005FA055157F -:040114005FE354C988 -:040115006025547E8F -:040116006068543297 -:0401170060AA53E7A0 -:0401180060EB539BAA -:04011900612D534EB3 -:04011A00616E5302BD -:04011B0061AF52B5C9 -:04011C0061F05268D4 -:04011D006231521BDE -:04011E00627151CEEB -:04011F0062B15181F7 -:0401200062F1513304 -:04012100633150E511 -:04012200637050971F -:0401230063AF50492D -:0401240063EE4FFB3C -:04012500642D4FAC4A -:04012600646C4F5D59 -:0401270064AA4F0E69 -:0401280064E84EBF7A -:0401290065254E708A -:04012A0065634E209B -:04012B0065A04DD1AD -:04012C0065DD4D81BF -:04012D00661A4D31D0 -:04012E0066564CE0E5 -:04012F0066934C90F7 -:0401300066CF4C3F0B -:04013100670A4BEE20 -:0401320067464B9D34 -:0401330067814B4C49 -:0401340067BC4AFB5F -:0401350067F74AA975 -:0401360068324A5889 -:04013700686C4A06A0 -:0401380068A649B4B8 -:0401390068E04961D0 -:04013A006919490FE7 -:04013B00695248BC01 -:04013C00698B48691A -:04013D0069C4481633 -:04013E0069FD47C34D -:04013F006A35477066 -:040140006A6D471C81 -:040141006AA446C99D -:040142006ADC4675B8 -:040143006B134621D3 -:040144006B4A45CDF0 -:040145006B8145780D -:040146006BB745242A -:040147006BED44CF49 -:040148006C23447A66 -:040149006C59442584 -:04014A006C8E43D0A4 -:04014B006CC3437BC3 -:04014C006CF84325E3 -:04014D006D2D42D002 -:04014E006D61427A23 -:04014F006D95422444 -:040150006DC941CE66 -:040151006DFD417788 -:040152006E304121A9 -:040153006E6340CACD -:040154006E964073F0 -:040155006EC8401D13 -:040156006EFB3FC538 -:040157006F2C3F6E5C -:040158006F5E3F1780 -:040159006F903EBFA6 -:04015A006FC13E68CB -:04015B006FF23E10F1 -:04015C0070223DB818 -:04015D0070533D603E -:04015E0070833D0766 -:04015F0070B23CAF8F -:0401600070E23C56B7 -:0401610071113BFEDF -:0401620071403BA508 -:04016300716F3B4C31 -:04016400719D3AF25D -:0401650071CB3A9987 -:0401660071F93A40B1 -:04016700722739E6DC -:040168007254398C08 -:040169007281393333 -:04016A0072AE38D960 -:04016B0072DB387E8D -:04016C0073073824B9 -:04016D00733337CAE7 -:04016E00735E376F16 -:04016F00738A371543 -:0401700073B536BA73 -:0401710073E0365FA2 -:04017200740A3604D1 -:04017300743535A802 -:04017400745F354D32 -:04017500748834F264 -:0401760074B2349695 -:0401770074DB343AC7 -:04017800750433DFF8 -:04017900752D33832A -:04017A00755533265E -:04017B00757D32CA92 -:04017C0075A5326EC5 -:04017D0075CC3211FA -:04017E0075F331B52F -:04017F00761A315863 -:04018000764130FB99 -:040181007667309ECF -:04018200768D304105 -:0401830076B32FE43C -:0401840076D82F8773 -:0401850076FE2F2AA9 -:0401860077222ECCE2 -:0401870077472E6E1A -:04018800776B2E1152 -:04018900778F2DB38C -:04018A0077B32D55C5 -:04018B0077D72CF7FF -:04018C0077FA2C9939 -:04018D00781D2C3A73 -:04018E00783F2BDCAF -:04018F0078622B7DEA -:0401900078842B1F25 -:0401910078A52AC063 -:0401920078C72A619F -:0401930078E82A02DC -:04019400790929A319 -:040195007929294457 -:04019600794A28E595 -:04019700796A2886D3 -:040198007989282613 -:0401990079A927C752 -:04019A0079C8276792 -:04019B0079E62708D2 -:04019C007A0526A812 -:04019D007A23264853 -:04019E007A4125E895 -:04019F007A5F2588D6 -:0401A0007A7C252818 -:0401A1007A9924C85B -:0401A2007AB624679E -:0401A3007AD22407E1 -:0401A4007AEE23A626 -:0401A5007B0A234668 -:0401A6007B2622E5AD -:0401A7007B412284F2 -:0401A8007B5C222337 -:0401A9007B7721C27D -:0401AA007B912161C3 -:0401AB007BAB210009 -:0401AC007BC5209F50 -:0401AD007BDE203E97 -:0401AE007BF81FDDDE -:0401AF007C101F7B26 -:0401B0007C291F1A6D -:0401B1007C411EB8B7 -:0401B2007C591E57FF -:0401B3007C711DF549 -:0401B4007C881D9393 -:0401B5007C9F1D31DD -:0401B6007CB61CCF28 -:0401B7007CCD1C6D72 -:0401B8007CE31C0BBD -:0401B9007CF91BA909 -:0401BA007D0E1B4754 -:0401BB007D241AE5A0 -:0401BC007D391A82ED -:0401BD007D4D1A203A -:0401BE007D6219BE87 -:0401BF007D76195BD5 -:0401C0007D8918F924 -:0401C1007D9D189672 -:0401C2007DB01833C1 -:0401C3007DC317D011 -:0401C4007DD5176E60 -:0401C5007DE8170BAF -:0401C6007DFA16A800 -:0401C7007E0B164550 -:0401C8007E1D15E2A1 -:0401C9007E2E157FF2 -:0401CA007E3E151C44 -:0401CB007E4F14B996 -:0401CC007E5F1455E9 -:0401CD007E6F13F23C -:0401CE007E7E138F8F -:0401CF007E8D132BE3 -:0401D0007E9C12C837 -:0401D1007EAB12648B -:0401D2007EB91201DF -:0401D3007EC7119D35 -:0401D4007ED5113A89 -:0401D5007EE210D6E0 -:0401D6007EEF107236 -:0401D7007EFC100F8B -:0401D8007F090FABE1 -:0401D9007F150F4738 -:0401DA007F210EE390 -:0401DB007F2C0E80E7 -:0401DC007F370E1C3F -:0401DD007F420DB898 -:0401DE007F4D0D54F0 -:0401DF007F570CF04A -:0401E0007F610C8CA3 -:0401E1007F6B0C28FC -:0401E2007F740BC457 -:0401E3007F7D0B5FB2 -:0401E4007F860AFB0D -:0401E5007F8F0A9767 -:0401E6007F970A33C2 -:0401E7007F9F09CF1E -:0401E8007FA6096A7B -:0401E9007FAD0906D7 -:0401EA007FB408A234 -:0401EB007FBB083E90 -:0401EC007FC107D9EF -:0401ED007FC707754C -:0401EE007FCD0711A9 -:0401EF007FD206AC09 -:0401F0007FD8064866 -:0401F1007FDC05E3C7 -:0401F2007FE1057F25 -:0401F3007FE5051B84 -:0401F4007FE904B6E5 -:0401F5007FEC045245 -:0401F6007FF003EDA6 -:0401F7007FF3038906 -:0401F8007FF5032468 -:0401F9007FF702C0CA -:0401FA007FF9025B2C -:0401FB007FFB01F78E -:0401FC007FFD0192F0 -:0401FD007FFE012E52 -:0401FE007FFE00C9B7 -:0401FF007FFF006519 -:040200007FFF00007C -:040201007FFFFF9BE1 -:040202007FFEFF3745 -:040203007FFEFED2AA -:040204007FFDFE6E0E -:040205007FFBFE0974 -:040206007FF9FDA5DA -:040207007FF7FD4040 -:040208007FF5FCDCA6 -:040209007FF3FC770C -:04020A007FF0FC1372 -:04020B007FECFBAEDB -:04020C007FE9FB4A41 -:04020D007FE5FAE5AA -:04020E007FE1FA8111 -:04020F007FDCFA1D79 -:040210007FD8F9B8E2 -:040211007FD2F9544B -:040212007FCDF8EFB5 -:040213007FC7F88B1E -:040214007FC1F82787 -:040215007FBBF7C2F2 -:040216007FB4F75E5C -:040217007FADF6FAC7 -:040218007FA6F69631 -:040219007F9FF6319C -:04021A007F97F5CD08 -:04021B007F8FF56973 -:04021C007F86F505DF -:04021D007F7DF4A14C -:04021E007F74F43CB9 -:04021F007F6BF3D826 -:040220007F61F37493 -:040221007F57F31000 -:040222007F4DF2AC6E -:040223007F42F248DC -:040224007F37F1E44B -:040225007F2CF180B9 -:040226007F21F11D26 -:040227007F15F0B996 -:040228007F09F05505 -:040229007EFCEFF177 -:04022A007EEFEF8EE6 -:04022B007EE2EF2A56 -:04022C007ED5EEC6C7 -:04022D007EC7EE6337 -:04022E007EB9EDFFA9 -:04022F007EABED9C19 -:040230007E9CED388B -:040231007E8DECD5FD -:040232007E7EEC716F -:040233007E6FEC0EE0 -:040234007E5FEBAB53 -:040235007E4FEB47C6 -:040236007E3EEAE43A -:040237007E2EEA81AC -:040238007E1DEA1E1F -:040239007E0BE9BB94 -:04023A007DFAE95808 -:04023B007DE8E8F57D -:04023C007DD5E892F2 -:04023D007DC3E83065 -:04023E007DB0E7CDDB -:04023F007D9DE76A50 -:040240007D89E707C6 -:040241007D76E6A53B -:040242007D62E642B1 -:040243007D4DE5E028 -:040244007D39E57E9D -:040245007D24E51B14 -:040246007D0EE4B98C -:040247007CF9E45703 -:040248007CE3E3F57B -:040249007CCDE393F2 -:04024A007CB6E3316A -:04024B007C9FE2CFE3 -:04024C007C88E26D5B -:04024D007C71E20BD3 -:04024E007C59E1A94D -:04024F007C41E148C5 -:040250007C29E0E63F -:040251007C10E085B8 -:040252007BF8E02332 -:040253007BDEDFC2AD -:040254007BC5DF6126 -:040255007BABDF00A0 -:040256007B91DE9F1B -:040257007B77DE3E95 -:040258007B5CDDDD11 -:040259007B41DD7C8C -:04025A007B26DD1B07 -:04025B007B0ADCBA84 -:04025C007AEEDC5A00 -:04025D007AD2DBF97D -:04025E007AB6DB99F8 -:04025F007A99DB3875 -:040260007A7CDAD8F2 -:040261007A5FDA786E -:040262007A41DA18EB -:040263007A23D9B869 -:040264007A05D958E6 -:0402650079E6D8F866 -:0402660079C8D899E2 -:0402670079A9D83960 -:040268007989D7DADF -:04026900796AD77A5D -:04026A00794AD71BDB -:04026B007929D6BC5B -:04026C007909D65DD9 -:04026D0078E8D5FE5A -:04026E0078C7D59FD9 -:04026F0078A5D54059 -:040270007884D4E1D9 -:040271007862D48358 -:04027200783FD424D9 -:04027300781DD3C659 -:0402740077FAD367DB -:0402750077D7D3095B -:0402760077B3D2ABDD -:04027700778FD24D5E -:04027800776BD1EFE0 -:040279007747D19260 -:04027A007722D134E2 -:04027B0076FED0D665 -:04027C0076D8D079E7 -:04027D0076B3D01C68 -:04027E00768DCFBFEB -:04027F007667CF626D -:040280007641CF05EF -:04028100761ACEA873 -:0402820075F3CE4BF7 -:0402830075CCCDEF7A -:0402840075A5CD92FD -:04028500757DCD3680 -:040286007555CCDA04 -:04028700752DCC7D88 -:040288007504CC210C -:0402890074DBCBC691 -:04028A0074B2CB6A15 -:04028B007488CB0E9A -:04028C00745FCAB31E -:04028D007435CA58A2 -:04028E00740AC9FC29 -:04028F0073E0C9A1AE -:0402900073B5C94633 -:04029100738AC8EBB9 -:04029200735EC8913E -:040293007333C836C3 -:040294007307C7DC49 -:0402950072DBC782CF -:0402960072AEC72756 -:040297007281C6CDDD -:040298007254C67462 -:040299007227C61AE8 -:04029A0071F9C5C071 -:04029B0071CBC567F7 -:04029C00719DC50E7D -:04029D00716FC4B405 -:04029E007140C45B8C -:04029F007111C40213 -:0402A00070E2C3AA9B -:0402A10070B2C35123 -:0402A2007083C2F9AA -:0402A3007053C2A032 -:0402A4007022C248BA -:0402A5006FF2C1F043 -:0402A6006FC1C198CB -:0402A7006F90C14152 -:0402A8006F5EC0E9DC -:0402A9006F2CC09264 -:0402AA006EFBC03BEC -:0402AB006EC8BFE377 -:0402AC006E96BF8DFE -:0402AD006E63BF3687 -:0402AE006E30BEDF11 -:0402AF006DFDBE899A -:0402B0006DC9BE3224 -:0402B1006D95BDDCAE -:0402B2006D61BD8637 -:0402B3006D2DBD30C0 -:0402B4006CF8BCDB4B -:0402B5006CC3BC85D5 -:0402B6006C8EBC305E -:0402B7006C59BBDBE8 -:0402B8006C23BB8672 -:0402B9006BEDBB31FD -:0402BA006BB7BADC88 -:0402BB006B81BA8811 -:0402BC006B4ABA339C -:0402BD006B13B9DF27 -:0402BE006ADCB98BB2 -:0402BF006AA4B9373D -:0402C0006A6DB8E4C7 -:0402C1006A35B89052 -:0402C20069FDB83DDD -:0402C30069C4B7EA69 -:0402C400698BB797F4 -:0402C5006952B7447F -:0402C6006919B6F10B -:0402C70068E0B69F96 -:0402C80068A6B64C22 -:0402C900686CB5FAAE -:0402CA006832B5A839 -:0402CB0067F7B557C5 -:0402CC0067BCB50551 -:0402CD006781B4B4DD -:0402CE006746B46368 -:0402CF00670AB412F4 -:0402D00066CFB3C181 -:0402D1006693B3700D -:0402D2006656B32099 -:0402D300661AB2CF26 -:0402D40065DDB27FB3 -:0402D50065A0B22F3F -:0402D6006563B1E0CB -:0402D7006525B19058 -:0402D80064E8B141E4 -:0402D90064AAB0F271 -:0402DA00646CB0A3FD -:0402DB00642DB0548A -:0402DC0063EEB00518 -:0402DD0063AFAFB7A5 -:0402DE006370AF6931 -:0402DF006331AF1BBD -:0402E00062F1AECD4C -:0402E10062B1AE7FD9 -:0402E2006271AE3265 -:0402E3006231ADE5F2 -:0402E40061F0AD9880 -:0402E50061AFAD4B0D -:0402E600616EACFE9B -:0402E700612DACB227 -:0402E80060EBAC65B6 -:0402E90060AAAC1942 -:0402EA006068ABCECF -:0402EB006025AB825D -:0402EC005FE3AB37EA -:0402ED005FA0AAEB79 -:0402EE005F5DAAA006 -:0402EF005F1AAA5692 -:0402F0005ED7AA0B20 -:0402F1005E93A9C1AE -:0402F2005E4FA9763C -:0402F3005E0BA92DC8 -:0402F4005DC7A8E357 -:0402F5005D82A899E5 -:0402F6005D3EA85071 -:0402F7005CF9A807FF -:0402F8005CB3A7BE8E -:0402F9005C6EA7751B -:0402FA005C28A72DA8 -:0402FB005BE2A6E438 -:0402FC005B9CA69CC5 -:0402FD005B56A65452 -:0402FE005B0FA60DDF -:0402FF005AC9A5C56E -:040300005A82A57EFA -:040301005A3BA53787 -:0403020059F3A4F116 -:0403030059ACA4AAA3 -:040304005964A46430 -:04030500591CA41EBD -:0403060058D3A3D84D -:04030700588BA392DA -:040308005842A34D67 -:0403090057F9A307F6 -:04030A0057B0A2C284 -:04030B005767A27E10 -:04030C00571DA2399E -:04030D0056D3A1F52D -:04030E00568AA1B1B9 -:04030F00563FA16D47 -:0403100055F5A129D5 -:0403110055AAA0E663 -:040312005560A0A3EF -:040313005515A0607C -:0403140054C9A01D0B -:04031500547E9FDB98 -:0403160054329F9826 -:0403170053E79F56B3 -:04031800539B9F153F -:04031900534E9ED3CE -:04031A0053029E925A -:04031B0052B59E51E8 -:04031C0052689E1075 -:04031D00521B9DCF03 -:04031E0051CE9D8F90 -:04031F0051819D4F1C -:0403200051339D0FA9 -:0403210050E59CCF38 -:0403220050979C90C4 -:0403230050499C5150 -:040324004FFB9C12DD -:040325004FAC9BD36B -:040326004F5D9B94F8 -:040327004F0E9B5684 -:040328004EBF9B1811 -:040329004E709ADB9D -:04032A004E209A9D2A -:04032B004DD19A60B6 -:04032C004D819A2342 -:04032D004D3199E6CF -:04032E004CE099AA5C -:04032F004C90996DE8 -:040330004C3F993174 -:040331004BEE98F601 -:040332004B9D98BA8D -:040333004B4C987F18 -:040334004AFB9844A4 -:040335004AA9980930 -:040336004A5897CEBC -:040337004A06979447 -:0403380049B4975AD3 -:04033900496197205F -:04033A00490F96E7EA -:04033B0048BC96AE76 -:04033C004869967501 -:04033D004816963C8C -:04033E0047C3960318 -:04033F00477095CBA3 -:04034000471C95932E -:0403410046C9955CB8 -:040342004675952443 -:04034300462194EDCE -:0403440045CD94B659 -:040345004578947FE4 -:04034600452494496D -:0403470044CF9413F8 -:04034800447A93DD83 -:04034900442593A70D -:04034A0043D0937297 -:04034B00437B933D20 -:04034C0043259308AA -:04034D0042D092D335 -:04034E00427A929FBE -:04034F004224926B47 -:0403500041CE9237D1 -:04035100417792035B -:04035200412191D0E4 -:0403530040CA919D6E -:040354004073916AF7 -:04035500401D91387E -:040356003FC5910509 -:040357003F6E90D491 -:040358003F1790A219 -:040359003EBF9070A3 -:04035A003E68903F2A -:04035B003E10900EB2 -:04035C003DB88FDE3B -:04035D003D608FADC3 -:04035E003D078F7D4B -:04035F003CAF8F4ED2 -:040360003C568F1E5A -:040361003BFE8EEFE2 -:040362003BA58EC069 -:040363003B4C8E91F0 -:040364003AF28E6378 -:040365003A998E35FE -:040366003A408E0784 -:0403670039E68DD90D -:04036800398C8DAC93 -:0403690039338D7F18 -:04036A0038D98D529F -:04036B00387E8D2526 -:04036C0038248CF9AC -:04036D0037CA8CCD32 -:04036E00376F8CA2B7 -:04036F0037158C763C -:0403700036BA8C4BC2 -:04037100365F8C2047 -:0403720036048BF6CC -:0403730035A88BCB53 -:04037400354D8BA1D7 -:0403750034F28B785B -:0403760034968B4EE0 -:04037700343A8B2564 -:0403780033DF8AFCE9 -:0403790033838AD36D -:04037A0033268AABF1 -:04037B0032CA8A8375 -:04037C00326E8A5BF8 -:04037D0032118A347B -:04037E0031B58A0DFE -:04037F00315889E682 -:0403800030FB89BF06 -:04038100309E899988 -:04038200304189730A -:040383002FE4894D8D -:040384002F8789280E -:040385002F2A890290 -:040386002ECC88DE13 -:040387002E6E88B995 -:040388002E11889515 -:040389002DB3887197 -:04038A002D55884D18 -:04038B002CF788299A -:04038C002C9988061A -:04038D002C3A87E39C -:04038E002BDC87C11C -:04038F002B7D879E9D -:040390002B1F877C1C -:040391002AC0875B9C -:040392002A6187391C -:040393002A0287189B -:0403940029A386F71C -:04039500294486D79A -:0403960028E586B61A -:040397002886869698 -:040398002826867716 -:0403990027C7865795 -:04039A002767863813 -:04039B002708861A8F -:04039C0026A885FB0F -:04039D00264885DD8C -:04039E0025E885BF0A -:04039F00258885A187 -:0403A0002528858403 -:0403A10024C8856780 -:0403A2002467854AFD -:0403A3002407852E78 -:0403A40023A68512F5 -:0403A500234684F671 -:0403A60022E584DAEE -:0403A700228484BF69 -:0403A800222384A4E4 -:0403A90021C2848960 -:0403AA002161846FDA -:0403AB002100845554 -:0403AC00209F843BCF -:0403AD00203E842248 -:0403AE001FDD8408C3 -:0403AF001F7B83F03D -:0403B0001F1A83D7B6 -:0403B1001EB883BF30 -:0403B2001E5783A7A8 -:0403B3001DF5838F22 -:0403B4001D9383789A -:0403B5001D31836112 -:0403B6001CCF834A8B -:0403B7001C6D833303 -:0403B8001C0B831D7A -:0403B9001BA98307F2 -:0403BA001B4782F269 -:0403BB001AE582DCE1 -:0403BC001A8282C758 -:0403BD001A2082B3CD -:0403BE0019BE829E44 -:0403BF00195B828ABA -:0403C00018F982772F -:0403C10018968263A5 -:0403C200183382501A -:0403C30017D0823D90 -:0403C400176E822B03 -:0403C500170B821878 -:0403C60016A88206ED -:0403C700164581F561 -:0403C80015E281E3D6 -:0403C900157F81D249 -:0403CA00151C81C2BB -:0403CB0014B981B12F -:0403CC00145581A1A2 -:0403CD0013F2819115 -:0403CE00138F818286 -:0403CF00132B8173F8 -:0403D00012C881646A -:0403D10012648155DC -:0403D200120181474C -:0403D300119D8139BE -:0403D400113A812B2E -:0403D50010D6811E9F -:0403D600107281110F -:0403D700100F81047E -:0403D8000FAB80F7F0 -:0403D9000F4780EB5F -:0403DA000EE380DFCF -:0403DB000E8080D43C -:0403DC000E1C80C9AA -:0403DD000DB880BE19 -:0403DE000D5480B387 -:0403DF000CF080A9F5 -:0403E0000C8C809F62 -:0403E1000C288095CF -:0403E2000BC4808C3C -:0403E3000B5F8083A9 -:0403E4000AFB807A16 -:0403E5000A97807182 -:0403E6000A338069ED -:0403E70009CF806159 -:0403E800096A805AC4 -:0403E900090680532E -:0403EA0008A2804C99 -:0403EB00083E804503 -:0403EC0007D9803F6E -:0403ED0007758039D7 -:0403EE000711803340 -:0403EF0006AC802EAA -:0403F0000648802813 -:0403F10005E380247C -:0403F200057F801FE4 -:0403F300051B801B4B -:0403F40004B68017B4 -:0403F500045280141A -:0403F60003ED801083 -:0403F7000389800DE9 -:0403F8000324800B4F -:0403F90002C08009B5 -:0403FA00025B80071B -:0403FB0001F7800581 -:0403FC0001928003E7 -:0403FD00012E80024B -:0403FE0000C98002B0 -:0403FF000065800114 -:040400000000800177 -:04040100FF9B8001DC -:04040200FF3780023E -:04040300FED28002A3 -:04040400FE6E800305 -:04040500FE09800567 -:04040600FDA58007C9 -:04040700FD4080092B -:04040800FCDC800B8D -:04040900FC77800DEF -:04040A00FC1380104F -:04040B00FBAE8014B0 -:04040C00FB4A801710 -:04040D00FAE5801B71 -:04040E00FA81801FD0 -:04040F00FA1D80242E -:04041000F9B880288F -:04041100F954802EEC -:04041200F8EF80334C -:04041300F88B8039A9 -:04041400F827803F06 -:04041500F7C2804565 -:04041600F75E804CC1 -:04041700F6FA80531E -:04041800F696805A7A -:04041900F6318061D7 -:04041A00F5CD806933 -:04041B00F56980718E -:04041C00F505807AE8 -:04041D00F4A1808343 -:04041E00F43C808C9E -:04041F00F3D88095F9 -:04042000F374809F52 -:04042100F31080A9AB -:04042200F2AC80B305 -:04042300F24880BE5D -:04042400F1E480C9B6 -:04042500F18080D40E -:04042600F11D80DF65 -:04042700F0B980EBBD -:04042800F05580F714 -:04042900EFF181046A -:04042A00EF8E8111BF -:04042B00EF2A811E15 -:04042C00EEC6812B6C -:04042D00EE638139C0 -:04042E00EDFF814716 -:04042F00ED9C81556A -:04043000ED388164BE -:04043100ECD5817312 -:04043200EC71818266 -:04043300EC0E8191B9 -:04043400EBAB81A10C -:04043500EB4781B15F -:04043600EAE481C2B1 -:04043700EA8181D203 -:04043800EA1E81E354 -:04043900E9BB81F5A5 -:04043A00E9588206F5 -:04043B00E8F5821846 -:04043C00E892822B95 -:04043D00E830823DE4 -:04043E00E7CD825034 -:04043F00E76A826383 -:04044000E7078277D1 -:04044100E6A5828A20 -:04044200E642829E6E -:04044300E5E082B3BB -:04044400E57E82C708 -:04044500E51B82DC55 -:04044600E4B982F2A1 -:04044700E4578307EC -:04044800E3F5831D38 -:04044900E393833383 -:04044A00E331834ACD -:04044B00E2CF836118 -:04044C00E26D837862 -:04044D00E20B838FAC -:04044E00E1A983A7F6 -:04044F00E14883BF3E -:04045000E0E683D788 -:04045100E08583F0CF -:04045200E023840817 -:04045300DFC284225E -:04045400DF61843BA5 -:04045500DF008455EB -:04045600DE9F846F32 -:04045700DE3E848978 -:04045800DDDD84A4BE -:04045900DD7C84BF03 -:04045A00DD1B84DA48 -:04045B00DCBA84F68D -:04045C00DC5A8512CF -:04045D00DBF9852E14 -:04045E00DB99854A57 -:04045F00DB3885679A -:04046000DAD88584DD -:04046100DA7885A11F -:04046200DA1885BF60 -:04046300D9B885DDA2 -:04046400D95885FBE3 -:04046500D8F8861A23 -:04046600D899863863 -:04046700D8398657A3 -:04046800D7DA8677E2 -:04046900D77A869622 -:04046A00D71B86B660 -:04046B00D6BC86D79E -:04046C00D65D86F7DC -:04046D00D5FE871819 -:04046E00D59F873956 -:04046F00D540875B92 -:04047000D4E1877CD0 -:04047100D483879E0B -:04047200D42487C146 -:04047300D3C687E382 -:04047400D3678806BC -:04047500D3098829F6 -:04047600D2AB884D30 -:04047700D24D887169 -:04047800D1EF8895A3 -:04047900D19288B9DB -:04047A00D13488DE13 -:04047B00D0D689024C -:04047C00D079892882 -:04047D00D01C894DB9 -:04047E00CFBF8973F0 -:04047F00CF62899926 -:04048000CF0589BF5C -:04048100CEA889E692 -:04048200CE4B8A0DC6 -:04048300CDEF8A34FB -:04048400CD928A5B30 -:04048500CD368A8363 -:04048600CCDA8AAB97 -:04048700CC7D8AD3CB -:04048800CC218AFCFD -:04048900CBC68B252E -:04048A00CB6A8B4E60 -:04048B00CB0E8B7891 -:04048C00CAB38BA1C3 -:04048D00CA588BCBF3 -:04048E00C9FC8BF624 -:04048F00C9A18C2053 -:04049000C9468C4B82 -:04049100C8EB8C76B2 -:04049200C8918CA2DF -:04049300C8368CCD0E -:04049400C7DC8CF93C -:04049500C7828D2568 -:04049600C7278D5295 -:04049700C6CD8D7FC2 -:04049800C6748DACED -:04049900C61A8DD919 -:04049A00C5C08E0744 -:04049B00C5678E356E -:04049C00C50E8E6398 -:04049D00C4B48E91C4 -:04049E00C45B8EC0ED -:04049F00C4028EEF16 -:0404A000C3AA8F1E3E -:0404A100C3518F4E66 -:0404A200C2F98F7D8F -:0404A300C2A08FADB7 -:0404A400C2488FDEDD -:0404A500C1F0900E04 -:0404A600C198903F2A -:0404A700C14190704F -:0404A800C0E990A275 -:0404A900C09290D499 -:0404AA00C03B9105BD -:0404AB00BFE39138E2 -:0404AC00BF8D916A05 -:0404AD00BF36919D28 -:0404AE00BEDF91D04C -:0404AF00BE8992036D -:0404B000BE3292378F -:0404B100BDDC926BB1 -:0404B200BD86929FD2 -:0404B300BD3092D3F3 -:0404B400BCDB930812 -:0404B500BC85933D32 -:0404B600BC30937251 -:0404B700BBDB93A771 -:0404B800BB8693DD8F -:0404B900BB319413AC -:0404BA00BADC9449CB -:0404BB00BA88947FE8 -:0404BC00BA3394B605 -:0404BD00B9DF94ED22 -:0404BE00B98B95243D -:0404BF00B937955C58 -:0404C000B8E4959374 -:0404C100B89095CB8F -:0404C200B83D9603A8 -:0404C300B7EA963CC2 -:0404C400B7979675DB -:0404C500B74496AEF4 -:0404C600B6F196E70E -:0404C700B69F972025 -:0404C800B64C975A3D -:0404C900B5FA979455 -:0404CA00B5A897CE6C -:0404CB00B557980980 -:0404CC00B505984496 -:0404CD00B4B4987FAC -:0404CE00B46398BAC1 -:0404CF00B41298F6D5 -:0404D000B3C19931EA -:0404D100B370996DFE -:0404D200B32099AA10 -:0404D300B2CF99E625 -:0404D400B27F9A2336 -:0404D500B22F9A6048 -:0404D600B1E09A9D5A -:0404D700B1909ADB6B -:0404D800B1419B187B -:0404D900B0F29B568C -:0404DA00B0A39B949C -:0404DB00B0549BD3AB -:0404DC00B0059C12B9 -:0404DD00AFB79C51C8 -:0404DE00AF699C90D6 -:0404DF00AF1B9CCFE4 -:0404E000AECD9D0FF1 -:0404E100AE7F9D4FFE -:0404E200AE329D8F0A -:0404E300ADE59DCF17 -:0404E400AD989E1021 -:0404E500AD4B9E512C -:0404E600ACFE9E9238 -:0404E700ACB29ED342 -:0404E800AC659F154B -:0404E900AC199F5655 -:0404EA00ABCE9F985E -:0404EB00AB829FDB66 -:0404EC00AB37A01D6D -:0404ED00AAEBA06076 -:0404EE00AAA0A0A37D -:0404EF00AA56A0E683 -:0404F000AA0BA12989 -:0404F100A9C1A16D8F -:0404F200A976A1B195 -:0404F300A92DA1F599 -:0404F400A8E3A2399E -:0404F500A899A27EA2 -:0404F600A850A2C2A6 -:0404F700A807A307A8 -:0404F800A7BEA34DAB -:0404F900A775A392AE -:0404FA00A72DA3D8AF -:0404FB00A6E4A41EB1 -:0404FC00A69CA464B2 -:0404FD00A654A4AAB3 -:0404FE00A60DA4F1B2 -:0404FF00A5C5A537B3 -:04050000A57EA57EB1 -:04050100A537A5C5B0 -:04050200A4F1A60DAD -:04050300A4AAA654AC -:04050400A464A69CA9 -:04050500A41EA6E4A6 -:04050600A3D8A72DA2 -:04050700A392A7759F -:04050800A34DA7BE9A -:04050900A307A80795 -:04050A00A2C2A85091 -:04050B00A27EA8998B -:04050C00A239A8E385 -:04050D00A1F5A92D7E -:04050E00A1B1A97678 -:04050F00A16DA9C170 -:04051000A129AA0B68 -:04051100A0E6AA5660 -:04051200A0A3AAA058 -:04051300A060AAEB4F -:04051400A01DAB3744 -:040515009FDBAB823B -:040516009F98ABCE31 -:040517009F56AC1926 -:040518009F15AC651A -:040519009ED3ACB20F -:04051A009E92ACFE03 -:04051B009E51AD4BF5 -:04051C009E10AD98E8 -:04051D009DCFADE5DC -:04051E009D8FAE32CD -:04051F009D4FAE7FBF -:040520009D0FAECDB0 -:040521009CCFAF1BA1 -:040522009C90AF6991 -:040523009C51AFB781 -:040524009C12B00570 -:040525009BD3B05460 -:040526009B94B0A34F -:040527009B56B0F23D -:040528009B18B1412A -:040529009ADBB19018 -:04052A009A9DB1E005 -:04052B009A60B22FF1 -:04052C009A23B27FDD -:04052D0099E6B2CFCA -:04052E0099AAB320B3 -:04052F00996DB3709F -:040530009931B3C189 -:0405310098F6B41272 -:0405320098BAB4635C -:04053300987FB4B445 -:040534009844B5052D -:040535009809B55715 -:0405360097CEB5A8FF -:040537009794B5FAE6 -:04053800975AB64CCC -:040539009720B69FB2 -:04053A0096E7B6F199 -:04053B0096AEB7447D -:04053C009675B79762 -:04053D00963CB7EA47 -:04053E009603B83D2B -:04053F0095CBB89010 -:040540009593B8E4F3 -:04054100955CB937D5 -:040542009524B98BB8 -:0405430094EDB9DF9B -:0405440094B6BA337C -:04054500947FBA885D -:040546009449BADC3E -:040547009413BB311D -:0405480093DDBB86FE -:0405490093A7BBDBDE -:04054A009372BC30BC -:04054B00933DBC859B -:04054C009308BCDB79 -:04054D0092D3BD3058 -:04054E00929FBD8635 -:04054F00926BBDDC12 -:040550009237BE32EE -:040551009203BE89CA -:0405520091D0BEDFA7 -:04055300919DBF3681 -:04055400916ABF8D5C -:040555009138BFE337 -:040556009105C03B10 -:0405570090D4C092EA -:0405580090A2C0E9C4 -:040559009070C1419C -:04055A00903FC19875 -:04055B00900EC1F04D -:04055C008FDEC24824 -:04055D008FADC2A0FC -:04055E008F7DC2F9D2 -:04055F008F4EC351A7 -:040560008F1EC3AA7D -:040561008EEFC40253 -:040562008EC0C45B28 -:040563008E91C4B4FD -:040564008E63C50ECF -:040565008E35C567A3 -:040566008E07C5C077 -:040567008DD9C61A4A -:040568008DACC6741C -:040569008D7FC6CDEF -:04056A008D52C727C0 -:04056B008D25C78291 -:04056C008CF9C7DC63 -:04056D008CCDC83633 -:04056E008CA2C89102 -:04056F008C76C8EBD3 -:040570008C4BC946A1 -:040571008C20C9A170 -:040572008BF6C9FC3F -:040573008BCBCA580C -:040574008BA1CAB3DA -:040575008B78CB0EA6 -:040576008B4ECB6A73 -:040577008B25CBC63F -:040578008AFCCC210C -:040579008AD3CC7DD8 -:04057A008AABCCDAA2 -:04057B008A83CD366C -:04057C008A5BCD9237 -:04057D008A34CDEF00 -:04057E008A0DCE4BC9 -:04057F0089E6CEA893 -:0405800089BFCF055B -:040581008999CF6223 -:040582008973CFBFEB -:04058300894DD01CB2 -:040584008928D07979 -:040585008902D0D641 -:0405860088DED13406 -:0405870088B9D192CC -:040588008895D1EF92 -:040589008871D24D56 -:04058A00884DD2AB1B -:04058B008829D309DF -:04058C008806D367A3 -:04058D0087E3D3C667 -:04058E0087C1D42429 -:04058F00879ED483EC -:04059000877CD4E1AF -:04059100875BD5406F -:040592008739D59F31 -:040593008718D5FEF2 -:0405940086F7D65DB3 -:0405950086D7D6BC73 -:0405960086B6D71B33 -:040597008696D77AF3 -:040598008677D7DAB1 -:040599008657D83970 -:04059A008638D8992E -:04059B00861AD8F8EC -:04059C0085FBD958AA -:04059D0085DDD9B867 -:04059E0085BFDA1823 -:04059F0085A1DA78E0 -:0405A0008584DAD89C -:0405A1008567DB3857 -:0405A200854ADB9912 -:0405A300852EDBF9CD -:0405A4008512DC5A86 -:0405A50084F6DCBA42 -:0405A60084DADD1BFB -:0405A70084BFDD7CB4 -:0405A80084A4DDDD6D -:0405A9008489DE3E25 -:0405AA00846FDE9FDD -:0405AB008455DF0094 -:0405AC00843BDF614C -:0405AD008422DFC203 -:0405AE008408E023BA -:0405AF0083F0E08570 -:0405B00083D7E0E627 -:0405B10083BFE148DB -:0405B20083A7E1A991 -:0405B300838FE20B45 -:0405B4008378E26DF9 -:0405B5008361E2CFAD -:0405B600834AE33160 -:0405B7008333E39314 -:0405B800831DE3F5C7 -:0405B9008307E45779 -:0405BA0082F2E4B92C -:0405BB0082DCE51BDE -:0405BC0082C7E57E8F -:0405BD0082B3E5E040 -:0405BE00829EE642F1 -:0405BF00828AE6A5A1 -:0405C0008277E70750 -:0405C1008263E76A00 -:0405C2008250E7CDAF -:0405C300823DE8305D -:0405C400822BE8920C -:0405C5008218E8F5BB -:0405C6008206E95868 -:0405C70081F5E9BB16 -:0405C80081E3EA1EC3 -:0405C90081D2EA8170 -:0405CA0081C2EAE41C -:0405CB0081B1EB47C8 -:0405CC0081A1EBAB73 -:0405CD008191EC0E1E -:0405CE008182EC71C9 -:0405CF008173ECD573 -:0405D0008164ED381D -:0405D1008155ED9CC7 -:0405D2008147EDFF71 -:0405D3008139EE6319 -:0405D400812BEEC6C3 -:0405D500811EEF2A6A -:0405D6008111EF8E12 -:0405D7008104EFF1BB -:0405D80080F7F05563 -:0405D90080EBF0B90A -:0405DA0080DFF11DB0 -:0405DB0080D4F18057 -:0405DC0080C9F1E4FD -:0405DD0080BEF248A2 -:0405DE0080B3F2AC48 -:0405DF0080A9F310EC -:0405E000809FF37491 -:0405E1008095F3D836 -:0405E200808CF43CD9 -:0405E3008083F4A17C -:0405E400807AF5051F -:0405E5008071F569C3 -:0405E6008069F5CD66 -:0405E7008061F63108 -:0405E800805AF696A9 -:0405E9008053F6FA4B -:0405EA00804CF75EEC -:0405EB008045F7C28E -:0405EC00803FF8272D -:0405ED008039F88BCE -:0405EE008033F8EF6F -:0405EF00802EF9540D -:0405F0008028F9B8AE -:0405F1008024FA1D4B -:0405F200801FFA81EB -:0405F300801BFAE58A -:0405F4008017FB4A27 -:0405F5008014FBAEC5 -:0405F6008010FC1362 -:0405F700800DFC7700 -:0405F800800BFCDC9C -:0405F9008009FD4038 -:0405FA008007FDA5D4 -:0405FB008005FE0970 -:0405FC008003FE6E0C -:0405FD008002FED2A8 -:0405FE008002FF3741 -:0405FF008001FF9BDD -:040600008001000075 -:04060100800100650F -:04060200800200C9A9 -:040603008002012E42 -:0406040080030192DC -:04060500800501F774 -:040606008007025B0C -:04060700800902C0A4 -:04060800800B03243C -:04060900800D0389D4 -:04060A00801003ED6C -:04060B008014045201 -:04060C00801704B699 -:04060D00801B051B2E -:04060E00801F057FC5 -:04060F00802405E35B -:0406100080280648F0 -:04061100802E06AC85 -:040612008033071119 -:0406130080390775AE -:04061400803F07D943 -:040615008045083ED6 -:04061600804C08A26A -:0406170080530906FD -:04061800805A096A91 -:04061900806109CF24 -:04061A0080690A33B6 -:04061B0080710A9749 -:04061C00807A0AFBDB -:04061D0080830B5F6C -:04061E00808C0BC4FD -:04061F0080950C288E -:04062000809F0C8C1F -:0406210080A90CF0B0 -:0406220080B30D5440 -:0406230080BE0DB8D0 -:0406240080C90E1C5F -:0406250080D40E80EF -:0406260080DF0EE380 -:0406270080EB0F470E -:0406280080F70FAB9D -:040629008104100F29 -:04062A0081111072B8 -:04062B00811E10D646 -:04062C00812B113AD3 -:04062D008139119D61 -:04062E0081471201ED -:04062F00815512647B -:04063000816412C807 -:040631008173132B93 -:040632008182138F1F -:04063300819113F2AC -:0406340081A1145537 -:0406350081B114B9C2 -:0406360081C2151C4C -:0406370081D2157FD8 -:0406380081E315E263 -:0406390081F51645EC -:04063A00820616A876 -:04063B008218170BFF -:04063C00822B176E88 -:04063D00823D17D013 -:04063E00825018339B -:04063F008263189624 -:04064000827718F9AC -:04064100828A195B35 -:04064200829E19BEBD -:0406430082B31A2044 -:0406440082C71A82CD -:0406450082DC1AE554 -:0406460082F21B47DA -:0406470083071BA961 -:04064800831D1C0BE7 -:0406490083331C6D6E -:04064A00834A1CCFF4 -:04064B0083611D3179 -:04064C0083781D93FF -:04064D00838F1DF585 -:04064E0083A71E5709 -:04064F0083BF1EB88F -:0406500083D71F1A13 -:0406510083F01F7B98 -:0406520084081FDD1C -:040653008422203E9F -:04065400843B209F24 -:0406550084552100A7 -:04065600846F21612B -:04065700848921C2AF -:0406580084A4222331 -:0406590084BF2284B4 -:04065A0084DA22E537 -:04065B0084F62346B8 -:04065C00851223A63A -:04065D00852E2407BB -:04065E00854A24673E -:04065F00856724C8BF -:040660008584252840 -:0406610085A12588C2 -:0406620085BF25E843 -:0406630085DD2648C3 -:0406640085FB26A844 -:04066500861A2708C2 -:040666008638276744 -:04066700865727C7C4 -:040668008677282643 -:0406690086962886C3 -:04066A0086B628E543 -:04066B0086D72944C1 -:04066C0086F729A341 -:04066D0087182A02BE -:04066E0087392A613D -:04066F00875B2AC0BB -:04067000877C2B1F39 -:04067100879E2B7DB8 -:0406720087C12BDC35 -:0406730087E32C3AB3 -:0406740088062C992F -:0406750088292CF7AD -:04067600884D2D5529 -:0406770088712DB3A6 -:0406780088952E1122 -:0406790088B92E6EA0 -:04067A0088DE2ECC1C -:04067B0089022F2A97 -:04067C0089282F8713 -:04067D00894D2FE490 -:04067E00897330410B -:04067F008999309E87 -:0406800089BF30FB03 -:0406810089E631587D -:040682008A0D31B5F7 -:040683008A34321172 -:040684008A5B326EED -:040685008A8332CA68 -:040686008AAB3326E2 -:040687008AD333835C -:040688008AFC33DFD6 -:040689008B25343A4F -:04068A008B4E3496C9 -:04068B008B7834F242 -:04068C008BA1354DBC -:04068D008BCB35A836 -:04068E008BF63604AD -:04068F008C20365F26 -:040690008C4B36BA9F -:040691008C76371517 -:040692008CA2376F90 -:040693008CCD37CA09 -:040694008CF9382481 -:040695008D25387EF9 -:040696008D5238D970 -:040697008D7F3933E7 -:040698008DAC398C60 -:040699008DD939E6D8 -:04069A008E073A404D -:04069B008E353A99C5 -:04069C008E633AF23D -:04069D008E913B4CB3 -:04069E008EC03BA52A -:04069F008EEF3BFEA1 -:0406A0008F1E3C5617 -:0406A1008F4E3CAF8D -:0406A2008F7D3D0704 -:0406A3008FAD3D607A -:0406A4008FDE3DB8F0 -:0406A500900E3E1065 -:0406A600903F3E68DB -:0406A70090703EBF52 -:0406A80090A23F17C6 -:0406A90090D43F6E3C -:0406AA0091053FC5B2 -:0406AB009138401D25 -:0406AC00916A40739C -:0406AD00919D40CA11 -:0406AE0091D0412185 -:0406AF0092034177FA -:0406B000923741CE6E -:0406B100926B4224E2 -:0406B200929F427A57 -:0406B30092D342D0CC -:0406B400930843253F -:0406B500933D437BB3 -:0406B600937243D028 -:0406B70093A744259C -:0406B80093DD447A10 -:0406B900941344CF83 -:0406BA0094494524F6 -:0406BB00947F45786B -:0406BC0094B645CDDE -:0406BD0094ED462151 -:0406BE0095244675C4 -:0406BF00955C46C937 -:0406C0009593471CAB -:0406C10095CB47701E -:0406C200960347C391 -:0406C300963C481603 -:0406C4009675486976 -:0406C50096AE48BCE9 -:0406C60096E7490F5B -:0406C70097204961CE -:0406C800975A49B440 -:0406C90097944A06B2 -:0406CA0097CE4A5825 -:0406CB0098094AA997 -:0406CC0098444AFB09 -:0406CD00987F4B4C7B -:0406CE0098BA4B9DEE -:0406CF0098F64BEE60 -:0406D00099314C3FD1 -:0406D100996D4C9043 -:0406D20099AA4CE0B5 -:0406D30099E64D3126 -:0406D4009A234D8197 -:0406D5009A604DD109 -:0406D6009A9D4E207B -:0406D7009ADB4E70EC -:0406D8009B184EBF5E -:0406D9009B564F0ECF -:0406DA009B944F5D41 -:0406DB009BD34FACB2 -:0406DC009C124FFB22 -:0406DD009C51504993 -:0406DE009C90509705 -:0406DF009CCF50E577 -:0406E0009D0F5133E6 -:0406E1009D4F518157 -:0406E2009D8F51CEC9 -:0406E3009DCF521B3A -:0406E4009E105268AA -:0406E5009E5152B51B -:0406E6009E9253028B -:0406E7009ED3534EFD -:0406E8009F15539B6C -:0406E9009F5653E7DE -:0406EA009F9854324F -:0406EB009FDB547EBF -:0406EC00A01D54C930 -:0406ED00A06055159F -:0406EE00A0A3556010 -:0406EF00A0E655AA82 -:0406F000A12955F5F2 -:0406F100A16D563F62 -:0406F200A1B1568AD2 -:0406F300A1F556D344 -:0406F400A239571DB3 -:0406F500A27E576723 -:0406F600A2C257B095 -:0406F700A30757F905 -:0406F800A34D584274 -:0406F900A392588BE5 -:0406FA00A3D858D356 -:0406FB00A41E591CC4 -:0406FC00A464596435 -:0406FD00A4AA59ACA6 -:0406FE00A4F159F317 -:0406FF00A5375A3B86 -:04070000A57E5A82F6 -:04070100A5C55AC967 -:04070200A60D5B0FD6 -:04070300A6545B5647 -:04070400A69C5B9CB8 -:04070500A6E45BE229 -:04070600A72D5C2897 -:04070700A7755C6E08 -:04070800A7BE5CB379 -:04070900A8075CF9E8 -:04070A00A8505D3E58 -:04070B00A8995D82CA -:04070C00A8E35DC73A -:04070D00A92D5E0BA9 -:04070E00A9765E4F1B -:04070F00A9C15E938B -:04071000AA0B5ED7FB -:04071100AA565F1A6B -:04071200AAA05F5DDD -:04071300AAEB5FA04E -:04071400AB375FE3BD -:04071500AB8260252E -:04071600ABCE60689E -:04071700AC1960AA0F -:04071800AC6560EB81 -:04071900ACB2612DF0 -:04071A00ACFE616E62 -:04071B00AD4B61AFD2 -:04071C00AD9861F043 -:04071D00ADE56231B3 -:04071E00AE32627124 -:04071F00AE7F62B196 -:04072000AECD62F107 -:04072100AF1B633176 -:04072200AF696370E8 -:04072300AFB763AF5A -:04072400B00563EECB -:04072500B054642D3B -:04072600B0A3646CAC -:04072700B0F264AA1E -:04072800B14164E88F -:04072900B190652501 -:04072A00B1E0656372 -:04072B00B22F65A0E4 -:04072C00B27F65DD56 -:04072D00B2CF661AC7 -:04072E00B320665638 -:04072F00B3706693AA -:04073000B3C166CF1C -:04073100B412670A8D -:04073200B4636746FF -:04073300B4B4678172 -:04073400B50567BCE4 -:04073500B55767F756 -:04073600B5A86832C8 -:04073700B5FA686C3B -:04073800B64C68A6AD -:04073900B69F68E01F -:04073A00B6F1691992 -:04073B00B744695204 -:04073C00B797698B77 -:04073D00B7EA69C4EA -:04073E00B83D69FD5C -:04073F00B8906A35CF -:04074000B8E46A6D42 -:04074100B9376AA4B6 -:04074200B98B6ADC29 -:04074300B9DF6B139C -:04074400BA336B4A0F -:04074500BA886B8182 -:04074600BADC6BB7F7 -:04074700BB316BED6A -:04074800BB866C23DD -:04074900BBDB6C5951 -:04074A00BC306C8EC5 -:04074B00BC856CC33A -:04074C00BCDB6CF8AE -:04074D00BD306D2D21 -:04074E00BD866D6196 -:04074F00BDDC6D950B -:04075000BE326DC97F -:04075100BE896DFDF3 -:04075200BEDF6E3068 -:04075300BF366E63DC -:04075400BF8D6E9651 -:04075500BFE36EC8C8 -:04075600C03B6EFB3B -:04075700C0926F2CB1 -:04075800C0E96F5E27 -:04075900C1416F909B -:04075A00C1986FC112 -:04075B00C1F06FF288 -:04075C00C2487022FD -:04075D00C2A0705373 -:04075E00C2F97083E9 -:04075F00C35170B260 -:04076000C3AA70E2D6 -:04076100C40271114C -:04076200C45B7140C3 -:04076300C4B4716F3A -:04076400C50E719DB0 -:04076500C56771CB28 -:04076600C5C071F9A0 -:04076700C61A722715 -:04076800C67472548D -:04076900C6CD728106 -:04076A00C72772AE7D -:04076B00C78272DBF4 -:04076C00C7DC73076C -:04076D00C8367333E4 -:04076E00C891735E5D -:04076F00C8EB738AD6 -:04077000C94673B54E -:04077100C9A173E0C7 -:04077200C9FC740A40 -:04077300CA587435B7 -:04077400CAB3745F31 -:04077500CB0E7488AB -:04077600CB6A74B224 -:04077700CBC674DB9E -:04077800CC21750417 -:04077900CC7D752D91 -:04077A00CCDA75550B -:04077B00CD36757D85 -:04077C00CD9275A500 -:04077D00CDEF75CC7B -:04077E00CE4B75F3F6 -:04077F00CEA8761A70 -:04078000CF057641EA -:04078100CF62766766 -:04078200CFBF768DE2 -:04078300D01C76B35D -:04078400D07976D8DA -:04078500D0D676FE56 -:04078600D1347722D1 -:04078700D19277474D -:04078800D1EF776BCB -:04078900D24D778F47 -:04078A00D2AB77B3C4 -:04078B00D30977D740 -:04078C00D36777FABE -:04078D00D3C6781D3A -:04078E00D424783FB8 -:04078F00D483786235 -:04079000D4E17884B4 -:04079100D54078A532 -:04079200D59F78C7B0 -:04079300D5FE78E82F -:04079400D65D7909AC -:04079500D6BC79292C -:04079600D71B794AAA -:04079700D77A796A2A -:04079800D7DA7989AA -:04079900D83979A929 -:04079A00D89979C8A9 -:04079B00D8F879E62B -:04079C00D9587A05A9 -:04079D00D9B87A232A -:04079E00DA187A41AA -:04079F00DA787A5F2B -:0407A000DAD87A7CAD -:0407A100DB387A992E -:0407A200DB997AB6AF -:0407A300DBF97AD232 -:0407A400DC5A7AEEB3 -:0407A500DCBA7B0A35 -:0407A600DD1B7B26B6 -:0407A700DD7C7B4139 -:0407A800DDDD7B5CBC -:0407A900DE3E7B773E -:0407AA00DE9F7B91C2 -:0407AB00DF007BAB45 -:0407AC00DF617BC5C9 -:0407AD00DFC27BDE4E -:0407AE00E0237BF8D1 -:0407AF00E0857C1055 -:0407B000E0E67C29DA -:0407B100E1487C415E -:0407B200E1A97C59E4 -:0407B300E20B7C7168 -:0407B400E26D7C88EE -:0407B500E2CF7C9F74 -:0407B600E3317CB6F9 -:0407B700E3937CCD7F -:0407B800E3F57CE306 -:0407B900E4577CF98C -:0407BA00E4B97D0E13 -:0407BB00E51B7D2499 -:0407BC00E57E7D3920 -:0407BD00E5E07D4DA9 -:0407BE00E6427D6230 -:0407BF00E6A57D76B8 -:0407C000E7077D8941 -:0407C100E76A7D9DC9 -:0407C200E7CD7DB052 -:0407C300E8307DC3DA -:0407C400E8927DD565 -:0407C500E8F57DE8EE -:0407C600E9587DFA77 -:0407C700E9BB7E0B01 -:0407C800EA1E7E1D8A -:0407C900EA817E2E15 -:0407CA00EAE47E3EA1 -:0407CB00EB477E4F2B -:0407CC00EBAB7E5FB6 -:0407CD00EC0E7E6F41 -:0407CE00EC717E7ECE -:0407CF00ECD57E8D5A -:0407D000ED387E9CE6 -:0407D100ED9C7EAB72 -:0407D200EDFF7EB900 -:0407D300EE637EC78C -:0407D400EEC67ED51A -:0407D500EF2A7EE2A7 -:0407D600EF8E7EEF35 -:0407D700EFF17EFCC4 -:0407D800F0557F0950 -:0407D900F0B97F15DF -:0407DA00F11D7F216D -:0407DB00F1807F2CFE -:0407DC00F1E47F378E -:0407DD00F2487F421D -:0407DE00F2AC7F4DAD -:0407DF00F3107F573D -:0407E000F3747F61CE -:0407E100F3D87F6B5F -:0407E200F43C7F74F0 -:0407E300F4A17F7D81 -:0407E400F5057F8612 -:0407E500F5697F8FA4 -:0407E600F5CD7F9737 -:0407E700F6317F9FC9 -:0407E800F6967FA65C -:0407E900F6FA7FADF0 -:0407EA00F75E7FB483 -:0407EB00F7C27FBB17 -:0407EC00F8277FC1AA -:0407ED00F88B7FC73F -:0407EE00F8EF7FCDD4 -:0407EF00F9547FD268 -:0407F000F9B87FD8FD -:0407F100FA1D7FDC92 -:0407F200FA817FE128 -:0407F300FAE57FE5BF -:0407F400FB4A7FE954 -:0407F500FBAE7FECEC -:0407F600FC137FF081 -:0407F700FC777FF319 -:0407F800FCDC7FF5B1 -:0407F900FD407FF749 -:0407FA00FDA57FF9E1 -:0407FB00FE097FFB79 -:0407FC00FE6E7FFD11 -:0407FD00FED27FFEAB -:0407FE00FF377FFE44 -:0407FF00FF9B7FFFDE -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_0.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_0.hex deleted file mode 100644 index f50bff279ae778401f565a9605229bc1206086bf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_0.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400010000002000DB -:0400020000002000DA -:0400030000002000D9 -:0400040000002000D8 -:0400050000002000D7 -:0400060000002000D6 -:0400070000002000D5 -:0400080000002000D4 -:0400090000002000D3 -:04000A0000002000D2 -:04000B0000002000D1 -:04000C0000002000D0 -:04000D0000002000CF -:04000E0000002000CE -:04000F0000002000CD -:0400100000002000CC -:0400110000002000CB -:0400120000002000CA -:0400130000002000C9 -:0400140000002000C8 -:0400150000002000C7 -:0400160000002000C6 -:0400170000002000C5 -:0400180000002000C4 -:0400190000002000C3 -:04001A0000002000C2 -:04001B0000002000C1 -:04001C0000002000C0 -:04001D0000002000BF -:04001E0000002000BE -:04001F0000002000BD -:0400200000002000BC -:0400210000002000BB -:0400220000002000BA -:0400230000002000B9 -:0400240000002000B8 -:0400250000002000B7 -:0400260000002000B6 -:0400270000002000B5 -:0400280000002000B4 -:0400290000002000B3 -:04002A0000002000B2 -:04002B0000002000B1 -:04002C0000002000B0 -:04002D0000002000AF -:04002E0000002000AE -:04002F0000002000AD -:0400300000002000AC -:0400310000002000AB -:0400320000002000AA -:0400330000002000A9 -:0400340000002000A8 -:0400350000002000A7 -:0400360000002000A6 -:0400370000002000A5 -:0400380000002000A4 -:0400390000002000A3 -:04003A0000002000A2 -:04003B0000002000A1 -:04003C0000002000A0 -:04003D00000020009F -:04003E00000020009E -:04003F00000020009D -:04004000000020009C -:04004100000020009B -:04004200000020009A -:040043000000200099 -:040044000000200098 -:040045000000200097 -:040046000000200096 -:040047000000200095 -:040048000000200094 -:040049000000200093 -:04004A000000200092 -:04004B000000200091 -:04004C000000200090 -:04004D00000020008F -:04004E00000020008E -:04004F00000020008D -:04005000000020008C -:04005100000020008B -:04005200000020008A -:040053000000200089 -:040054000000200088 -:040055000000200087 -:040056000000200086 -:040057000000200085 -:040058000000200084 -:040059000000200083 -:04005A000000200082 -:04005B000000200081 -:04005C000000200080 -:04005D00000020007F -:04005E00000020007E -:04005F00000020007D -:04006000000020007C -:04006100000020007B -:04006200000020007A -:040063000000200079 -:040064000000200078 -:040065000000200077 -:040066000000200076 -:040067000000200075 -:040068000000200074 -:040069000000200073 -:04006A000000200072 -:04006B000000200071 -:04006C000000200070 -:04006D00000020006F -:04006E00000020006E -:04006F00000020006D -:04007000000020006C -:04007100000020006B -:04007200000020006A -:040073000000200069 -:040074000000200068 -:040075000000200067 -:040076000000200066 -:040077000000200065 -:040078000000200064 -:040079000000200063 -:04007A000000200062 -:04007B000000200061 -:04007C000000200060 -:04007D00000020005F -:04007E00000020005E -:04007F00000020005D -:04008000000020005C -:04008100000020005B -:04008200000020005A -:040083000000200059 -:040084000000200058 -:040085000000200057 -:040086000000200056 -:040087000000200055 -:040088000000200054 -:040089000000200053 -:04008A000000200052 -:04008B000000200051 -:04008C000000200050 -:04008D00000020004F -:04008E00000020004E -:04008F00000020004D -:04009000000020004C -:04009100000020004B -:04009200000020004A -:040093000000200049 -:040094000000200048 -:040095000000200047 -:040096000000200046 -:040097000000200045 -:040098000000200044 -:040099000000200043 -:04009A000000200042 -:04009B000000200041 -:04009C000000200040 -:04009D00000020003F -:04009E00000020003E -:04009F00000020003D -:0400A000000020003C -:0400A100000020003B -:0400A200000020003A -:0400A3000000200039 -:0400A4000000200038 -:0400A5000000200037 -:0400A6000000200036 -:0400A7000000200035 -:0400A8000000200034 -:0400A9000000200033 -:0400AA000000200032 -:0400AB000000200031 -:0400AC000000200030 -:0400AD00000020002F -:0400AE00000020002E -:0400AF00000020002D -:0400B000000020002C -:0400B100000020002B -:0400B200000020002A -:0400B3000000200029 -:0400B4000000200028 -:0400B5000000200027 -:0400B6000000200026 -:0400B7000000200025 -:0400B8000000200024 -:0400B9000000200023 -:0400BA000000200022 -:0400BB000000200021 -:0400BC000000200020 -:0400BD00000020001F -:0400BE00000020001E -:0400BF00000020001D -:0400C000000020001C -:0400C100000020001B -:0400C200000020001A -:0400C3000000200019 -:0400C4000000200018 -:0400C5000000200017 -:0400C6000000200016 -:0400C7000000200015 -:0400C8000000200014 -:0400C9000000200013 -:0400CA000000200012 -:0400CB000000200011 -:0400CC000000200010 -:0400CD00000020000F -:0400CE00000020000E -:0400CF00000020000D -:0400D000000020000C -:0400D100000020000B -:0400D200000020000A -:0400D3000000200009 -:0400D4000000200008 -:0400D5000000200007 -:0400D6000000200006 -:0400D7000000200005 -:0400D8000000200004 -:0400D9000000200003 -:0400DA000000200002 -:0400DB000000200001 -:0400DC000000200000 -:0400DD0000002000FF -:0400DE0000002000FE -:0400DF0000002000FD -:0400E00000002000FC -:0400E10000002000FB -:0400E20000002000FA -:0400E30000002000F9 -:0400E40000002000F8 -:0400E50000002000F7 -:0400E60000002000F6 -:0400E70000002000F5 -:0400E80000002000F4 -:0400E90000002000F3 -:0400EA0000002000F2 -:0400EB0000002000F1 -:0400EC0000002000F0 -:0400ED0000002000EF -:0400EE0000002000EE -:0400EF0000002000ED -:0400F00000002000EC -:0400F10000002000EB -:0400F20000002000EA -:0400F30000002000E9 -:0400F40000002000E8 -:0400F50000002000E7 -:0400F60000002000E6 -:0400F70000002000E5 -:0400F80000002000E4 -:0400F90000002000E3 -:0400FA0000002000E2 -:0400FB0000002000E1 -:0400FC0000002000E0 -:0400FD0000002000DF -:0400FE0000002000DE -:0400FF0000002000DD -:0401000000002000DB -:0401010000002000DA -:0401020000002000D9 -:0401030000002000D8 -:0401040000002000D7 -:0401050000002000D6 -:0401060000002000D5 -:0401070000002000D4 -:0401080000002000D3 -:0401090000002000D2 -:04010A0000002000D1 -:04010B0000002000D0 -:04010C0000002000CF -:04010D0000002000CE -:04010E0000002000CD -:04010F0000002000CC -:0401100000002000CB -:0401110000002000CA -:0401120000002000C9 -:0401130000002000C8 -:0401140000002000C7 -:0401150000002000C6 -:0401160000002000C5 -:0401170000002000C4 -:0401180000002000C3 -:0401190000002000C2 -:04011A0000002000C1 -:04011B0000002000C0 -:04011C0000002000BF -:04011D0000002000BE -:04011E0000002000BD -:04011F0000002000BC -:0401200000002000BB -:0401210000002000BA -:0401220000002000B9 -:0401230000002000B8 -:0401240000002000B7 -:0401250000002000B6 -:0401260000002000B5 -:0401270000002000B4 -:0401280000002000B3 -:0401290000002000B2 -:04012A0000002000B1 -:04012B0000002000B0 -:04012C0000002000AF -:04012D0000002000AE -:04012E0000002000AD -:04012F0000002000AC -:0401300000002000AB -:0401310000002000AA -:0401320000002000A9 -:0401330000002000A8 -:0401340000002000A7 -:0401350000002000A6 -:0401360000002000A5 -:0401370000002000A4 -:0401380000002000A3 -:0401390000002000A2 -:04013A0000002000A1 -:04013B0000002000A0 -:04013C00000020009F -:04013D00000020009E -:04013E00000020009D -:04013F00000020009C -:04014000000020009B -:04014100000020009A -:040142000000200099 -:040143000000200098 -:040144000000200097 -:040145000000200096 -:040146000000200095 -:040147000000200094 -:040148000000200093 -:040149000000200092 -:04014A000000200091 -:04014B000000200090 -:04014C00000020008F -:04014D00000020008E -:04014E00000020008D -:04014F00000020008C -:04015000000020008B -:04015100000020008A -:040152000000200089 -:040153000000200088 -:040154000000200087 -:040155000000200086 -:040156000000200085 -:040157000000200084 -:040158000000200083 -:040159000000200082 -:04015A000000200081 -:04015B000000200080 -:04015C00000020007F -:04015D00000020007E -:04015E00000020007D -:04015F00000020007C -:04016000000020007B -:04016100000020007A -:040162000000200079 -:040163000000200078 -:040164000000200077 -:040165000000200076 -:040166000000200075 -:040167000000200074 -:040168000000200073 -:040169000000200072 -:04016A000000200071 -:04016B000000200070 -:04016C00000020006F -:04016D00000020006E -:04016E00000020006D -:04016F00000020006C -:04017000000020006B -:04017100000020006A -:040172000000200069 -:040173000000200068 -:040174000000200067 -:040175000000200066 -:040176000000200065 -:040177000000200064 -:040178000000200063 -:040179000000200062 -:04017A000000200061 -:04017B000000200060 -:04017C00000020005F -:04017D00000020005E -:04017E00000020005D -:04017F00000020005C -:04018000000020005B -:04018100000020005A -:040182000000200059 -:040183000000200058 -:040184000000200057 -:040185000000200056 -:040186000000200055 -:040187000000200054 -:040188000000200053 -:040189000000200052 -:04018A000000200051 -:04018B000000200050 -:04018C00000020004F -:04018D00000020004E -:04018E00000020004D -:04018F00000020004C -:04019000000020004B -:04019100000020004A -:040192000000200049 -:040193000000200048 -:040194000000200047 -:040195000000200046 -:040196000000200045 -:040197000000200044 -:040198000000200043 -:040199000000200042 -:04019A000000200041 -:04019B000000200040 -:04019C00000020003F -:04019D00000020003E -:04019E00000020003D -:04019F00000020003C -:0401A000000020003B -:0401A100000020003A -:0401A2000000200039 -:0401A3000000200038 -:0401A4000000200037 -:0401A5000000200036 -:0401A6000000200035 -:0401A7000000200034 -:0401A8000000200033 -:0401A9000000200032 -:0401AA000000200031 -:0401AB000000200030 -:0401AC00000020002F -:0401AD00000020002E -:0401AE00000020002D -:0401AF00000020002C -:0401B000000020002B -:0401B100000020002A -:0401B2000000200029 -:0401B3000000200028 -:0401B4000000200027 -:0401B5000000200026 -:0401B6000000200025 -:0401B7000000200024 -:0401B8000000200023 -:0401B9000000200022 -:0401BA000000200021 -:0401BB000000200020 -:0401BC00000020001F -:0401BD00000020001E -:0401BE00000020001D -:0401BF00000020001C -:0401C000000020001B -:0401C100000020001A -:0401C2000000200019 -:0401C3000000200018 -:0401C4000000200017 -:0401C5000000200016 -:0401C6000000200015 -:0401C7000000200014 -:0401C8000000200013 -:0401C9000000200012 -:0401CA000000200011 -:0401CB000000200010 -:0401CC00000020000F -:0401CD00000020000E -:0401CE00000020000D -:0401CF00000020000C -:0401D000000020000B -:0401D100000020000A -:0401D2000000200009 -:0401D3000000200008 -:0401D4000000200007 -:0401D5000000200006 -:0401D6000000200005 -:0401D7000000200004 -:0401D8000000200003 -:0401D9000000200002 -:0401DA000000200001 -:0401DB000000200000 -:0401DC0000002000FF -:0401DD0000002000FE -:0401DE0000002000FD -:0401DF0000002000FC -:0401E00000002000FB -:0401E10000002000FA -:0401E20000002000F9 -:0401E30000002000F8 -:0401E40000002000F7 -:0401E50000002000F6 -:0401E60000002000F5 -:0401E70000002000F4 -:0401E80000002000F3 -:0401E90000002000F2 -:0401EA0000002000F1 -:0401EB0000002000F0 -:0401EC0000002000EF -:0401ED0000002000EE -:0401EE0000002000ED -:0401EF0000002000EC -:0401F00000002000EB -:0401F10000002000EA -:0401F20000002000E9 -:0401F30000002000E8 -:0401F40000002000E7 -:0401F50000002000E6 -:0401F60000002000E5 -:0401F70000002000E4 -:0401F80000002000E3 -:0401F90000002000E2 -:0401FA0000002000E1 -:0401FB0000002000E0 -:0401FC0000002000DF -:0401FD0000002000DE -:0401FE0000002000DD -:0401FF0000002000DC -:0402000000002000DA -:0402010000002000D9 -:0402020000002000D8 -:0402030000002000D7 -:0402040000002000D6 -:0402050000002000D5 -:0402060000002000D4 -:0402070000002000D3 -:0402080000002000D2 -:0402090000002000D1 -:04020A0000002000D0 -:04020B0000002000CF -:04020C0000002000CE -:04020D0000002000CD -:04020E0000002000CC -:04020F0000002000CB -:0402100000002000CA -:0402110000002000C9 -:0402120000002000C8 -:0402130000002000C7 -:0402140000002000C6 -:0402150000002000C5 -:0402160000002000C4 -:0402170000002000C3 -:0402180000002000C2 -:0402190000002000C1 -:04021A0000002000C0 -:04021B0000002000BF -:04021C0000002000BE -:04021D0000002000BD -:04021E0000002000BC -:04021F0000002000BB -:0402200000002000BA -:0402210000002000B9 -:0402220000002000B8 -:0402230000002000B7 -:0402240000002000B6 -:0402250000002000B5 -:0402260000002000B4 -:0402270000002000B3 -:0402280000002000B2 -:0402290000002000B1 -:04022A0000002000B0 -:04022B0000002000AF -:04022C0000002000AE -:04022D0000002000AD -:04022E0000002000AC -:04022F0000002000AB -:0402300000002000AA -:0402310000002000A9 -:0402320000002000A8 -:0402330000002000A7 -:0402340000002000A6 -:0402350000002000A5 -:0402360000002000A4 -:0402370000002000A3 -:0402380000002000A2 -:0402390000002000A1 -:04023A0000002000A0 -:04023B00000020009F -:04023C00000020009E -:04023D00000020009D -:04023E00000020009C -:04023F00000020009B -:04024000000020009A -:040241000000200099 -:040242000000200098 -:040243000000200097 -:040244000000200096 -:040245000000200095 -:040246000000200094 -:040247000000200093 -:040248000000200092 -:040249000000200091 -:04024A000000200090 -:04024B00000020008F -:04024C00000020008E -:04024D00000020008D -:04024E00000020008C -:04024F00000020008B -:04025000000020008A -:040251000000200089 -:040252000000200088 -:040253000000200087 -:040254000000200086 -:040255000000200085 -:040256000000200084 -:040257000000200083 -:040258000000200082 -:040259000000200081 -:04025A000000200080 -:04025B00000020007F -:04025C00000020007E -:04025D00000020007D -:04025E00000020007C -:04025F00000020007B -:04026000000020007A -:040261000000200079 -:040262000000200078 -:040263000000200077 -:040264000000200076 -:040265000000200075 -:040266000000200074 -:040267000000200073 -:040268000000200072 -:040269000000200071 -:04026A000000200070 -:04026B00000020006F -:04026C00000020006E -:04026D00000020006D -:04026E00000020006C -:04026F00000020006B -:04027000000020006A -:040271000000200069 -:040272000000200068 -:040273000000200067 -:040274000000200066 -:040275000000200065 -:040276000000200064 -:040277000000200063 -:040278000000200062 -:040279000000200061 -:04027A000000200060 -:04027B00000020005F -:04027C00000020005E -:04027D00000020005D -:04027E00000020005C -:04027F00000020005B -:04028000000020005A -:040281000000200059 -:040282000000200058 -:040283000000200057 -:040284000000200056 -:040285000000200055 -:040286000000200054 -:040287000000200053 -:040288000000200052 -:040289000000200051 -:04028A000000200050 -:04028B00000020004F -:04028C00000020004E -:04028D00000020004D -:04028E00000020004C -:04028F00000020004B -:04029000000020004A -:040291000000200049 -:040292000000200048 -:040293000000200047 -:040294000000200046 -:040295000000200045 -:040296000000200044 -:040297000000200043 -:040298000000200042 -:040299000000200041 -:04029A000000200040 -:04029B00000020003F -:04029C00000020003E -:04029D00000020003D -:04029E00000020003C -:04029F00000020003B -:0402A000000020003A -:0402A1000000200039 -:0402A2000000200038 -:0402A3000000200037 -:0402A4000000200036 -:0402A5000000200035 -:0402A6000000200034 -:0402A7000000200033 -:0402A8000000200032 -:0402A9000000200031 -:0402AA000000200030 -:0402AB00000020002F -:0402AC00000020002E -:0402AD00000020002D -:0402AE00000020002C -:0402AF00000020002B -:0402B000000020002A -:0402B1000000200029 -:0402B2000000200028 -:0402B3000000200027 -:0402B4000000200026 -:0402B5000000200025 -:0402B6000000200024 -:0402B7000000200023 -:0402B8000000200022 -:0402B9000000200021 -:0402BA000000200020 -:0402BB00000020001F -:0402BC00000020001E -:0402BD00000020001D -:0402BE00000020001C -:0402BF00000020001B -:0402C000000020001A -:0402C1000000200019 -:0402C2000000200018 -:0402C3000000200017 -:0402C4000000200016 -:0402C5000000200015 -:0402C6000000200014 -:0402C7000000200013 -:0402C8000000200012 -:0402C9000000200011 -:0402CA000000200010 -:0402CB00000020000F -:0402CC00000020000E -:0402CD00000020000D -:0402CE00000020000C -:0402CF00000020000B -:0402D000000020000A -:0402D1000000200009 -:0402D2000000200008 -:0402D3000000200007 -:0402D4000000200006 -:0402D5000000200005 -:0402D6000000200004 -:0402D7000000200003 -:0402D8000000200002 -:0402D9000000200001 -:0402DA000000200000 -:0402DB0000002000FF -:0402DC0000002000FE -:0402DD0000002000FD -:0402DE0000002000FC -:0402DF0000002000FB -:0402E00000002000FA -:0402E10000002000F9 -:0402E20000002000F8 -:0402E30000002000F7 -:0402E40000002000F6 -:0402E50000002000F5 -:0402E60000002000F4 -:0402E70000002000F3 -:0402E80000002000F2 -:0402E90000002000F1 -:0402EA0000002000F0 -:0402EB0000002000EF -:0402EC0000002000EE -:0402ED0000002000ED -:0402EE0000002000EC -:0402EF0000002000EB -:0402F00000002000EA -:0402F10000002000E9 -:0402F20000002000E8 -:0402F30000002000E7 -:0402F40000002000E6 -:0402F50000002000E5 -:0402F60000002000E4 -:0402F70000002000E3 -:0402F80000002000E2 -:0402F90000002000E1 -:0402FA0000002000E0 -:0402FB0000002000DF -:0402FC0000002000DE -:0402FD0000002000DD -:0402FE0000002000DC -:0402FF0000002000DB -:0403000000002000D9 -:0403010000002000D8 -:0403020000002000D7 -:0403030000002000D6 -:0403040000002000D5 -:0403050000002000D4 -:0403060000002000D3 -:0403070000002000D2 -:0403080000002000D1 -:0403090000002000D0 -:04030A0000002000CF -:04030B0000002000CE -:04030C0000002000CD -:04030D0000002000CC -:04030E0000002000CB -:04030F0000002000CA -:0403100000002000C9 -:0403110000002000C8 -:0403120000002000C7 -:0403130000002000C6 -:0403140000002000C5 -:0403150000002000C4 -:0403160000002000C3 -:0403170000002000C2 -:0403180000002000C1 -:0403190000002000C0 -:04031A0000002000BF -:04031B0000002000BE -:04031C0000002000BD -:04031D0000002000BC -:04031E0000002000BB -:04031F0000002000BA -:0403200000002000B9 -:0403210000002000B8 -:0403220000002000B7 -:0403230000002000B6 -:0403240000002000B5 -:0403250000002000B4 -:0403260000002000B3 -:0403270000002000B2 -:0403280000002000B1 -:0403290000002000B0 -:04032A0000002000AF -:04032B0000002000AE -:04032C0000002000AD -:04032D0000002000AC -:04032E0000002000AB -:04032F0000002000AA -:0403300000002000A9 -:0403310000002000A8 -:0403320000002000A7 -:0403330000002000A6 -:0403340000002000A5 -:0403350000002000A4 -:0403360000002000A3 -:0403370000002000A2 -:0403380000002000A1 -:0403390000002000A0 -:04033A00000020009F -:04033B00000020009E -:04033C00000020009D -:04033D00000020009C -:04033E00000020009B -:04033F00000020009A -:040340000000200099 -:040341000000200098 -:040342000000200097 -:040343000000200096 -:040344000000200095 -:040345000000200094 -:040346000000200093 -:040347000000200092 -:040348000000200091 -:040349000000200090 -:04034A00000020008F -:04034B00000020008E -:04034C00000020008D -:04034D00000020008C -:04034E00000020008B -:04034F00000020008A -:040350000000200089 -:040351000000200088 -:040352000000200087 -:040353000000200086 -:040354000000200085 -:040355000000200084 -:040356000000200083 -:040357000000200082 -:040358000000200081 -:040359000000200080 -:04035A00000020007F -:04035B00000020007E -:04035C00000020007D -:04035D00000020007C -:04035E00000020007B -:04035F00000020007A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000200071 -:040369000000200070 -:04036A00000020006F -:04036B00000020006E -:04036C00000020006D -:04036D00000020006C -:04036E00000020006B -:04036F00000020006A -:040370000000200069 -:040371000000200068 -:040372000000200067 -:040373000000200066 -:040374000000200065 -:040375000000200064 -:040376000000200063 -:040377000000200062 -:040378000000200061 -:040379000000200060 -:04037A00000020005F -:04037B00000020005E -:04037C00000020005D -:04037D00000020005C -:04037E00000020005B -:04037F00000020005A -:040380000000200059 -:040381000000200058 -:040382000000200057 -:040383000000200056 -:040384000000200055 -:040385000000200054 -:040386000000200053 -:040387000000200052 -:040388000000200051 -:040389000000200050 -:04038A00000020004F -:04038B00000020004E -:04038C00000020004D -:04038D00000020004C -:04038E00000020004B -:04038F00000020004A -:040390000000200049 -:040391000000200048 -:040392000000200047 -:040393000000200046 -:040394000000200045 -:040395000000200044 -:040396000000200043 -:040397000000200042 -:040398000000200041 -:040399000000200040 -:04039A00000020003F -:04039B00000020003E -:04039C00000020003D -:04039D00000020003C -:04039E00000020003B -:04039F00000020003A -:0403A0000000200039 -:0403A1000000200038 -:0403A2000000200037 -:0403A3000000200036 -:0403A4000000200035 -:0403A5000000200034 -:0403A6000000200033 -:0403A7000000200032 -:0403A8000000200031 -:0403A9000000200030 -:0403AA00000020002F -:0403AB00000020002E -:0403AC00000020002D -:0403AD00000020002C -:0403AE00000020002B -:0403AF00000020002A -:0403B0000000200029 -:0403B1000000200028 -:0403B2000000200027 -:0403B3000000200026 -:0403B4000000200025 -:0403B5000000200024 -:0403B6000000200023 -:0403B7000000200022 -:0403B8000000200021 -:0403B9000000200020 -:0403BA00000020001F -:0403BB00000020001E -:0403BC00000020001D -:0403BD00000020001C -:0403BE00000020001B -:0403BF00000020001A -:0403C0000000200019 -:0403C1000000200018 -:0403C2000000200017 -:0403C3000000200016 -:0403C4000000200015 -:0403C5000000200014 -:0403C6000000200013 -:0403C7000000200012 -:0403C8000000200011 -:0403C9000000200010 -:0403CA00000020000F -:0403CB00000020000E -:0403CC00000020000D -:0403CD00000020000C -:0403CE00000020000B -:0403CF00000020000A -:0403D0000000200009 -:0403D1000000200008 -:0403D2000000200007 -:0403D3000000200006 -:0403D4000000200005 -:0403D5000000200004 -:0403D6000000200003 -:0403D7000000200002 -:0403D8000000200001 -:0403D9000000200000 -:0403DA0000002000FF -:0403DB0000002000FE -:0403DC0000002000FD -:0403DD0000002000FC -:0403DE0000002000FB -:0403DF0000002000FA -:0403E00000002000F9 -:0403E10000002000F8 -:0403E20000002000F7 -:0403E30000002000F6 -:0403E40000002000F5 -:0403E50000002000F4 -:0403E60000002000F3 -:0403E70000002000F2 -:0403E80000002000F1 -:0403E90000002000F0 -:0403EA0000002000EF -:0403EB0000002000EE -:0403EC0000002000ED -:0403ED0000002000EC -:0403EE0000002000EB -:0403EF0000002000EA -:0403F00000002000E9 -:0403F10000002000E8 -:0403F20000002000E7 -:0403F30000002000E6 -:0403F40000002000E5 -:0403F50000002000E4 -:0403F60000002000E3 -:0403F70000002000E2 -:0403F80000002000E1 -:0403F90000002000E0 -:0403FA0000002000DF -:0403FB0000002000DE -:0403FC0000002000DD -:0403FD0000002000DC -:0403FE0000002000DB -:0403FF0000002000DA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_1.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_1.hex deleted file mode 100644 index f50bff279ae778401f565a9605229bc1206086bf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_1.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400010000002000DB -:0400020000002000DA -:0400030000002000D9 -:0400040000002000D8 -:0400050000002000D7 -:0400060000002000D6 -:0400070000002000D5 -:0400080000002000D4 -:0400090000002000D3 -:04000A0000002000D2 -:04000B0000002000D1 -:04000C0000002000D0 -:04000D0000002000CF -:04000E0000002000CE -:04000F0000002000CD -:0400100000002000CC -:0400110000002000CB -:0400120000002000CA -:0400130000002000C9 -:0400140000002000C8 -:0400150000002000C7 -:0400160000002000C6 -:0400170000002000C5 -:0400180000002000C4 -:0400190000002000C3 -:04001A0000002000C2 -:04001B0000002000C1 -:04001C0000002000C0 -:04001D0000002000BF -:04001E0000002000BE -:04001F0000002000BD -:0400200000002000BC -:0400210000002000BB -:0400220000002000BA -:0400230000002000B9 -:0400240000002000B8 -:0400250000002000B7 -:0400260000002000B6 -:0400270000002000B5 -:0400280000002000B4 -:0400290000002000B3 -:04002A0000002000B2 -:04002B0000002000B1 -:04002C0000002000B0 -:04002D0000002000AF -:04002E0000002000AE -:04002F0000002000AD -:0400300000002000AC -:0400310000002000AB -:0400320000002000AA -:0400330000002000A9 -:0400340000002000A8 -:0400350000002000A7 -:0400360000002000A6 -:0400370000002000A5 -:0400380000002000A4 -:0400390000002000A3 -:04003A0000002000A2 -:04003B0000002000A1 -:04003C0000002000A0 -:04003D00000020009F -:04003E00000020009E -:04003F00000020009D -:04004000000020009C -:04004100000020009B -:04004200000020009A -:040043000000200099 -:040044000000200098 -:040045000000200097 -:040046000000200096 -:040047000000200095 -:040048000000200094 -:040049000000200093 -:04004A000000200092 -:04004B000000200091 -:04004C000000200090 -:04004D00000020008F -:04004E00000020008E -:04004F00000020008D -:04005000000020008C -:04005100000020008B -:04005200000020008A -:040053000000200089 -:040054000000200088 -:040055000000200087 -:040056000000200086 -:040057000000200085 -:040058000000200084 -:040059000000200083 -:04005A000000200082 -:04005B000000200081 -:04005C000000200080 -:04005D00000020007F -:04005E00000020007E -:04005F00000020007D -:04006000000020007C -:04006100000020007B -:04006200000020007A -:040063000000200079 -:040064000000200078 -:040065000000200077 -:040066000000200076 -:040067000000200075 -:040068000000200074 -:040069000000200073 -:04006A000000200072 -:04006B000000200071 -:04006C000000200070 -:04006D00000020006F -:04006E00000020006E -:04006F00000020006D -:04007000000020006C -:04007100000020006B -:04007200000020006A -:040073000000200069 -:040074000000200068 -:040075000000200067 -:040076000000200066 -:040077000000200065 -:040078000000200064 -:040079000000200063 -:04007A000000200062 -:04007B000000200061 -:04007C000000200060 -:04007D00000020005F -:04007E00000020005E -:04007F00000020005D -:04008000000020005C -:04008100000020005B -:04008200000020005A -:040083000000200059 -:040084000000200058 -:040085000000200057 -:040086000000200056 -:040087000000200055 -:040088000000200054 -:040089000000200053 -:04008A000000200052 -:04008B000000200051 -:04008C000000200050 -:04008D00000020004F -:04008E00000020004E -:04008F00000020004D -:04009000000020004C -:04009100000020004B -:04009200000020004A -:040093000000200049 -:040094000000200048 -:040095000000200047 -:040096000000200046 -:040097000000200045 -:040098000000200044 -:040099000000200043 -:04009A000000200042 -:04009B000000200041 -:04009C000000200040 -:04009D00000020003F -:04009E00000020003E -:04009F00000020003D -:0400A000000020003C -:0400A100000020003B -:0400A200000020003A -:0400A3000000200039 -:0400A4000000200038 -:0400A5000000200037 -:0400A6000000200036 -:0400A7000000200035 -:0400A8000000200034 -:0400A9000000200033 -:0400AA000000200032 -:0400AB000000200031 -:0400AC000000200030 -:0400AD00000020002F -:0400AE00000020002E -:0400AF00000020002D -:0400B000000020002C -:0400B100000020002B -:0400B200000020002A -:0400B3000000200029 -:0400B4000000200028 -:0400B5000000200027 -:0400B6000000200026 -:0400B7000000200025 -:0400B8000000200024 -:0400B9000000200023 -:0400BA000000200022 -:0400BB000000200021 -:0400BC000000200020 -:0400BD00000020001F -:0400BE00000020001E -:0400BF00000020001D -:0400C000000020001C -:0400C100000020001B -:0400C200000020001A -:0400C3000000200019 -:0400C4000000200018 -:0400C5000000200017 -:0400C6000000200016 -:0400C7000000200015 -:0400C8000000200014 -:0400C9000000200013 -:0400CA000000200012 -:0400CB000000200011 -:0400CC000000200010 -:0400CD00000020000F -:0400CE00000020000E -:0400CF00000020000D -:0400D000000020000C -:0400D100000020000B -:0400D200000020000A -:0400D3000000200009 -:0400D4000000200008 -:0400D5000000200007 -:0400D6000000200006 -:0400D7000000200005 -:0400D8000000200004 -:0400D9000000200003 -:0400DA000000200002 -:0400DB000000200001 -:0400DC000000200000 -:0400DD0000002000FF -:0400DE0000002000FE -:0400DF0000002000FD -:0400E00000002000FC -:0400E10000002000FB -:0400E20000002000FA -:0400E30000002000F9 -:0400E40000002000F8 -:0400E50000002000F7 -:0400E60000002000F6 -:0400E70000002000F5 -:0400E80000002000F4 -:0400E90000002000F3 -:0400EA0000002000F2 -:0400EB0000002000F1 -:0400EC0000002000F0 -:0400ED0000002000EF -:0400EE0000002000EE -:0400EF0000002000ED -:0400F00000002000EC -:0400F10000002000EB -:0400F20000002000EA -:0400F30000002000E9 -:0400F40000002000E8 -:0400F50000002000E7 -:0400F60000002000E6 -:0400F70000002000E5 -:0400F80000002000E4 -:0400F90000002000E3 -:0400FA0000002000E2 -:0400FB0000002000E1 -:0400FC0000002000E0 -:0400FD0000002000DF -:0400FE0000002000DE -:0400FF0000002000DD -:0401000000002000DB -:0401010000002000DA -:0401020000002000D9 -:0401030000002000D8 -:0401040000002000D7 -:0401050000002000D6 -:0401060000002000D5 -:0401070000002000D4 -:0401080000002000D3 -:0401090000002000D2 -:04010A0000002000D1 -:04010B0000002000D0 -:04010C0000002000CF -:04010D0000002000CE -:04010E0000002000CD -:04010F0000002000CC -:0401100000002000CB -:0401110000002000CA -:0401120000002000C9 -:0401130000002000C8 -:0401140000002000C7 -:0401150000002000C6 -:0401160000002000C5 -:0401170000002000C4 -:0401180000002000C3 -:0401190000002000C2 -:04011A0000002000C1 -:04011B0000002000C0 -:04011C0000002000BF -:04011D0000002000BE -:04011E0000002000BD -:04011F0000002000BC -:0401200000002000BB -:0401210000002000BA -:0401220000002000B9 -:0401230000002000B8 -:0401240000002000B7 -:0401250000002000B6 -:0401260000002000B5 -:0401270000002000B4 -:0401280000002000B3 -:0401290000002000B2 -:04012A0000002000B1 -:04012B0000002000B0 -:04012C0000002000AF -:04012D0000002000AE -:04012E0000002000AD -:04012F0000002000AC -:0401300000002000AB -:0401310000002000AA -:0401320000002000A9 -:0401330000002000A8 -:0401340000002000A7 -:0401350000002000A6 -:0401360000002000A5 -:0401370000002000A4 -:0401380000002000A3 -:0401390000002000A2 -:04013A0000002000A1 -:04013B0000002000A0 -:04013C00000020009F -:04013D00000020009E -:04013E00000020009D -:04013F00000020009C -:04014000000020009B -:04014100000020009A -:040142000000200099 -:040143000000200098 -:040144000000200097 -:040145000000200096 -:040146000000200095 -:040147000000200094 -:040148000000200093 -:040149000000200092 -:04014A000000200091 -:04014B000000200090 -:04014C00000020008F -:04014D00000020008E -:04014E00000020008D -:04014F00000020008C -:04015000000020008B -:04015100000020008A -:040152000000200089 -:040153000000200088 -:040154000000200087 -:040155000000200086 -:040156000000200085 -:040157000000200084 -:040158000000200083 -:040159000000200082 -:04015A000000200081 -:04015B000000200080 -:04015C00000020007F -:04015D00000020007E -:04015E00000020007D -:04015F00000020007C -:04016000000020007B -:04016100000020007A -:040162000000200079 -:040163000000200078 -:040164000000200077 -:040165000000200076 -:040166000000200075 -:040167000000200074 -:040168000000200073 -:040169000000200072 -:04016A000000200071 -:04016B000000200070 -:04016C00000020006F -:04016D00000020006E -:04016E00000020006D -:04016F00000020006C -:04017000000020006B -:04017100000020006A -:040172000000200069 -:040173000000200068 -:040174000000200067 -:040175000000200066 -:040176000000200065 -:040177000000200064 -:040178000000200063 -:040179000000200062 -:04017A000000200061 -:04017B000000200060 -:04017C00000020005F -:04017D00000020005E -:04017E00000020005D -:04017F00000020005C -:04018000000020005B -:04018100000020005A -:040182000000200059 -:040183000000200058 -:040184000000200057 -:040185000000200056 -:040186000000200055 -:040187000000200054 -:040188000000200053 -:040189000000200052 -:04018A000000200051 -:04018B000000200050 -:04018C00000020004F -:04018D00000020004E -:04018E00000020004D -:04018F00000020004C -:04019000000020004B -:04019100000020004A -:040192000000200049 -:040193000000200048 -:040194000000200047 -:040195000000200046 -:040196000000200045 -:040197000000200044 -:040198000000200043 -:040199000000200042 -:04019A000000200041 -:04019B000000200040 -:04019C00000020003F -:04019D00000020003E -:04019E00000020003D -:04019F00000020003C -:0401A000000020003B -:0401A100000020003A -:0401A2000000200039 -:0401A3000000200038 -:0401A4000000200037 -:0401A5000000200036 -:0401A6000000200035 -:0401A7000000200034 -:0401A8000000200033 -:0401A9000000200032 -:0401AA000000200031 -:0401AB000000200030 -:0401AC00000020002F -:0401AD00000020002E -:0401AE00000020002D -:0401AF00000020002C -:0401B000000020002B -:0401B100000020002A -:0401B2000000200029 -:0401B3000000200028 -:0401B4000000200027 -:0401B5000000200026 -:0401B6000000200025 -:0401B7000000200024 -:0401B8000000200023 -:0401B9000000200022 -:0401BA000000200021 -:0401BB000000200020 -:0401BC00000020001F -:0401BD00000020001E -:0401BE00000020001D -:0401BF00000020001C -:0401C000000020001B -:0401C100000020001A -:0401C2000000200019 -:0401C3000000200018 -:0401C4000000200017 -:0401C5000000200016 -:0401C6000000200015 -:0401C7000000200014 -:0401C8000000200013 -:0401C9000000200012 -:0401CA000000200011 -:0401CB000000200010 -:0401CC00000020000F -:0401CD00000020000E -:0401CE00000020000D -:0401CF00000020000C -:0401D000000020000B -:0401D100000020000A -:0401D2000000200009 -:0401D3000000200008 -:0401D4000000200007 -:0401D5000000200006 -:0401D6000000200005 -:0401D7000000200004 -:0401D8000000200003 -:0401D9000000200002 -:0401DA000000200001 -:0401DB000000200000 -:0401DC0000002000FF -:0401DD0000002000FE -:0401DE0000002000FD -:0401DF0000002000FC -:0401E00000002000FB -:0401E10000002000FA -:0401E20000002000F9 -:0401E30000002000F8 -:0401E40000002000F7 -:0401E50000002000F6 -:0401E60000002000F5 -:0401E70000002000F4 -:0401E80000002000F3 -:0401E90000002000F2 -:0401EA0000002000F1 -:0401EB0000002000F0 -:0401EC0000002000EF -:0401ED0000002000EE -:0401EE0000002000ED -:0401EF0000002000EC -:0401F00000002000EB -:0401F10000002000EA -:0401F20000002000E9 -:0401F30000002000E8 -:0401F40000002000E7 -:0401F50000002000E6 -:0401F60000002000E5 -:0401F70000002000E4 -:0401F80000002000E3 -:0401F90000002000E2 -:0401FA0000002000E1 -:0401FB0000002000E0 -:0401FC0000002000DF -:0401FD0000002000DE -:0401FE0000002000DD -:0401FF0000002000DC -:0402000000002000DA -:0402010000002000D9 -:0402020000002000D8 -:0402030000002000D7 -:0402040000002000D6 -:0402050000002000D5 -:0402060000002000D4 -:0402070000002000D3 -:0402080000002000D2 -:0402090000002000D1 -:04020A0000002000D0 -:04020B0000002000CF -:04020C0000002000CE -:04020D0000002000CD -:04020E0000002000CC -:04020F0000002000CB -:0402100000002000CA -:0402110000002000C9 -:0402120000002000C8 -:0402130000002000C7 -:0402140000002000C6 -:0402150000002000C5 -:0402160000002000C4 -:0402170000002000C3 -:0402180000002000C2 -:0402190000002000C1 -:04021A0000002000C0 -:04021B0000002000BF -:04021C0000002000BE -:04021D0000002000BD -:04021E0000002000BC -:04021F0000002000BB -:0402200000002000BA -:0402210000002000B9 -:0402220000002000B8 -:0402230000002000B7 -:0402240000002000B6 -:0402250000002000B5 -:0402260000002000B4 -:0402270000002000B3 -:0402280000002000B2 -:0402290000002000B1 -:04022A0000002000B0 -:04022B0000002000AF -:04022C0000002000AE -:04022D0000002000AD -:04022E0000002000AC -:04022F0000002000AB -:0402300000002000AA -:0402310000002000A9 -:0402320000002000A8 -:0402330000002000A7 -:0402340000002000A6 -:0402350000002000A5 -:0402360000002000A4 -:0402370000002000A3 -:0402380000002000A2 -:0402390000002000A1 -:04023A0000002000A0 -:04023B00000020009F -:04023C00000020009E -:04023D00000020009D -:04023E00000020009C -:04023F00000020009B -:04024000000020009A -:040241000000200099 -:040242000000200098 -:040243000000200097 -:040244000000200096 -:040245000000200095 -:040246000000200094 -:040247000000200093 -:040248000000200092 -:040249000000200091 -:04024A000000200090 -:04024B00000020008F -:04024C00000020008E -:04024D00000020008D -:04024E00000020008C -:04024F00000020008B -:04025000000020008A -:040251000000200089 -:040252000000200088 -:040253000000200087 -:040254000000200086 -:040255000000200085 -:040256000000200084 -:040257000000200083 -:040258000000200082 -:040259000000200081 -:04025A000000200080 -:04025B00000020007F -:04025C00000020007E -:04025D00000020007D -:04025E00000020007C -:04025F00000020007B -:04026000000020007A -:040261000000200079 -:040262000000200078 -:040263000000200077 -:040264000000200076 -:040265000000200075 -:040266000000200074 -:040267000000200073 -:040268000000200072 -:040269000000200071 -:04026A000000200070 -:04026B00000020006F -:04026C00000020006E -:04026D00000020006D -:04026E00000020006C -:04026F00000020006B -:04027000000020006A -:040271000000200069 -:040272000000200068 -:040273000000200067 -:040274000000200066 -:040275000000200065 -:040276000000200064 -:040277000000200063 -:040278000000200062 -:040279000000200061 -:04027A000000200060 -:04027B00000020005F -:04027C00000020005E -:04027D00000020005D -:04027E00000020005C -:04027F00000020005B -:04028000000020005A -:040281000000200059 -:040282000000200058 -:040283000000200057 -:040284000000200056 -:040285000000200055 -:040286000000200054 -:040287000000200053 -:040288000000200052 -:040289000000200051 -:04028A000000200050 -:04028B00000020004F -:04028C00000020004E -:04028D00000020004D -:04028E00000020004C -:04028F00000020004B -:04029000000020004A -:040291000000200049 -:040292000000200048 -:040293000000200047 -:040294000000200046 -:040295000000200045 -:040296000000200044 -:040297000000200043 -:040298000000200042 -:040299000000200041 -:04029A000000200040 -:04029B00000020003F -:04029C00000020003E -:04029D00000020003D -:04029E00000020003C -:04029F00000020003B -:0402A000000020003A -:0402A1000000200039 -:0402A2000000200038 -:0402A3000000200037 -:0402A4000000200036 -:0402A5000000200035 -:0402A6000000200034 -:0402A7000000200033 -:0402A8000000200032 -:0402A9000000200031 -:0402AA000000200030 -:0402AB00000020002F -:0402AC00000020002E -:0402AD00000020002D -:0402AE00000020002C -:0402AF00000020002B -:0402B000000020002A -:0402B1000000200029 -:0402B2000000200028 -:0402B3000000200027 -:0402B4000000200026 -:0402B5000000200025 -:0402B6000000200024 -:0402B7000000200023 -:0402B8000000200022 -:0402B9000000200021 -:0402BA000000200020 -:0402BB00000020001F -:0402BC00000020001E -:0402BD00000020001D -:0402BE00000020001C -:0402BF00000020001B -:0402C000000020001A -:0402C1000000200019 -:0402C2000000200018 -:0402C3000000200017 -:0402C4000000200016 -:0402C5000000200015 -:0402C6000000200014 -:0402C7000000200013 -:0402C8000000200012 -:0402C9000000200011 -:0402CA000000200010 -:0402CB00000020000F -:0402CC00000020000E -:0402CD00000020000D -:0402CE00000020000C -:0402CF00000020000B -:0402D000000020000A -:0402D1000000200009 -:0402D2000000200008 -:0402D3000000200007 -:0402D4000000200006 -:0402D5000000200005 -:0402D6000000200004 -:0402D7000000200003 -:0402D8000000200002 -:0402D9000000200001 -:0402DA000000200000 -:0402DB0000002000FF -:0402DC0000002000FE -:0402DD0000002000FD -:0402DE0000002000FC -:0402DF0000002000FB -:0402E00000002000FA -:0402E10000002000F9 -:0402E20000002000F8 -:0402E30000002000F7 -:0402E40000002000F6 -:0402E50000002000F5 -:0402E60000002000F4 -:0402E70000002000F3 -:0402E80000002000F2 -:0402E90000002000F1 -:0402EA0000002000F0 -:0402EB0000002000EF -:0402EC0000002000EE -:0402ED0000002000ED -:0402EE0000002000EC -:0402EF0000002000EB -:0402F00000002000EA -:0402F10000002000E9 -:0402F20000002000E8 -:0402F30000002000E7 -:0402F40000002000E6 -:0402F50000002000E5 -:0402F60000002000E4 -:0402F70000002000E3 -:0402F80000002000E2 -:0402F90000002000E1 -:0402FA0000002000E0 -:0402FB0000002000DF -:0402FC0000002000DE -:0402FD0000002000DD -:0402FE0000002000DC -:0402FF0000002000DB -:0403000000002000D9 -:0403010000002000D8 -:0403020000002000D7 -:0403030000002000D6 -:0403040000002000D5 -:0403050000002000D4 -:0403060000002000D3 -:0403070000002000D2 -:0403080000002000D1 -:0403090000002000D0 -:04030A0000002000CF -:04030B0000002000CE -:04030C0000002000CD -:04030D0000002000CC -:04030E0000002000CB -:04030F0000002000CA -:0403100000002000C9 -:0403110000002000C8 -:0403120000002000C7 -:0403130000002000C6 -:0403140000002000C5 -:0403150000002000C4 -:0403160000002000C3 -:0403170000002000C2 -:0403180000002000C1 -:0403190000002000C0 -:04031A0000002000BF -:04031B0000002000BE -:04031C0000002000BD -:04031D0000002000BC -:04031E0000002000BB -:04031F0000002000BA -:0403200000002000B9 -:0403210000002000B8 -:0403220000002000B7 -:0403230000002000B6 -:0403240000002000B5 -:0403250000002000B4 -:0403260000002000B3 -:0403270000002000B2 -:0403280000002000B1 -:0403290000002000B0 -:04032A0000002000AF -:04032B0000002000AE -:04032C0000002000AD -:04032D0000002000AC -:04032E0000002000AB -:04032F0000002000AA -:0403300000002000A9 -:0403310000002000A8 -:0403320000002000A7 -:0403330000002000A6 -:0403340000002000A5 -:0403350000002000A4 -:0403360000002000A3 -:0403370000002000A2 -:0403380000002000A1 -:0403390000002000A0 -:04033A00000020009F -:04033B00000020009E -:04033C00000020009D -:04033D00000020009C -:04033E00000020009B -:04033F00000020009A -:040340000000200099 -:040341000000200098 -:040342000000200097 -:040343000000200096 -:040344000000200095 -:040345000000200094 -:040346000000200093 -:040347000000200092 -:040348000000200091 -:040349000000200090 -:04034A00000020008F -:04034B00000020008E -:04034C00000020008D -:04034D00000020008C -:04034E00000020008B -:04034F00000020008A -:040350000000200089 -:040351000000200088 -:040352000000200087 -:040353000000200086 -:040354000000200085 -:040355000000200084 -:040356000000200083 -:040357000000200082 -:040358000000200081 -:040359000000200080 -:04035A00000020007F -:04035B00000020007E -:04035C00000020007D -:04035D00000020007C -:04035E00000020007B -:04035F00000020007A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000200071 -:040369000000200070 -:04036A00000020006F -:04036B00000020006E -:04036C00000020006D -:04036D00000020006C -:04036E00000020006B -:04036F00000020006A -:040370000000200069 -:040371000000200068 -:040372000000200067 -:040373000000200066 -:040374000000200065 -:040375000000200064 -:040376000000200063 -:040377000000200062 -:040378000000200061 -:040379000000200060 -:04037A00000020005F -:04037B00000020005E -:04037C00000020005D -:04037D00000020005C -:04037E00000020005B -:04037F00000020005A -:040380000000200059 -:040381000000200058 -:040382000000200057 -:040383000000200056 -:040384000000200055 -:040385000000200054 -:040386000000200053 -:040387000000200052 -:040388000000200051 -:040389000000200050 -:04038A00000020004F -:04038B00000020004E -:04038C00000020004D -:04038D00000020004C -:04038E00000020004B -:04038F00000020004A -:040390000000200049 -:040391000000200048 -:040392000000200047 -:040393000000200046 -:040394000000200045 -:040395000000200044 -:040396000000200043 -:040397000000200042 -:040398000000200041 -:040399000000200040 -:04039A00000020003F -:04039B00000020003E -:04039C00000020003D -:04039D00000020003C -:04039E00000020003B -:04039F00000020003A -:0403A0000000200039 -:0403A1000000200038 -:0403A2000000200037 -:0403A3000000200036 -:0403A4000000200035 -:0403A5000000200034 -:0403A6000000200033 -:0403A7000000200032 -:0403A8000000200031 -:0403A9000000200030 -:0403AA00000020002F -:0403AB00000020002E -:0403AC00000020002D -:0403AD00000020002C -:0403AE00000020002B -:0403AF00000020002A -:0403B0000000200029 -:0403B1000000200028 -:0403B2000000200027 -:0403B3000000200026 -:0403B4000000200025 -:0403B5000000200024 -:0403B6000000200023 -:0403B7000000200022 -:0403B8000000200021 -:0403B9000000200020 -:0403BA00000020001F -:0403BB00000020001E -:0403BC00000020001D -:0403BD00000020001C -:0403BE00000020001B -:0403BF00000020001A -:0403C0000000200019 -:0403C1000000200018 -:0403C2000000200017 -:0403C3000000200016 -:0403C4000000200015 -:0403C5000000200014 -:0403C6000000200013 -:0403C7000000200012 -:0403C8000000200011 -:0403C9000000200010 -:0403CA00000020000F -:0403CB00000020000E -:0403CC00000020000D -:0403CD00000020000C -:0403CE00000020000B -:0403CF00000020000A -:0403D0000000200009 -:0403D1000000200008 -:0403D2000000200007 -:0403D3000000200006 -:0403D4000000200005 -:0403D5000000200004 -:0403D6000000200003 -:0403D7000000200002 -:0403D8000000200001 -:0403D9000000200000 -:0403DA0000002000FF -:0403DB0000002000FE -:0403DC0000002000FD -:0403DD0000002000FC -:0403DE0000002000FB -:0403DF0000002000FA -:0403E00000002000F9 -:0403E10000002000F8 -:0403E20000002000F7 -:0403E30000002000F6 -:0403E40000002000F5 -:0403E50000002000F4 -:0403E60000002000F3 -:0403E70000002000F2 -:0403E80000002000F1 -:0403E90000002000F0 -:0403EA0000002000EF -:0403EB0000002000EE -:0403EC0000002000ED -:0403ED0000002000EC -:0403EE0000002000EB -:0403EF0000002000EA -:0403F00000002000E9 -:0403F10000002000E8 -:0403F20000002000E7 -:0403F30000002000E6 -:0403F40000002000E5 -:0403F50000002000E4 -:0403F60000002000E3 -:0403F70000002000E2 -:0403F80000002000E1 -:0403F90000002000E0 -:0403FA0000002000DF -:0403FB0000002000DE -:0403FC0000002000DD -:0403FD0000002000DC -:0403FE0000002000DB -:0403FF0000002000DA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_10.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_10.hex deleted file mode 100644 index f50bff279ae778401f565a9605229bc1206086bf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_10.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400010000002000DB -:0400020000002000DA -:0400030000002000D9 -:0400040000002000D8 -:0400050000002000D7 -:0400060000002000D6 -:0400070000002000D5 -:0400080000002000D4 -:0400090000002000D3 -:04000A0000002000D2 -:04000B0000002000D1 -:04000C0000002000D0 -:04000D0000002000CF -:04000E0000002000CE -:04000F0000002000CD -:0400100000002000CC -:0400110000002000CB -:0400120000002000CA -:0400130000002000C9 -:0400140000002000C8 -:0400150000002000C7 -:0400160000002000C6 -:0400170000002000C5 -:0400180000002000C4 -:0400190000002000C3 -:04001A0000002000C2 -:04001B0000002000C1 -:04001C0000002000C0 -:04001D0000002000BF -:04001E0000002000BE -:04001F0000002000BD -:0400200000002000BC -:0400210000002000BB -:0400220000002000BA -:0400230000002000B9 -:0400240000002000B8 -:0400250000002000B7 -:0400260000002000B6 -:0400270000002000B5 -:0400280000002000B4 -:0400290000002000B3 -:04002A0000002000B2 -:04002B0000002000B1 -:04002C0000002000B0 -:04002D0000002000AF -:04002E0000002000AE -:04002F0000002000AD -:0400300000002000AC -:0400310000002000AB -:0400320000002000AA -:0400330000002000A9 -:0400340000002000A8 -:0400350000002000A7 -:0400360000002000A6 -:0400370000002000A5 -:0400380000002000A4 -:0400390000002000A3 -:04003A0000002000A2 -:04003B0000002000A1 -:04003C0000002000A0 -:04003D00000020009F -:04003E00000020009E -:04003F00000020009D -:04004000000020009C -:04004100000020009B -:04004200000020009A -:040043000000200099 -:040044000000200098 -:040045000000200097 -:040046000000200096 -:040047000000200095 -:040048000000200094 -:040049000000200093 -:04004A000000200092 -:04004B000000200091 -:04004C000000200090 -:04004D00000020008F -:04004E00000020008E -:04004F00000020008D -:04005000000020008C -:04005100000020008B -:04005200000020008A -:040053000000200089 -:040054000000200088 -:040055000000200087 -:040056000000200086 -:040057000000200085 -:040058000000200084 -:040059000000200083 -:04005A000000200082 -:04005B000000200081 -:04005C000000200080 -:04005D00000020007F -:04005E00000020007E -:04005F00000020007D -:04006000000020007C -:04006100000020007B -:04006200000020007A -:040063000000200079 -:040064000000200078 -:040065000000200077 -:040066000000200076 -:040067000000200075 -:040068000000200074 -:040069000000200073 -:04006A000000200072 -:04006B000000200071 -:04006C000000200070 -:04006D00000020006F -:04006E00000020006E -:04006F00000020006D -:04007000000020006C -:04007100000020006B -:04007200000020006A -:040073000000200069 -:040074000000200068 -:040075000000200067 -:040076000000200066 -:040077000000200065 -:040078000000200064 -:040079000000200063 -:04007A000000200062 -:04007B000000200061 -:04007C000000200060 -:04007D00000020005F -:04007E00000020005E -:04007F00000020005D -:04008000000020005C -:04008100000020005B -:04008200000020005A -:040083000000200059 -:040084000000200058 -:040085000000200057 -:040086000000200056 -:040087000000200055 -:040088000000200054 -:040089000000200053 -:04008A000000200052 -:04008B000000200051 -:04008C000000200050 -:04008D00000020004F -:04008E00000020004E -:04008F00000020004D -:04009000000020004C -:04009100000020004B -:04009200000020004A -:040093000000200049 -:040094000000200048 -:040095000000200047 -:040096000000200046 -:040097000000200045 -:040098000000200044 -:040099000000200043 -:04009A000000200042 -:04009B000000200041 -:04009C000000200040 -:04009D00000020003F -:04009E00000020003E -:04009F00000020003D -:0400A000000020003C -:0400A100000020003B -:0400A200000020003A -:0400A3000000200039 -:0400A4000000200038 -:0400A5000000200037 -:0400A6000000200036 -:0400A7000000200035 -:0400A8000000200034 -:0400A9000000200033 -:0400AA000000200032 -:0400AB000000200031 -:0400AC000000200030 -:0400AD00000020002F -:0400AE00000020002E -:0400AF00000020002D -:0400B000000020002C -:0400B100000020002B -:0400B200000020002A -:0400B3000000200029 -:0400B4000000200028 -:0400B5000000200027 -:0400B6000000200026 -:0400B7000000200025 -:0400B8000000200024 -:0400B9000000200023 -:0400BA000000200022 -:0400BB000000200021 -:0400BC000000200020 -:0400BD00000020001F -:0400BE00000020001E -:0400BF00000020001D -:0400C000000020001C -:0400C100000020001B -:0400C200000020001A -:0400C3000000200019 -:0400C4000000200018 -:0400C5000000200017 -:0400C6000000200016 -:0400C7000000200015 -:0400C8000000200014 -:0400C9000000200013 -:0400CA000000200012 -:0400CB000000200011 -:0400CC000000200010 -:0400CD00000020000F -:0400CE00000020000E -:0400CF00000020000D -:0400D000000020000C -:0400D100000020000B -:0400D200000020000A -:0400D3000000200009 -:0400D4000000200008 -:0400D5000000200007 -:0400D6000000200006 -:0400D7000000200005 -:0400D8000000200004 -:0400D9000000200003 -:0400DA000000200002 -:0400DB000000200001 -:0400DC000000200000 -:0400DD0000002000FF -:0400DE0000002000FE -:0400DF0000002000FD -:0400E00000002000FC -:0400E10000002000FB -:0400E20000002000FA -:0400E30000002000F9 -:0400E40000002000F8 -:0400E50000002000F7 -:0400E60000002000F6 -:0400E70000002000F5 -:0400E80000002000F4 -:0400E90000002000F3 -:0400EA0000002000F2 -:0400EB0000002000F1 -:0400EC0000002000F0 -:0400ED0000002000EF -:0400EE0000002000EE -:0400EF0000002000ED -:0400F00000002000EC -:0400F10000002000EB -:0400F20000002000EA -:0400F30000002000E9 -:0400F40000002000E8 -:0400F50000002000E7 -:0400F60000002000E6 -:0400F70000002000E5 -:0400F80000002000E4 -:0400F90000002000E3 -:0400FA0000002000E2 -:0400FB0000002000E1 -:0400FC0000002000E0 -:0400FD0000002000DF -:0400FE0000002000DE -:0400FF0000002000DD -:0401000000002000DB -:0401010000002000DA -:0401020000002000D9 -:0401030000002000D8 -:0401040000002000D7 -:0401050000002000D6 -:0401060000002000D5 -:0401070000002000D4 -:0401080000002000D3 -:0401090000002000D2 -:04010A0000002000D1 -:04010B0000002000D0 -:04010C0000002000CF -:04010D0000002000CE -:04010E0000002000CD -:04010F0000002000CC -:0401100000002000CB -:0401110000002000CA -:0401120000002000C9 -:0401130000002000C8 -:0401140000002000C7 -:0401150000002000C6 -:0401160000002000C5 -:0401170000002000C4 -:0401180000002000C3 -:0401190000002000C2 -:04011A0000002000C1 -:04011B0000002000C0 -:04011C0000002000BF -:04011D0000002000BE -:04011E0000002000BD -:04011F0000002000BC -:0401200000002000BB -:0401210000002000BA -:0401220000002000B9 -:0401230000002000B8 -:0401240000002000B7 -:0401250000002000B6 -:0401260000002000B5 -:0401270000002000B4 -:0401280000002000B3 -:0401290000002000B2 -:04012A0000002000B1 -:04012B0000002000B0 -:04012C0000002000AF -:04012D0000002000AE -:04012E0000002000AD -:04012F0000002000AC -:0401300000002000AB -:0401310000002000AA -:0401320000002000A9 -:0401330000002000A8 -:0401340000002000A7 -:0401350000002000A6 -:0401360000002000A5 -:0401370000002000A4 -:0401380000002000A3 -:0401390000002000A2 -:04013A0000002000A1 -:04013B0000002000A0 -:04013C00000020009F -:04013D00000020009E -:04013E00000020009D -:04013F00000020009C -:04014000000020009B -:04014100000020009A -:040142000000200099 -:040143000000200098 -:040144000000200097 -:040145000000200096 -:040146000000200095 -:040147000000200094 -:040148000000200093 -:040149000000200092 -:04014A000000200091 -:04014B000000200090 -:04014C00000020008F -:04014D00000020008E -:04014E00000020008D -:04014F00000020008C -:04015000000020008B -:04015100000020008A -:040152000000200089 -:040153000000200088 -:040154000000200087 -:040155000000200086 -:040156000000200085 -:040157000000200084 -:040158000000200083 -:040159000000200082 -:04015A000000200081 -:04015B000000200080 -:04015C00000020007F -:04015D00000020007E -:04015E00000020007D -:04015F00000020007C -:04016000000020007B -:04016100000020007A -:040162000000200079 -:040163000000200078 -:040164000000200077 -:040165000000200076 -:040166000000200075 -:040167000000200074 -:040168000000200073 -:040169000000200072 -:04016A000000200071 -:04016B000000200070 -:04016C00000020006F -:04016D00000020006E -:04016E00000020006D -:04016F00000020006C -:04017000000020006B -:04017100000020006A -:040172000000200069 -:040173000000200068 -:040174000000200067 -:040175000000200066 -:040176000000200065 -:040177000000200064 -:040178000000200063 -:040179000000200062 -:04017A000000200061 -:04017B000000200060 -:04017C00000020005F -:04017D00000020005E -:04017E00000020005D -:04017F00000020005C -:04018000000020005B -:04018100000020005A -:040182000000200059 -:040183000000200058 -:040184000000200057 -:040185000000200056 -:040186000000200055 -:040187000000200054 -:040188000000200053 -:040189000000200052 -:04018A000000200051 -:04018B000000200050 -:04018C00000020004F -:04018D00000020004E -:04018E00000020004D -:04018F00000020004C -:04019000000020004B -:04019100000020004A -:040192000000200049 -:040193000000200048 -:040194000000200047 -:040195000000200046 -:040196000000200045 -:040197000000200044 -:040198000000200043 -:040199000000200042 -:04019A000000200041 -:04019B000000200040 -:04019C00000020003F -:04019D00000020003E -:04019E00000020003D -:04019F00000020003C -:0401A000000020003B -:0401A100000020003A -:0401A2000000200039 -:0401A3000000200038 -:0401A4000000200037 -:0401A5000000200036 -:0401A6000000200035 -:0401A7000000200034 -:0401A8000000200033 -:0401A9000000200032 -:0401AA000000200031 -:0401AB000000200030 -:0401AC00000020002F -:0401AD00000020002E -:0401AE00000020002D -:0401AF00000020002C -:0401B000000020002B -:0401B100000020002A -:0401B2000000200029 -:0401B3000000200028 -:0401B4000000200027 -:0401B5000000200026 -:0401B6000000200025 -:0401B7000000200024 -:0401B8000000200023 -:0401B9000000200022 -:0401BA000000200021 -:0401BB000000200020 -:0401BC00000020001F -:0401BD00000020001E -:0401BE00000020001D -:0401BF00000020001C -:0401C000000020001B -:0401C100000020001A -:0401C2000000200019 -:0401C3000000200018 -:0401C4000000200017 -:0401C5000000200016 -:0401C6000000200015 -:0401C7000000200014 -:0401C8000000200013 -:0401C9000000200012 -:0401CA000000200011 -:0401CB000000200010 -:0401CC00000020000F -:0401CD00000020000E -:0401CE00000020000D -:0401CF00000020000C -:0401D000000020000B -:0401D100000020000A -:0401D2000000200009 -:0401D3000000200008 -:0401D4000000200007 -:0401D5000000200006 -:0401D6000000200005 -:0401D7000000200004 -:0401D8000000200003 -:0401D9000000200002 -:0401DA000000200001 -:0401DB000000200000 -:0401DC0000002000FF -:0401DD0000002000FE -:0401DE0000002000FD -:0401DF0000002000FC -:0401E00000002000FB -:0401E10000002000FA -:0401E20000002000F9 -:0401E30000002000F8 -:0401E40000002000F7 -:0401E50000002000F6 -:0401E60000002000F5 -:0401E70000002000F4 -:0401E80000002000F3 -:0401E90000002000F2 -:0401EA0000002000F1 -:0401EB0000002000F0 -:0401EC0000002000EF -:0401ED0000002000EE -:0401EE0000002000ED -:0401EF0000002000EC -:0401F00000002000EB -:0401F10000002000EA -:0401F20000002000E9 -:0401F30000002000E8 -:0401F40000002000E7 -:0401F50000002000E6 -:0401F60000002000E5 -:0401F70000002000E4 -:0401F80000002000E3 -:0401F90000002000E2 -:0401FA0000002000E1 -:0401FB0000002000E0 -:0401FC0000002000DF -:0401FD0000002000DE -:0401FE0000002000DD -:0401FF0000002000DC -:0402000000002000DA -:0402010000002000D9 -:0402020000002000D8 -:0402030000002000D7 -:0402040000002000D6 -:0402050000002000D5 -:0402060000002000D4 -:0402070000002000D3 -:0402080000002000D2 -:0402090000002000D1 -:04020A0000002000D0 -:04020B0000002000CF -:04020C0000002000CE -:04020D0000002000CD -:04020E0000002000CC -:04020F0000002000CB -:0402100000002000CA -:0402110000002000C9 -:0402120000002000C8 -:0402130000002000C7 -:0402140000002000C6 -:0402150000002000C5 -:0402160000002000C4 -:0402170000002000C3 -:0402180000002000C2 -:0402190000002000C1 -:04021A0000002000C0 -:04021B0000002000BF -:04021C0000002000BE -:04021D0000002000BD -:04021E0000002000BC -:04021F0000002000BB -:0402200000002000BA -:0402210000002000B9 -:0402220000002000B8 -:0402230000002000B7 -:0402240000002000B6 -:0402250000002000B5 -:0402260000002000B4 -:0402270000002000B3 -:0402280000002000B2 -:0402290000002000B1 -:04022A0000002000B0 -:04022B0000002000AF -:04022C0000002000AE -:04022D0000002000AD -:04022E0000002000AC -:04022F0000002000AB -:0402300000002000AA -:0402310000002000A9 -:0402320000002000A8 -:0402330000002000A7 -:0402340000002000A6 -:0402350000002000A5 -:0402360000002000A4 -:0402370000002000A3 -:0402380000002000A2 -:0402390000002000A1 -:04023A0000002000A0 -:04023B00000020009F -:04023C00000020009E -:04023D00000020009D -:04023E00000020009C -:04023F00000020009B -:04024000000020009A -:040241000000200099 -:040242000000200098 -:040243000000200097 -:040244000000200096 -:040245000000200095 -:040246000000200094 -:040247000000200093 -:040248000000200092 -:040249000000200091 -:04024A000000200090 -:04024B00000020008F -:04024C00000020008E -:04024D00000020008D -:04024E00000020008C -:04024F00000020008B -:04025000000020008A -:040251000000200089 -:040252000000200088 -:040253000000200087 -:040254000000200086 -:040255000000200085 -:040256000000200084 -:040257000000200083 -:040258000000200082 -:040259000000200081 -:04025A000000200080 -:04025B00000020007F -:04025C00000020007E -:04025D00000020007D -:04025E00000020007C -:04025F00000020007B -:04026000000020007A -:040261000000200079 -:040262000000200078 -:040263000000200077 -:040264000000200076 -:040265000000200075 -:040266000000200074 -:040267000000200073 -:040268000000200072 -:040269000000200071 -:04026A000000200070 -:04026B00000020006F -:04026C00000020006E -:04026D00000020006D -:04026E00000020006C -:04026F00000020006B -:04027000000020006A -:040271000000200069 -:040272000000200068 -:040273000000200067 -:040274000000200066 -:040275000000200065 -:040276000000200064 -:040277000000200063 -:040278000000200062 -:040279000000200061 -:04027A000000200060 -:04027B00000020005F -:04027C00000020005E -:04027D00000020005D -:04027E00000020005C -:04027F00000020005B -:04028000000020005A -:040281000000200059 -:040282000000200058 -:040283000000200057 -:040284000000200056 -:040285000000200055 -:040286000000200054 -:040287000000200053 -:040288000000200052 -:040289000000200051 -:04028A000000200050 -:04028B00000020004F -:04028C00000020004E -:04028D00000020004D -:04028E00000020004C -:04028F00000020004B -:04029000000020004A -:040291000000200049 -:040292000000200048 -:040293000000200047 -:040294000000200046 -:040295000000200045 -:040296000000200044 -:040297000000200043 -:040298000000200042 -:040299000000200041 -:04029A000000200040 -:04029B00000020003F -:04029C00000020003E -:04029D00000020003D -:04029E00000020003C -:04029F00000020003B -:0402A000000020003A -:0402A1000000200039 -:0402A2000000200038 -:0402A3000000200037 -:0402A4000000200036 -:0402A5000000200035 -:0402A6000000200034 -:0402A7000000200033 -:0402A8000000200032 -:0402A9000000200031 -:0402AA000000200030 -:0402AB00000020002F -:0402AC00000020002E -:0402AD00000020002D -:0402AE00000020002C -:0402AF00000020002B -:0402B000000020002A -:0402B1000000200029 -:0402B2000000200028 -:0402B3000000200027 -:0402B4000000200026 -:0402B5000000200025 -:0402B6000000200024 -:0402B7000000200023 -:0402B8000000200022 -:0402B9000000200021 -:0402BA000000200020 -:0402BB00000020001F -:0402BC00000020001E -:0402BD00000020001D -:0402BE00000020001C -:0402BF00000020001B -:0402C000000020001A -:0402C1000000200019 -:0402C2000000200018 -:0402C3000000200017 -:0402C4000000200016 -:0402C5000000200015 -:0402C6000000200014 -:0402C7000000200013 -:0402C8000000200012 -:0402C9000000200011 -:0402CA000000200010 -:0402CB00000020000F -:0402CC00000020000E -:0402CD00000020000D -:0402CE00000020000C -:0402CF00000020000B -:0402D000000020000A -:0402D1000000200009 -:0402D2000000200008 -:0402D3000000200007 -:0402D4000000200006 -:0402D5000000200005 -:0402D6000000200004 -:0402D7000000200003 -:0402D8000000200002 -:0402D9000000200001 -:0402DA000000200000 -:0402DB0000002000FF -:0402DC0000002000FE -:0402DD0000002000FD -:0402DE0000002000FC -:0402DF0000002000FB -:0402E00000002000FA -:0402E10000002000F9 -:0402E20000002000F8 -:0402E30000002000F7 -:0402E40000002000F6 -:0402E50000002000F5 -:0402E60000002000F4 -:0402E70000002000F3 -:0402E80000002000F2 -:0402E90000002000F1 -:0402EA0000002000F0 -:0402EB0000002000EF -:0402EC0000002000EE -:0402ED0000002000ED -:0402EE0000002000EC -:0402EF0000002000EB -:0402F00000002000EA -:0402F10000002000E9 -:0402F20000002000E8 -:0402F30000002000E7 -:0402F40000002000E6 -:0402F50000002000E5 -:0402F60000002000E4 -:0402F70000002000E3 -:0402F80000002000E2 -:0402F90000002000E1 -:0402FA0000002000E0 -:0402FB0000002000DF -:0402FC0000002000DE -:0402FD0000002000DD -:0402FE0000002000DC -:0402FF0000002000DB -:0403000000002000D9 -:0403010000002000D8 -:0403020000002000D7 -:0403030000002000D6 -:0403040000002000D5 -:0403050000002000D4 -:0403060000002000D3 -:0403070000002000D2 -:0403080000002000D1 -:0403090000002000D0 -:04030A0000002000CF -:04030B0000002000CE -:04030C0000002000CD -:04030D0000002000CC -:04030E0000002000CB -:04030F0000002000CA -:0403100000002000C9 -:0403110000002000C8 -:0403120000002000C7 -:0403130000002000C6 -:0403140000002000C5 -:0403150000002000C4 -:0403160000002000C3 -:0403170000002000C2 -:0403180000002000C1 -:0403190000002000C0 -:04031A0000002000BF -:04031B0000002000BE -:04031C0000002000BD -:04031D0000002000BC -:04031E0000002000BB -:04031F0000002000BA -:0403200000002000B9 -:0403210000002000B8 -:0403220000002000B7 -:0403230000002000B6 -:0403240000002000B5 -:0403250000002000B4 -:0403260000002000B3 -:0403270000002000B2 -:0403280000002000B1 -:0403290000002000B0 -:04032A0000002000AF -:04032B0000002000AE -:04032C0000002000AD -:04032D0000002000AC -:04032E0000002000AB -:04032F0000002000AA -:0403300000002000A9 -:0403310000002000A8 -:0403320000002000A7 -:0403330000002000A6 -:0403340000002000A5 -:0403350000002000A4 -:0403360000002000A3 -:0403370000002000A2 -:0403380000002000A1 -:0403390000002000A0 -:04033A00000020009F -:04033B00000020009E -:04033C00000020009D -:04033D00000020009C -:04033E00000020009B -:04033F00000020009A -:040340000000200099 -:040341000000200098 -:040342000000200097 -:040343000000200096 -:040344000000200095 -:040345000000200094 -:040346000000200093 -:040347000000200092 -:040348000000200091 -:040349000000200090 -:04034A00000020008F -:04034B00000020008E -:04034C00000020008D -:04034D00000020008C -:04034E00000020008B -:04034F00000020008A -:040350000000200089 -:040351000000200088 -:040352000000200087 -:040353000000200086 -:040354000000200085 -:040355000000200084 -:040356000000200083 -:040357000000200082 -:040358000000200081 -:040359000000200080 -:04035A00000020007F -:04035B00000020007E -:04035C00000020007D -:04035D00000020007C -:04035E00000020007B -:04035F00000020007A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000200071 -:040369000000200070 -:04036A00000020006F -:04036B00000020006E -:04036C00000020006D -:04036D00000020006C -:04036E00000020006B -:04036F00000020006A -:040370000000200069 -:040371000000200068 -:040372000000200067 -:040373000000200066 -:040374000000200065 -:040375000000200064 -:040376000000200063 -:040377000000200062 -:040378000000200061 -:040379000000200060 -:04037A00000020005F -:04037B00000020005E -:04037C00000020005D -:04037D00000020005C -:04037E00000020005B -:04037F00000020005A -:040380000000200059 -:040381000000200058 -:040382000000200057 -:040383000000200056 -:040384000000200055 -:040385000000200054 -:040386000000200053 -:040387000000200052 -:040388000000200051 -:040389000000200050 -:04038A00000020004F -:04038B00000020004E -:04038C00000020004D -:04038D00000020004C -:04038E00000020004B -:04038F00000020004A -:040390000000200049 -:040391000000200048 -:040392000000200047 -:040393000000200046 -:040394000000200045 -:040395000000200044 -:040396000000200043 -:040397000000200042 -:040398000000200041 -:040399000000200040 -:04039A00000020003F -:04039B00000020003E -:04039C00000020003D -:04039D00000020003C -:04039E00000020003B -:04039F00000020003A -:0403A0000000200039 -:0403A1000000200038 -:0403A2000000200037 -:0403A3000000200036 -:0403A4000000200035 -:0403A5000000200034 -:0403A6000000200033 -:0403A7000000200032 -:0403A8000000200031 -:0403A9000000200030 -:0403AA00000020002F -:0403AB00000020002E -:0403AC00000020002D -:0403AD00000020002C -:0403AE00000020002B -:0403AF00000020002A -:0403B0000000200029 -:0403B1000000200028 -:0403B2000000200027 -:0403B3000000200026 -:0403B4000000200025 -:0403B5000000200024 -:0403B6000000200023 -:0403B7000000200022 -:0403B8000000200021 -:0403B9000000200020 -:0403BA00000020001F -:0403BB00000020001E -:0403BC00000020001D -:0403BD00000020001C -:0403BE00000020001B -:0403BF00000020001A -:0403C0000000200019 -:0403C1000000200018 -:0403C2000000200017 -:0403C3000000200016 -:0403C4000000200015 -:0403C5000000200014 -:0403C6000000200013 -:0403C7000000200012 -:0403C8000000200011 -:0403C9000000200010 -:0403CA00000020000F -:0403CB00000020000E -:0403CC00000020000D -:0403CD00000020000C -:0403CE00000020000B -:0403CF00000020000A -:0403D0000000200009 -:0403D1000000200008 -:0403D2000000200007 -:0403D3000000200006 -:0403D4000000200005 -:0403D5000000200004 -:0403D6000000200003 -:0403D7000000200002 -:0403D8000000200001 -:0403D9000000200000 -:0403DA0000002000FF -:0403DB0000002000FE -:0403DC0000002000FD -:0403DD0000002000FC -:0403DE0000002000FB -:0403DF0000002000FA -:0403E00000002000F9 -:0403E10000002000F8 -:0403E20000002000F7 -:0403E30000002000F6 -:0403E40000002000F5 -:0403E50000002000F4 -:0403E60000002000F3 -:0403E70000002000F2 -:0403E80000002000F1 -:0403E90000002000F0 -:0403EA0000002000EF -:0403EB0000002000EE -:0403EC0000002000ED -:0403ED0000002000EC -:0403EE0000002000EB -:0403EF0000002000EA -:0403F00000002000E9 -:0403F10000002000E8 -:0403F20000002000E7 -:0403F30000002000E6 -:0403F40000002000E5 -:0403F50000002000E4 -:0403F60000002000E3 -:0403F70000002000E2 -:0403F80000002000E1 -:0403F90000002000E0 -:0403FA0000002000DF -:0403FB0000002000DE -:0403FC0000002000DD -:0403FD0000002000DC -:0403FE0000002000DB -:0403FF0000002000DA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_11.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_11.hex deleted file mode 100644 index f50bff279ae778401f565a9605229bc1206086bf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_11.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400010000002000DB -:0400020000002000DA -:0400030000002000D9 -:0400040000002000D8 -:0400050000002000D7 -:0400060000002000D6 -:0400070000002000D5 -:0400080000002000D4 -:0400090000002000D3 -:04000A0000002000D2 -:04000B0000002000D1 -:04000C0000002000D0 -:04000D0000002000CF -:04000E0000002000CE -:04000F0000002000CD -:0400100000002000CC -:0400110000002000CB -:0400120000002000CA -:0400130000002000C9 -:0400140000002000C8 -:0400150000002000C7 -:0400160000002000C6 -:0400170000002000C5 -:0400180000002000C4 -:0400190000002000C3 -:04001A0000002000C2 -:04001B0000002000C1 -:04001C0000002000C0 -:04001D0000002000BF -:04001E0000002000BE -:04001F0000002000BD -:0400200000002000BC -:0400210000002000BB -:0400220000002000BA -:0400230000002000B9 -:0400240000002000B8 -:0400250000002000B7 -:0400260000002000B6 -:0400270000002000B5 -:0400280000002000B4 -:0400290000002000B3 -:04002A0000002000B2 -:04002B0000002000B1 -:04002C0000002000B0 -:04002D0000002000AF -:04002E0000002000AE -:04002F0000002000AD -:0400300000002000AC -:0400310000002000AB -:0400320000002000AA -:0400330000002000A9 -:0400340000002000A8 -:0400350000002000A7 -:0400360000002000A6 -:0400370000002000A5 -:0400380000002000A4 -:0400390000002000A3 -:04003A0000002000A2 -:04003B0000002000A1 -:04003C0000002000A0 -:04003D00000020009F -:04003E00000020009E -:04003F00000020009D -:04004000000020009C -:04004100000020009B -:04004200000020009A -:040043000000200099 -:040044000000200098 -:040045000000200097 -:040046000000200096 -:040047000000200095 -:040048000000200094 -:040049000000200093 -:04004A000000200092 -:04004B000000200091 -:04004C000000200090 -:04004D00000020008F -:04004E00000020008E -:04004F00000020008D -:04005000000020008C -:04005100000020008B -:04005200000020008A -:040053000000200089 -:040054000000200088 -:040055000000200087 -:040056000000200086 -:040057000000200085 -:040058000000200084 -:040059000000200083 -:04005A000000200082 -:04005B000000200081 -:04005C000000200080 -:04005D00000020007F -:04005E00000020007E -:04005F00000020007D -:04006000000020007C -:04006100000020007B -:04006200000020007A -:040063000000200079 -:040064000000200078 -:040065000000200077 -:040066000000200076 -:040067000000200075 -:040068000000200074 -:040069000000200073 -:04006A000000200072 -:04006B000000200071 -:04006C000000200070 -:04006D00000020006F -:04006E00000020006E -:04006F00000020006D -:04007000000020006C -:04007100000020006B -:04007200000020006A -:040073000000200069 -:040074000000200068 -:040075000000200067 -:040076000000200066 -:040077000000200065 -:040078000000200064 -:040079000000200063 -:04007A000000200062 -:04007B000000200061 -:04007C000000200060 -:04007D00000020005F -:04007E00000020005E -:04007F00000020005D -:04008000000020005C -:04008100000020005B -:04008200000020005A -:040083000000200059 -:040084000000200058 -:040085000000200057 -:040086000000200056 -:040087000000200055 -:040088000000200054 -:040089000000200053 -:04008A000000200052 -:04008B000000200051 -:04008C000000200050 -:04008D00000020004F -:04008E00000020004E -:04008F00000020004D -:04009000000020004C -:04009100000020004B -:04009200000020004A -:040093000000200049 -:040094000000200048 -:040095000000200047 -:040096000000200046 -:040097000000200045 -:040098000000200044 -:040099000000200043 -:04009A000000200042 -:04009B000000200041 -:04009C000000200040 -:04009D00000020003F -:04009E00000020003E -:04009F00000020003D -:0400A000000020003C -:0400A100000020003B -:0400A200000020003A -:0400A3000000200039 -:0400A4000000200038 -:0400A5000000200037 -:0400A6000000200036 -:0400A7000000200035 -:0400A8000000200034 -:0400A9000000200033 -:0400AA000000200032 -:0400AB000000200031 -:0400AC000000200030 -:0400AD00000020002F -:0400AE00000020002E -:0400AF00000020002D -:0400B000000020002C -:0400B100000020002B -:0400B200000020002A -:0400B3000000200029 -:0400B4000000200028 -:0400B5000000200027 -:0400B6000000200026 -:0400B7000000200025 -:0400B8000000200024 -:0400B9000000200023 -:0400BA000000200022 -:0400BB000000200021 -:0400BC000000200020 -:0400BD00000020001F -:0400BE00000020001E -:0400BF00000020001D -:0400C000000020001C -:0400C100000020001B -:0400C200000020001A -:0400C3000000200019 -:0400C4000000200018 -:0400C5000000200017 -:0400C6000000200016 -:0400C7000000200015 -:0400C8000000200014 -:0400C9000000200013 -:0400CA000000200012 -:0400CB000000200011 -:0400CC000000200010 -:0400CD00000020000F -:0400CE00000020000E -:0400CF00000020000D -:0400D000000020000C -:0400D100000020000B -:0400D200000020000A -:0400D3000000200009 -:0400D4000000200008 -:0400D5000000200007 -:0400D6000000200006 -:0400D7000000200005 -:0400D8000000200004 -:0400D9000000200003 -:0400DA000000200002 -:0400DB000000200001 -:0400DC000000200000 -:0400DD0000002000FF -:0400DE0000002000FE -:0400DF0000002000FD -:0400E00000002000FC -:0400E10000002000FB -:0400E20000002000FA -:0400E30000002000F9 -:0400E40000002000F8 -:0400E50000002000F7 -:0400E60000002000F6 -:0400E70000002000F5 -:0400E80000002000F4 -:0400E90000002000F3 -:0400EA0000002000F2 -:0400EB0000002000F1 -:0400EC0000002000F0 -:0400ED0000002000EF -:0400EE0000002000EE -:0400EF0000002000ED -:0400F00000002000EC -:0400F10000002000EB -:0400F20000002000EA -:0400F30000002000E9 -:0400F40000002000E8 -:0400F50000002000E7 -:0400F60000002000E6 -:0400F70000002000E5 -:0400F80000002000E4 -:0400F90000002000E3 -:0400FA0000002000E2 -:0400FB0000002000E1 -:0400FC0000002000E0 -:0400FD0000002000DF -:0400FE0000002000DE -:0400FF0000002000DD -:0401000000002000DB -:0401010000002000DA -:0401020000002000D9 -:0401030000002000D8 -:0401040000002000D7 -:0401050000002000D6 -:0401060000002000D5 -:0401070000002000D4 -:0401080000002000D3 -:0401090000002000D2 -:04010A0000002000D1 -:04010B0000002000D0 -:04010C0000002000CF -:04010D0000002000CE -:04010E0000002000CD -:04010F0000002000CC -:0401100000002000CB -:0401110000002000CA -:0401120000002000C9 -:0401130000002000C8 -:0401140000002000C7 -:0401150000002000C6 -:0401160000002000C5 -:0401170000002000C4 -:0401180000002000C3 -:0401190000002000C2 -:04011A0000002000C1 -:04011B0000002000C0 -:04011C0000002000BF -:04011D0000002000BE -:04011E0000002000BD -:04011F0000002000BC -:0401200000002000BB -:0401210000002000BA -:0401220000002000B9 -:0401230000002000B8 -:0401240000002000B7 -:0401250000002000B6 -:0401260000002000B5 -:0401270000002000B4 -:0401280000002000B3 -:0401290000002000B2 -:04012A0000002000B1 -:04012B0000002000B0 -:04012C0000002000AF -:04012D0000002000AE -:04012E0000002000AD -:04012F0000002000AC -:0401300000002000AB -:0401310000002000AA -:0401320000002000A9 -:0401330000002000A8 -:0401340000002000A7 -:0401350000002000A6 -:0401360000002000A5 -:0401370000002000A4 -:0401380000002000A3 -:0401390000002000A2 -:04013A0000002000A1 -:04013B0000002000A0 -:04013C00000020009F -:04013D00000020009E -:04013E00000020009D -:04013F00000020009C -:04014000000020009B -:04014100000020009A -:040142000000200099 -:040143000000200098 -:040144000000200097 -:040145000000200096 -:040146000000200095 -:040147000000200094 -:040148000000200093 -:040149000000200092 -:04014A000000200091 -:04014B000000200090 -:04014C00000020008F -:04014D00000020008E -:04014E00000020008D -:04014F00000020008C -:04015000000020008B -:04015100000020008A -:040152000000200089 -:040153000000200088 -:040154000000200087 -:040155000000200086 -:040156000000200085 -:040157000000200084 -:040158000000200083 -:040159000000200082 -:04015A000000200081 -:04015B000000200080 -:04015C00000020007F -:04015D00000020007E -:04015E00000020007D -:04015F00000020007C -:04016000000020007B -:04016100000020007A -:040162000000200079 -:040163000000200078 -:040164000000200077 -:040165000000200076 -:040166000000200075 -:040167000000200074 -:040168000000200073 -:040169000000200072 -:04016A000000200071 -:04016B000000200070 -:04016C00000020006F -:04016D00000020006E -:04016E00000020006D -:04016F00000020006C -:04017000000020006B -:04017100000020006A -:040172000000200069 -:040173000000200068 -:040174000000200067 -:040175000000200066 -:040176000000200065 -:040177000000200064 -:040178000000200063 -:040179000000200062 -:04017A000000200061 -:04017B000000200060 -:04017C00000020005F -:04017D00000020005E -:04017E00000020005D -:04017F00000020005C -:04018000000020005B -:04018100000020005A -:040182000000200059 -:040183000000200058 -:040184000000200057 -:040185000000200056 -:040186000000200055 -:040187000000200054 -:040188000000200053 -:040189000000200052 -:04018A000000200051 -:04018B000000200050 -:04018C00000020004F -:04018D00000020004E -:04018E00000020004D -:04018F00000020004C -:04019000000020004B -:04019100000020004A -:040192000000200049 -:040193000000200048 -:040194000000200047 -:040195000000200046 -:040196000000200045 -:040197000000200044 -:040198000000200043 -:040199000000200042 -:04019A000000200041 -:04019B000000200040 -:04019C00000020003F -:04019D00000020003E -:04019E00000020003D -:04019F00000020003C -:0401A000000020003B -:0401A100000020003A -:0401A2000000200039 -:0401A3000000200038 -:0401A4000000200037 -:0401A5000000200036 -:0401A6000000200035 -:0401A7000000200034 -:0401A8000000200033 -:0401A9000000200032 -:0401AA000000200031 -:0401AB000000200030 -:0401AC00000020002F -:0401AD00000020002E -:0401AE00000020002D -:0401AF00000020002C -:0401B000000020002B -:0401B100000020002A -:0401B2000000200029 -:0401B3000000200028 -:0401B4000000200027 -:0401B5000000200026 -:0401B6000000200025 -:0401B7000000200024 -:0401B8000000200023 -:0401B9000000200022 -:0401BA000000200021 -:0401BB000000200020 -:0401BC00000020001F -:0401BD00000020001E -:0401BE00000020001D -:0401BF00000020001C -:0401C000000020001B -:0401C100000020001A -:0401C2000000200019 -:0401C3000000200018 -:0401C4000000200017 -:0401C5000000200016 -:0401C6000000200015 -:0401C7000000200014 -:0401C8000000200013 -:0401C9000000200012 -:0401CA000000200011 -:0401CB000000200010 -:0401CC00000020000F -:0401CD00000020000E -:0401CE00000020000D -:0401CF00000020000C -:0401D000000020000B -:0401D100000020000A -:0401D2000000200009 -:0401D3000000200008 -:0401D4000000200007 -:0401D5000000200006 -:0401D6000000200005 -:0401D7000000200004 -:0401D8000000200003 -:0401D9000000200002 -:0401DA000000200001 -:0401DB000000200000 -:0401DC0000002000FF -:0401DD0000002000FE -:0401DE0000002000FD -:0401DF0000002000FC -:0401E00000002000FB -:0401E10000002000FA -:0401E20000002000F9 -:0401E30000002000F8 -:0401E40000002000F7 -:0401E50000002000F6 -:0401E60000002000F5 -:0401E70000002000F4 -:0401E80000002000F3 -:0401E90000002000F2 -:0401EA0000002000F1 -:0401EB0000002000F0 -:0401EC0000002000EF -:0401ED0000002000EE -:0401EE0000002000ED -:0401EF0000002000EC -:0401F00000002000EB -:0401F10000002000EA -:0401F20000002000E9 -:0401F30000002000E8 -:0401F40000002000E7 -:0401F50000002000E6 -:0401F60000002000E5 -:0401F70000002000E4 -:0401F80000002000E3 -:0401F90000002000E2 -:0401FA0000002000E1 -:0401FB0000002000E0 -:0401FC0000002000DF -:0401FD0000002000DE -:0401FE0000002000DD -:0401FF0000002000DC -:0402000000002000DA -:0402010000002000D9 -:0402020000002000D8 -:0402030000002000D7 -:0402040000002000D6 -:0402050000002000D5 -:0402060000002000D4 -:0402070000002000D3 -:0402080000002000D2 -:0402090000002000D1 -:04020A0000002000D0 -:04020B0000002000CF -:04020C0000002000CE -:04020D0000002000CD -:04020E0000002000CC -:04020F0000002000CB -:0402100000002000CA -:0402110000002000C9 -:0402120000002000C8 -:0402130000002000C7 -:0402140000002000C6 -:0402150000002000C5 -:0402160000002000C4 -:0402170000002000C3 -:0402180000002000C2 -:0402190000002000C1 -:04021A0000002000C0 -:04021B0000002000BF -:04021C0000002000BE -:04021D0000002000BD -:04021E0000002000BC -:04021F0000002000BB -:0402200000002000BA -:0402210000002000B9 -:0402220000002000B8 -:0402230000002000B7 -:0402240000002000B6 -:0402250000002000B5 -:0402260000002000B4 -:0402270000002000B3 -:0402280000002000B2 -:0402290000002000B1 -:04022A0000002000B0 -:04022B0000002000AF -:04022C0000002000AE -:04022D0000002000AD -:04022E0000002000AC -:04022F0000002000AB -:0402300000002000AA -:0402310000002000A9 -:0402320000002000A8 -:0402330000002000A7 -:0402340000002000A6 -:0402350000002000A5 -:0402360000002000A4 -:0402370000002000A3 -:0402380000002000A2 -:0402390000002000A1 -:04023A0000002000A0 -:04023B00000020009F -:04023C00000020009E -:04023D00000020009D -:04023E00000020009C -:04023F00000020009B -:04024000000020009A -:040241000000200099 -:040242000000200098 -:040243000000200097 -:040244000000200096 -:040245000000200095 -:040246000000200094 -:040247000000200093 -:040248000000200092 -:040249000000200091 -:04024A000000200090 -:04024B00000020008F -:04024C00000020008E -:04024D00000020008D -:04024E00000020008C -:04024F00000020008B -:04025000000020008A -:040251000000200089 -:040252000000200088 -:040253000000200087 -:040254000000200086 -:040255000000200085 -:040256000000200084 -:040257000000200083 -:040258000000200082 -:040259000000200081 -:04025A000000200080 -:04025B00000020007F -:04025C00000020007E -:04025D00000020007D -:04025E00000020007C -:04025F00000020007B -:04026000000020007A -:040261000000200079 -:040262000000200078 -:040263000000200077 -:040264000000200076 -:040265000000200075 -:040266000000200074 -:040267000000200073 -:040268000000200072 -:040269000000200071 -:04026A000000200070 -:04026B00000020006F -:04026C00000020006E -:04026D00000020006D -:04026E00000020006C -:04026F00000020006B -:04027000000020006A -:040271000000200069 -:040272000000200068 -:040273000000200067 -:040274000000200066 -:040275000000200065 -:040276000000200064 -:040277000000200063 -:040278000000200062 -:040279000000200061 -:04027A000000200060 -:04027B00000020005F -:04027C00000020005E -:04027D00000020005D -:04027E00000020005C -:04027F00000020005B -:04028000000020005A -:040281000000200059 -:040282000000200058 -:040283000000200057 -:040284000000200056 -:040285000000200055 -:040286000000200054 -:040287000000200053 -:040288000000200052 -:040289000000200051 -:04028A000000200050 -:04028B00000020004F -:04028C00000020004E -:04028D00000020004D -:04028E00000020004C -:04028F00000020004B -:04029000000020004A -:040291000000200049 -:040292000000200048 -:040293000000200047 -:040294000000200046 -:040295000000200045 -:040296000000200044 -:040297000000200043 -:040298000000200042 -:040299000000200041 -:04029A000000200040 -:04029B00000020003F -:04029C00000020003E -:04029D00000020003D -:04029E00000020003C -:04029F00000020003B -:0402A000000020003A -:0402A1000000200039 -:0402A2000000200038 -:0402A3000000200037 -:0402A4000000200036 -:0402A5000000200035 -:0402A6000000200034 -:0402A7000000200033 -:0402A8000000200032 -:0402A9000000200031 -:0402AA000000200030 -:0402AB00000020002F -:0402AC00000020002E -:0402AD00000020002D -:0402AE00000020002C -:0402AF00000020002B -:0402B000000020002A -:0402B1000000200029 -:0402B2000000200028 -:0402B3000000200027 -:0402B4000000200026 -:0402B5000000200025 -:0402B6000000200024 -:0402B7000000200023 -:0402B8000000200022 -:0402B9000000200021 -:0402BA000000200020 -:0402BB00000020001F -:0402BC00000020001E -:0402BD00000020001D -:0402BE00000020001C -:0402BF00000020001B -:0402C000000020001A -:0402C1000000200019 -:0402C2000000200018 -:0402C3000000200017 -:0402C4000000200016 -:0402C5000000200015 -:0402C6000000200014 -:0402C7000000200013 -:0402C8000000200012 -:0402C9000000200011 -:0402CA000000200010 -:0402CB00000020000F -:0402CC00000020000E -:0402CD00000020000D -:0402CE00000020000C -:0402CF00000020000B -:0402D000000020000A -:0402D1000000200009 -:0402D2000000200008 -:0402D3000000200007 -:0402D4000000200006 -:0402D5000000200005 -:0402D6000000200004 -:0402D7000000200003 -:0402D8000000200002 -:0402D9000000200001 -:0402DA000000200000 -:0402DB0000002000FF -:0402DC0000002000FE -:0402DD0000002000FD -:0402DE0000002000FC -:0402DF0000002000FB -:0402E00000002000FA -:0402E10000002000F9 -:0402E20000002000F8 -:0402E30000002000F7 -:0402E40000002000F6 -:0402E50000002000F5 -:0402E60000002000F4 -:0402E70000002000F3 -:0402E80000002000F2 -:0402E90000002000F1 -:0402EA0000002000F0 -:0402EB0000002000EF -:0402EC0000002000EE -:0402ED0000002000ED -:0402EE0000002000EC -:0402EF0000002000EB -:0402F00000002000EA -:0402F10000002000E9 -:0402F20000002000E8 -:0402F30000002000E7 -:0402F40000002000E6 -:0402F50000002000E5 -:0402F60000002000E4 -:0402F70000002000E3 -:0402F80000002000E2 -:0402F90000002000E1 -:0402FA0000002000E0 -:0402FB0000002000DF -:0402FC0000002000DE -:0402FD0000002000DD -:0402FE0000002000DC -:0402FF0000002000DB -:0403000000002000D9 -:0403010000002000D8 -:0403020000002000D7 -:0403030000002000D6 -:0403040000002000D5 -:0403050000002000D4 -:0403060000002000D3 -:0403070000002000D2 -:0403080000002000D1 -:0403090000002000D0 -:04030A0000002000CF -:04030B0000002000CE -:04030C0000002000CD -:04030D0000002000CC -:04030E0000002000CB -:04030F0000002000CA -:0403100000002000C9 -:0403110000002000C8 -:0403120000002000C7 -:0403130000002000C6 -:0403140000002000C5 -:0403150000002000C4 -:0403160000002000C3 -:0403170000002000C2 -:0403180000002000C1 -:0403190000002000C0 -:04031A0000002000BF -:04031B0000002000BE -:04031C0000002000BD -:04031D0000002000BC -:04031E0000002000BB -:04031F0000002000BA -:0403200000002000B9 -:0403210000002000B8 -:0403220000002000B7 -:0403230000002000B6 -:0403240000002000B5 -:0403250000002000B4 -:0403260000002000B3 -:0403270000002000B2 -:0403280000002000B1 -:0403290000002000B0 -:04032A0000002000AF -:04032B0000002000AE -:04032C0000002000AD -:04032D0000002000AC -:04032E0000002000AB -:04032F0000002000AA -:0403300000002000A9 -:0403310000002000A8 -:0403320000002000A7 -:0403330000002000A6 -:0403340000002000A5 -:0403350000002000A4 -:0403360000002000A3 -:0403370000002000A2 -:0403380000002000A1 -:0403390000002000A0 -:04033A00000020009F -:04033B00000020009E -:04033C00000020009D -:04033D00000020009C -:04033E00000020009B -:04033F00000020009A -:040340000000200099 -:040341000000200098 -:040342000000200097 -:040343000000200096 -:040344000000200095 -:040345000000200094 -:040346000000200093 -:040347000000200092 -:040348000000200091 -:040349000000200090 -:04034A00000020008F -:04034B00000020008E -:04034C00000020008D -:04034D00000020008C -:04034E00000020008B -:04034F00000020008A -:040350000000200089 -:040351000000200088 -:040352000000200087 -:040353000000200086 -:040354000000200085 -:040355000000200084 -:040356000000200083 -:040357000000200082 -:040358000000200081 -:040359000000200080 -:04035A00000020007F -:04035B00000020007E -:04035C00000020007D -:04035D00000020007C -:04035E00000020007B -:04035F00000020007A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000200071 -:040369000000200070 -:04036A00000020006F -:04036B00000020006E -:04036C00000020006D -:04036D00000020006C -:04036E00000020006B -:04036F00000020006A -:040370000000200069 -:040371000000200068 -:040372000000200067 -:040373000000200066 -:040374000000200065 -:040375000000200064 -:040376000000200063 -:040377000000200062 -:040378000000200061 -:040379000000200060 -:04037A00000020005F -:04037B00000020005E -:04037C00000020005D -:04037D00000020005C -:04037E00000020005B -:04037F00000020005A -:040380000000200059 -:040381000000200058 -:040382000000200057 -:040383000000200056 -:040384000000200055 -:040385000000200054 -:040386000000200053 -:040387000000200052 -:040388000000200051 -:040389000000200050 -:04038A00000020004F -:04038B00000020004E -:04038C00000020004D -:04038D00000020004C -:04038E00000020004B -:04038F00000020004A -:040390000000200049 -:040391000000200048 -:040392000000200047 -:040393000000200046 -:040394000000200045 -:040395000000200044 -:040396000000200043 -:040397000000200042 -:040398000000200041 -:040399000000200040 -:04039A00000020003F -:04039B00000020003E -:04039C00000020003D -:04039D00000020003C -:04039E00000020003B -:04039F00000020003A -:0403A0000000200039 -:0403A1000000200038 -:0403A2000000200037 -:0403A3000000200036 -:0403A4000000200035 -:0403A5000000200034 -:0403A6000000200033 -:0403A7000000200032 -:0403A8000000200031 -:0403A9000000200030 -:0403AA00000020002F -:0403AB00000020002E -:0403AC00000020002D -:0403AD00000020002C -:0403AE00000020002B -:0403AF00000020002A -:0403B0000000200029 -:0403B1000000200028 -:0403B2000000200027 -:0403B3000000200026 -:0403B4000000200025 -:0403B5000000200024 -:0403B6000000200023 -:0403B7000000200022 -:0403B8000000200021 -:0403B9000000200020 -:0403BA00000020001F -:0403BB00000020001E -:0403BC00000020001D -:0403BD00000020001C -:0403BE00000020001B -:0403BF00000020001A -:0403C0000000200019 -:0403C1000000200018 -:0403C2000000200017 -:0403C3000000200016 -:0403C4000000200015 -:0403C5000000200014 -:0403C6000000200013 -:0403C7000000200012 -:0403C8000000200011 -:0403C9000000200010 -:0403CA00000020000F -:0403CB00000020000E -:0403CC00000020000D -:0403CD00000020000C -:0403CE00000020000B -:0403CF00000020000A -:0403D0000000200009 -:0403D1000000200008 -:0403D2000000200007 -:0403D3000000200006 -:0403D4000000200005 -:0403D5000000200004 -:0403D6000000200003 -:0403D7000000200002 -:0403D8000000200001 -:0403D9000000200000 -:0403DA0000002000FF -:0403DB0000002000FE -:0403DC0000002000FD -:0403DD0000002000FC -:0403DE0000002000FB -:0403DF0000002000FA -:0403E00000002000F9 -:0403E10000002000F8 -:0403E20000002000F7 -:0403E30000002000F6 -:0403E40000002000F5 -:0403E50000002000F4 -:0403E60000002000F3 -:0403E70000002000F2 -:0403E80000002000F1 -:0403E90000002000F0 -:0403EA0000002000EF -:0403EB0000002000EE -:0403EC0000002000ED -:0403ED0000002000EC -:0403EE0000002000EB -:0403EF0000002000EA -:0403F00000002000E9 -:0403F10000002000E8 -:0403F20000002000E7 -:0403F30000002000E6 -:0403F40000002000E5 -:0403F50000002000E4 -:0403F60000002000E3 -:0403F70000002000E2 -:0403F80000002000E1 -:0403F90000002000E0 -:0403FA0000002000DF -:0403FB0000002000DE -:0403FC0000002000DD -:0403FD0000002000DC -:0403FE0000002000DB -:0403FF0000002000DA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_2.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_2.hex deleted file mode 100644 index f50bff279ae778401f565a9605229bc1206086bf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_2.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400010000002000DB -:0400020000002000DA -:0400030000002000D9 -:0400040000002000D8 -:0400050000002000D7 -:0400060000002000D6 -:0400070000002000D5 -:0400080000002000D4 -:0400090000002000D3 -:04000A0000002000D2 -:04000B0000002000D1 -:04000C0000002000D0 -:04000D0000002000CF -:04000E0000002000CE -:04000F0000002000CD -:0400100000002000CC -:0400110000002000CB -:0400120000002000CA -:0400130000002000C9 -:0400140000002000C8 -:0400150000002000C7 -:0400160000002000C6 -:0400170000002000C5 -:0400180000002000C4 -:0400190000002000C3 -:04001A0000002000C2 -:04001B0000002000C1 -:04001C0000002000C0 -:04001D0000002000BF -:04001E0000002000BE -:04001F0000002000BD -:0400200000002000BC -:0400210000002000BB -:0400220000002000BA -:0400230000002000B9 -:0400240000002000B8 -:0400250000002000B7 -:0400260000002000B6 -:0400270000002000B5 -:0400280000002000B4 -:0400290000002000B3 -:04002A0000002000B2 -:04002B0000002000B1 -:04002C0000002000B0 -:04002D0000002000AF -:04002E0000002000AE -:04002F0000002000AD -:0400300000002000AC -:0400310000002000AB -:0400320000002000AA -:0400330000002000A9 -:0400340000002000A8 -:0400350000002000A7 -:0400360000002000A6 -:0400370000002000A5 -:0400380000002000A4 -:0400390000002000A3 -:04003A0000002000A2 -:04003B0000002000A1 -:04003C0000002000A0 -:04003D00000020009F -:04003E00000020009E -:04003F00000020009D -:04004000000020009C -:04004100000020009B -:04004200000020009A -:040043000000200099 -:040044000000200098 -:040045000000200097 -:040046000000200096 -:040047000000200095 -:040048000000200094 -:040049000000200093 -:04004A000000200092 -:04004B000000200091 -:04004C000000200090 -:04004D00000020008F -:04004E00000020008E -:04004F00000020008D -:04005000000020008C -:04005100000020008B -:04005200000020008A -:040053000000200089 -:040054000000200088 -:040055000000200087 -:040056000000200086 -:040057000000200085 -:040058000000200084 -:040059000000200083 -:04005A000000200082 -:04005B000000200081 -:04005C000000200080 -:04005D00000020007F -:04005E00000020007E -:04005F00000020007D -:04006000000020007C -:04006100000020007B -:04006200000020007A -:040063000000200079 -:040064000000200078 -:040065000000200077 -:040066000000200076 -:040067000000200075 -:040068000000200074 -:040069000000200073 -:04006A000000200072 -:04006B000000200071 -:04006C000000200070 -:04006D00000020006F -:04006E00000020006E -:04006F00000020006D -:04007000000020006C -:04007100000020006B -:04007200000020006A -:040073000000200069 -:040074000000200068 -:040075000000200067 -:040076000000200066 -:040077000000200065 -:040078000000200064 -:040079000000200063 -:04007A000000200062 -:04007B000000200061 -:04007C000000200060 -:04007D00000020005F -:04007E00000020005E -:04007F00000020005D -:04008000000020005C -:04008100000020005B -:04008200000020005A -:040083000000200059 -:040084000000200058 -:040085000000200057 -:040086000000200056 -:040087000000200055 -:040088000000200054 -:040089000000200053 -:04008A000000200052 -:04008B000000200051 -:04008C000000200050 -:04008D00000020004F -:04008E00000020004E -:04008F00000020004D -:04009000000020004C -:04009100000020004B -:04009200000020004A -:040093000000200049 -:040094000000200048 -:040095000000200047 -:040096000000200046 -:040097000000200045 -:040098000000200044 -:040099000000200043 -:04009A000000200042 -:04009B000000200041 -:04009C000000200040 -:04009D00000020003F -:04009E00000020003E -:04009F00000020003D -:0400A000000020003C -:0400A100000020003B -:0400A200000020003A -:0400A3000000200039 -:0400A4000000200038 -:0400A5000000200037 -:0400A6000000200036 -:0400A7000000200035 -:0400A8000000200034 -:0400A9000000200033 -:0400AA000000200032 -:0400AB000000200031 -:0400AC000000200030 -:0400AD00000020002F -:0400AE00000020002E -:0400AF00000020002D -:0400B000000020002C -:0400B100000020002B -:0400B200000020002A -:0400B3000000200029 -:0400B4000000200028 -:0400B5000000200027 -:0400B6000000200026 -:0400B7000000200025 -:0400B8000000200024 -:0400B9000000200023 -:0400BA000000200022 -:0400BB000000200021 -:0400BC000000200020 -:0400BD00000020001F -:0400BE00000020001E -:0400BF00000020001D -:0400C000000020001C -:0400C100000020001B -:0400C200000020001A -:0400C3000000200019 -:0400C4000000200018 -:0400C5000000200017 -:0400C6000000200016 -:0400C7000000200015 -:0400C8000000200014 -:0400C9000000200013 -:0400CA000000200012 -:0400CB000000200011 -:0400CC000000200010 -:0400CD00000020000F -:0400CE00000020000E -:0400CF00000020000D -:0400D000000020000C -:0400D100000020000B -:0400D200000020000A -:0400D3000000200009 -:0400D4000000200008 -:0400D5000000200007 -:0400D6000000200006 -:0400D7000000200005 -:0400D8000000200004 -:0400D9000000200003 -:0400DA000000200002 -:0400DB000000200001 -:0400DC000000200000 -:0400DD0000002000FF -:0400DE0000002000FE -:0400DF0000002000FD -:0400E00000002000FC -:0400E10000002000FB -:0400E20000002000FA -:0400E30000002000F9 -:0400E40000002000F8 -:0400E50000002000F7 -:0400E60000002000F6 -:0400E70000002000F5 -:0400E80000002000F4 -:0400E90000002000F3 -:0400EA0000002000F2 -:0400EB0000002000F1 -:0400EC0000002000F0 -:0400ED0000002000EF -:0400EE0000002000EE -:0400EF0000002000ED -:0400F00000002000EC -:0400F10000002000EB -:0400F20000002000EA -:0400F30000002000E9 -:0400F40000002000E8 -:0400F50000002000E7 -:0400F60000002000E6 -:0400F70000002000E5 -:0400F80000002000E4 -:0400F90000002000E3 -:0400FA0000002000E2 -:0400FB0000002000E1 -:0400FC0000002000E0 -:0400FD0000002000DF -:0400FE0000002000DE -:0400FF0000002000DD -:0401000000002000DB -:0401010000002000DA -:0401020000002000D9 -:0401030000002000D8 -:0401040000002000D7 -:0401050000002000D6 -:0401060000002000D5 -:0401070000002000D4 -:0401080000002000D3 -:0401090000002000D2 -:04010A0000002000D1 -:04010B0000002000D0 -:04010C0000002000CF -:04010D0000002000CE -:04010E0000002000CD -:04010F0000002000CC -:0401100000002000CB -:0401110000002000CA -:0401120000002000C9 -:0401130000002000C8 -:0401140000002000C7 -:0401150000002000C6 -:0401160000002000C5 -:0401170000002000C4 -:0401180000002000C3 -:0401190000002000C2 -:04011A0000002000C1 -:04011B0000002000C0 -:04011C0000002000BF -:04011D0000002000BE -:04011E0000002000BD -:04011F0000002000BC -:0401200000002000BB -:0401210000002000BA -:0401220000002000B9 -:0401230000002000B8 -:0401240000002000B7 -:0401250000002000B6 -:0401260000002000B5 -:0401270000002000B4 -:0401280000002000B3 -:0401290000002000B2 -:04012A0000002000B1 -:04012B0000002000B0 -:04012C0000002000AF -:04012D0000002000AE -:04012E0000002000AD -:04012F0000002000AC -:0401300000002000AB -:0401310000002000AA -:0401320000002000A9 -:0401330000002000A8 -:0401340000002000A7 -:0401350000002000A6 -:0401360000002000A5 -:0401370000002000A4 -:0401380000002000A3 -:0401390000002000A2 -:04013A0000002000A1 -:04013B0000002000A0 -:04013C00000020009F -:04013D00000020009E -:04013E00000020009D -:04013F00000020009C -:04014000000020009B -:04014100000020009A -:040142000000200099 -:040143000000200098 -:040144000000200097 -:040145000000200096 -:040146000000200095 -:040147000000200094 -:040148000000200093 -:040149000000200092 -:04014A000000200091 -:04014B000000200090 -:04014C00000020008F -:04014D00000020008E -:04014E00000020008D -:04014F00000020008C -:04015000000020008B -:04015100000020008A -:040152000000200089 -:040153000000200088 -:040154000000200087 -:040155000000200086 -:040156000000200085 -:040157000000200084 -:040158000000200083 -:040159000000200082 -:04015A000000200081 -:04015B000000200080 -:04015C00000020007F -:04015D00000020007E -:04015E00000020007D -:04015F00000020007C -:04016000000020007B -:04016100000020007A -:040162000000200079 -:040163000000200078 -:040164000000200077 -:040165000000200076 -:040166000000200075 -:040167000000200074 -:040168000000200073 -:040169000000200072 -:04016A000000200071 -:04016B000000200070 -:04016C00000020006F -:04016D00000020006E -:04016E00000020006D -:04016F00000020006C -:04017000000020006B -:04017100000020006A -:040172000000200069 -:040173000000200068 -:040174000000200067 -:040175000000200066 -:040176000000200065 -:040177000000200064 -:040178000000200063 -:040179000000200062 -:04017A000000200061 -:04017B000000200060 -:04017C00000020005F -:04017D00000020005E -:04017E00000020005D -:04017F00000020005C -:04018000000020005B -:04018100000020005A -:040182000000200059 -:040183000000200058 -:040184000000200057 -:040185000000200056 -:040186000000200055 -:040187000000200054 -:040188000000200053 -:040189000000200052 -:04018A000000200051 -:04018B000000200050 -:04018C00000020004F -:04018D00000020004E -:04018E00000020004D -:04018F00000020004C -:04019000000020004B -:04019100000020004A -:040192000000200049 -:040193000000200048 -:040194000000200047 -:040195000000200046 -:040196000000200045 -:040197000000200044 -:040198000000200043 -:040199000000200042 -:04019A000000200041 -:04019B000000200040 -:04019C00000020003F -:04019D00000020003E -:04019E00000020003D -:04019F00000020003C -:0401A000000020003B -:0401A100000020003A -:0401A2000000200039 -:0401A3000000200038 -:0401A4000000200037 -:0401A5000000200036 -:0401A6000000200035 -:0401A7000000200034 -:0401A8000000200033 -:0401A9000000200032 -:0401AA000000200031 -:0401AB000000200030 -:0401AC00000020002F -:0401AD00000020002E -:0401AE00000020002D -:0401AF00000020002C -:0401B000000020002B -:0401B100000020002A -:0401B2000000200029 -:0401B3000000200028 -:0401B4000000200027 -:0401B5000000200026 -:0401B6000000200025 -:0401B7000000200024 -:0401B8000000200023 -:0401B9000000200022 -:0401BA000000200021 -:0401BB000000200020 -:0401BC00000020001F -:0401BD00000020001E -:0401BE00000020001D -:0401BF00000020001C -:0401C000000020001B -:0401C100000020001A -:0401C2000000200019 -:0401C3000000200018 -:0401C4000000200017 -:0401C5000000200016 -:0401C6000000200015 -:0401C7000000200014 -:0401C8000000200013 -:0401C9000000200012 -:0401CA000000200011 -:0401CB000000200010 -:0401CC00000020000F -:0401CD00000020000E -:0401CE00000020000D -:0401CF00000020000C -:0401D000000020000B -:0401D100000020000A -:0401D2000000200009 -:0401D3000000200008 -:0401D4000000200007 -:0401D5000000200006 -:0401D6000000200005 -:0401D7000000200004 -:0401D8000000200003 -:0401D9000000200002 -:0401DA000000200001 -:0401DB000000200000 -:0401DC0000002000FF -:0401DD0000002000FE -:0401DE0000002000FD -:0401DF0000002000FC -:0401E00000002000FB -:0401E10000002000FA -:0401E20000002000F9 -:0401E30000002000F8 -:0401E40000002000F7 -:0401E50000002000F6 -:0401E60000002000F5 -:0401E70000002000F4 -:0401E80000002000F3 -:0401E90000002000F2 -:0401EA0000002000F1 -:0401EB0000002000F0 -:0401EC0000002000EF -:0401ED0000002000EE -:0401EE0000002000ED -:0401EF0000002000EC -:0401F00000002000EB -:0401F10000002000EA -:0401F20000002000E9 -:0401F30000002000E8 -:0401F40000002000E7 -:0401F50000002000E6 -:0401F60000002000E5 -:0401F70000002000E4 -:0401F80000002000E3 -:0401F90000002000E2 -:0401FA0000002000E1 -:0401FB0000002000E0 -:0401FC0000002000DF -:0401FD0000002000DE -:0401FE0000002000DD -:0401FF0000002000DC -:0402000000002000DA -:0402010000002000D9 -:0402020000002000D8 -:0402030000002000D7 -:0402040000002000D6 -:0402050000002000D5 -:0402060000002000D4 -:0402070000002000D3 -:0402080000002000D2 -:0402090000002000D1 -:04020A0000002000D0 -:04020B0000002000CF -:04020C0000002000CE -:04020D0000002000CD -:04020E0000002000CC -:04020F0000002000CB -:0402100000002000CA -:0402110000002000C9 -:0402120000002000C8 -:0402130000002000C7 -:0402140000002000C6 -:0402150000002000C5 -:0402160000002000C4 -:0402170000002000C3 -:0402180000002000C2 -:0402190000002000C1 -:04021A0000002000C0 -:04021B0000002000BF -:04021C0000002000BE -:04021D0000002000BD -:04021E0000002000BC -:04021F0000002000BB -:0402200000002000BA -:0402210000002000B9 -:0402220000002000B8 -:0402230000002000B7 -:0402240000002000B6 -:0402250000002000B5 -:0402260000002000B4 -:0402270000002000B3 -:0402280000002000B2 -:0402290000002000B1 -:04022A0000002000B0 -:04022B0000002000AF -:04022C0000002000AE -:04022D0000002000AD -:04022E0000002000AC -:04022F0000002000AB -:0402300000002000AA -:0402310000002000A9 -:0402320000002000A8 -:0402330000002000A7 -:0402340000002000A6 -:0402350000002000A5 -:0402360000002000A4 -:0402370000002000A3 -:0402380000002000A2 -:0402390000002000A1 -:04023A0000002000A0 -:04023B00000020009F -:04023C00000020009E -:04023D00000020009D -:04023E00000020009C -:04023F00000020009B -:04024000000020009A -:040241000000200099 -:040242000000200098 -:040243000000200097 -:040244000000200096 -:040245000000200095 -:040246000000200094 -:040247000000200093 -:040248000000200092 -:040249000000200091 -:04024A000000200090 -:04024B00000020008F -:04024C00000020008E -:04024D00000020008D -:04024E00000020008C -:04024F00000020008B -:04025000000020008A -:040251000000200089 -:040252000000200088 -:040253000000200087 -:040254000000200086 -:040255000000200085 -:040256000000200084 -:040257000000200083 -:040258000000200082 -:040259000000200081 -:04025A000000200080 -:04025B00000020007F -:04025C00000020007E -:04025D00000020007D -:04025E00000020007C -:04025F00000020007B -:04026000000020007A -:040261000000200079 -:040262000000200078 -:040263000000200077 -:040264000000200076 -:040265000000200075 -:040266000000200074 -:040267000000200073 -:040268000000200072 -:040269000000200071 -:04026A000000200070 -:04026B00000020006F -:04026C00000020006E -:04026D00000020006D -:04026E00000020006C -:04026F00000020006B -:04027000000020006A -:040271000000200069 -:040272000000200068 -:040273000000200067 -:040274000000200066 -:040275000000200065 -:040276000000200064 -:040277000000200063 -:040278000000200062 -:040279000000200061 -:04027A000000200060 -:04027B00000020005F -:04027C00000020005E -:04027D00000020005D -:04027E00000020005C -:04027F00000020005B -:04028000000020005A -:040281000000200059 -:040282000000200058 -:040283000000200057 -:040284000000200056 -:040285000000200055 -:040286000000200054 -:040287000000200053 -:040288000000200052 -:040289000000200051 -:04028A000000200050 -:04028B00000020004F -:04028C00000020004E -:04028D00000020004D -:04028E00000020004C -:04028F00000020004B -:04029000000020004A -:040291000000200049 -:040292000000200048 -:040293000000200047 -:040294000000200046 -:040295000000200045 -:040296000000200044 -:040297000000200043 -:040298000000200042 -:040299000000200041 -:04029A000000200040 -:04029B00000020003F -:04029C00000020003E -:04029D00000020003D -:04029E00000020003C -:04029F00000020003B -:0402A000000020003A -:0402A1000000200039 -:0402A2000000200038 -:0402A3000000200037 -:0402A4000000200036 -:0402A5000000200035 -:0402A6000000200034 -:0402A7000000200033 -:0402A8000000200032 -:0402A9000000200031 -:0402AA000000200030 -:0402AB00000020002F -:0402AC00000020002E -:0402AD00000020002D -:0402AE00000020002C -:0402AF00000020002B -:0402B000000020002A -:0402B1000000200029 -:0402B2000000200028 -:0402B3000000200027 -:0402B4000000200026 -:0402B5000000200025 -:0402B6000000200024 -:0402B7000000200023 -:0402B8000000200022 -:0402B9000000200021 -:0402BA000000200020 -:0402BB00000020001F -:0402BC00000020001E -:0402BD00000020001D -:0402BE00000020001C -:0402BF00000020001B -:0402C000000020001A -:0402C1000000200019 -:0402C2000000200018 -:0402C3000000200017 -:0402C4000000200016 -:0402C5000000200015 -:0402C6000000200014 -:0402C7000000200013 -:0402C8000000200012 -:0402C9000000200011 -:0402CA000000200010 -:0402CB00000020000F -:0402CC00000020000E -:0402CD00000020000D -:0402CE00000020000C -:0402CF00000020000B -:0402D000000020000A -:0402D1000000200009 -:0402D2000000200008 -:0402D3000000200007 -:0402D4000000200006 -:0402D5000000200005 -:0402D6000000200004 -:0402D7000000200003 -:0402D8000000200002 -:0402D9000000200001 -:0402DA000000200000 -:0402DB0000002000FF -:0402DC0000002000FE -:0402DD0000002000FD -:0402DE0000002000FC -:0402DF0000002000FB -:0402E00000002000FA -:0402E10000002000F9 -:0402E20000002000F8 -:0402E30000002000F7 -:0402E40000002000F6 -:0402E50000002000F5 -:0402E60000002000F4 -:0402E70000002000F3 -:0402E80000002000F2 -:0402E90000002000F1 -:0402EA0000002000F0 -:0402EB0000002000EF -:0402EC0000002000EE -:0402ED0000002000ED -:0402EE0000002000EC -:0402EF0000002000EB -:0402F00000002000EA -:0402F10000002000E9 -:0402F20000002000E8 -:0402F30000002000E7 -:0402F40000002000E6 -:0402F50000002000E5 -:0402F60000002000E4 -:0402F70000002000E3 -:0402F80000002000E2 -:0402F90000002000E1 -:0402FA0000002000E0 -:0402FB0000002000DF -:0402FC0000002000DE -:0402FD0000002000DD -:0402FE0000002000DC -:0402FF0000002000DB -:0403000000002000D9 -:0403010000002000D8 -:0403020000002000D7 -:0403030000002000D6 -:0403040000002000D5 -:0403050000002000D4 -:0403060000002000D3 -:0403070000002000D2 -:0403080000002000D1 -:0403090000002000D0 -:04030A0000002000CF -:04030B0000002000CE -:04030C0000002000CD -:04030D0000002000CC -:04030E0000002000CB -:04030F0000002000CA -:0403100000002000C9 -:0403110000002000C8 -:0403120000002000C7 -:0403130000002000C6 -:0403140000002000C5 -:0403150000002000C4 -:0403160000002000C3 -:0403170000002000C2 -:0403180000002000C1 -:0403190000002000C0 -:04031A0000002000BF -:04031B0000002000BE -:04031C0000002000BD -:04031D0000002000BC -:04031E0000002000BB -:04031F0000002000BA -:0403200000002000B9 -:0403210000002000B8 -:0403220000002000B7 -:0403230000002000B6 -:0403240000002000B5 -:0403250000002000B4 -:0403260000002000B3 -:0403270000002000B2 -:0403280000002000B1 -:0403290000002000B0 -:04032A0000002000AF -:04032B0000002000AE -:04032C0000002000AD -:04032D0000002000AC -:04032E0000002000AB -:04032F0000002000AA -:0403300000002000A9 -:0403310000002000A8 -:0403320000002000A7 -:0403330000002000A6 -:0403340000002000A5 -:0403350000002000A4 -:0403360000002000A3 -:0403370000002000A2 -:0403380000002000A1 -:0403390000002000A0 -:04033A00000020009F -:04033B00000020009E -:04033C00000020009D -:04033D00000020009C -:04033E00000020009B -:04033F00000020009A -:040340000000200099 -:040341000000200098 -:040342000000200097 -:040343000000200096 -:040344000000200095 -:040345000000200094 -:040346000000200093 -:040347000000200092 -:040348000000200091 -:040349000000200090 -:04034A00000020008F -:04034B00000020008E -:04034C00000020008D -:04034D00000020008C -:04034E00000020008B -:04034F00000020008A -:040350000000200089 -:040351000000200088 -:040352000000200087 -:040353000000200086 -:040354000000200085 -:040355000000200084 -:040356000000200083 -:040357000000200082 -:040358000000200081 -:040359000000200080 -:04035A00000020007F -:04035B00000020007E -:04035C00000020007D -:04035D00000020007C -:04035E00000020007B -:04035F00000020007A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000200071 -:040369000000200070 -:04036A00000020006F -:04036B00000020006E -:04036C00000020006D -:04036D00000020006C -:04036E00000020006B -:04036F00000020006A -:040370000000200069 -:040371000000200068 -:040372000000200067 -:040373000000200066 -:040374000000200065 -:040375000000200064 -:040376000000200063 -:040377000000200062 -:040378000000200061 -:040379000000200060 -:04037A00000020005F -:04037B00000020005E -:04037C00000020005D -:04037D00000020005C -:04037E00000020005B -:04037F00000020005A -:040380000000200059 -:040381000000200058 -:040382000000200057 -:040383000000200056 -:040384000000200055 -:040385000000200054 -:040386000000200053 -:040387000000200052 -:040388000000200051 -:040389000000200050 -:04038A00000020004F -:04038B00000020004E -:04038C00000020004D -:04038D00000020004C -:04038E00000020004B -:04038F00000020004A -:040390000000200049 -:040391000000200048 -:040392000000200047 -:040393000000200046 -:040394000000200045 -:040395000000200044 -:040396000000200043 -:040397000000200042 -:040398000000200041 -:040399000000200040 -:04039A00000020003F -:04039B00000020003E -:04039C00000020003D -:04039D00000020003C -:04039E00000020003B -:04039F00000020003A -:0403A0000000200039 -:0403A1000000200038 -:0403A2000000200037 -:0403A3000000200036 -:0403A4000000200035 -:0403A5000000200034 -:0403A6000000200033 -:0403A7000000200032 -:0403A8000000200031 -:0403A9000000200030 -:0403AA00000020002F -:0403AB00000020002E -:0403AC00000020002D -:0403AD00000020002C -:0403AE00000020002B -:0403AF00000020002A -:0403B0000000200029 -:0403B1000000200028 -:0403B2000000200027 -:0403B3000000200026 -:0403B4000000200025 -:0403B5000000200024 -:0403B6000000200023 -:0403B7000000200022 -:0403B8000000200021 -:0403B9000000200020 -:0403BA00000020001F -:0403BB00000020001E -:0403BC00000020001D -:0403BD00000020001C -:0403BE00000020001B -:0403BF00000020001A -:0403C0000000200019 -:0403C1000000200018 -:0403C2000000200017 -:0403C3000000200016 -:0403C4000000200015 -:0403C5000000200014 -:0403C6000000200013 -:0403C7000000200012 -:0403C8000000200011 -:0403C9000000200010 -:0403CA00000020000F -:0403CB00000020000E -:0403CC00000020000D -:0403CD00000020000C -:0403CE00000020000B -:0403CF00000020000A -:0403D0000000200009 -:0403D1000000200008 -:0403D2000000200007 -:0403D3000000200006 -:0403D4000000200005 -:0403D5000000200004 -:0403D6000000200003 -:0403D7000000200002 -:0403D8000000200001 -:0403D9000000200000 -:0403DA0000002000FF -:0403DB0000002000FE -:0403DC0000002000FD -:0403DD0000002000FC -:0403DE0000002000FB -:0403DF0000002000FA -:0403E00000002000F9 -:0403E10000002000F8 -:0403E20000002000F7 -:0403E30000002000F6 -:0403E40000002000F5 -:0403E50000002000F4 -:0403E60000002000F3 -:0403E70000002000F2 -:0403E80000002000F1 -:0403E90000002000F0 -:0403EA0000002000EF -:0403EB0000002000EE -:0403EC0000002000ED -:0403ED0000002000EC -:0403EE0000002000EB -:0403EF0000002000EA -:0403F00000002000E9 -:0403F10000002000E8 -:0403F20000002000E7 -:0403F30000002000E6 -:0403F40000002000E5 -:0403F50000002000E4 -:0403F60000002000E3 -:0403F70000002000E2 -:0403F80000002000E1 -:0403F90000002000E0 -:0403FA0000002000DF -:0403FB0000002000DE -:0403FC0000002000DD -:0403FD0000002000DC -:0403FE0000002000DB -:0403FF0000002000DA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_3.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_3.hex deleted file mode 100644 index f50bff279ae778401f565a9605229bc1206086bf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_3.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400010000002000DB -:0400020000002000DA -:0400030000002000D9 -:0400040000002000D8 -:0400050000002000D7 -:0400060000002000D6 -:0400070000002000D5 -:0400080000002000D4 -:0400090000002000D3 -:04000A0000002000D2 -:04000B0000002000D1 -:04000C0000002000D0 -:04000D0000002000CF -:04000E0000002000CE -:04000F0000002000CD -:0400100000002000CC -:0400110000002000CB -:0400120000002000CA -:0400130000002000C9 -:0400140000002000C8 -:0400150000002000C7 -:0400160000002000C6 -:0400170000002000C5 -:0400180000002000C4 -:0400190000002000C3 -:04001A0000002000C2 -:04001B0000002000C1 -:04001C0000002000C0 -:04001D0000002000BF -:04001E0000002000BE -:04001F0000002000BD -:0400200000002000BC -:0400210000002000BB -:0400220000002000BA -:0400230000002000B9 -:0400240000002000B8 -:0400250000002000B7 -:0400260000002000B6 -:0400270000002000B5 -:0400280000002000B4 -:0400290000002000B3 -:04002A0000002000B2 -:04002B0000002000B1 -:04002C0000002000B0 -:04002D0000002000AF -:04002E0000002000AE -:04002F0000002000AD -:0400300000002000AC -:0400310000002000AB -:0400320000002000AA -:0400330000002000A9 -:0400340000002000A8 -:0400350000002000A7 -:0400360000002000A6 -:0400370000002000A5 -:0400380000002000A4 -:0400390000002000A3 -:04003A0000002000A2 -:04003B0000002000A1 -:04003C0000002000A0 -:04003D00000020009F -:04003E00000020009E -:04003F00000020009D -:04004000000020009C -:04004100000020009B -:04004200000020009A -:040043000000200099 -:040044000000200098 -:040045000000200097 -:040046000000200096 -:040047000000200095 -:040048000000200094 -:040049000000200093 -:04004A000000200092 -:04004B000000200091 -:04004C000000200090 -:04004D00000020008F -:04004E00000020008E -:04004F00000020008D -:04005000000020008C -:04005100000020008B -:04005200000020008A -:040053000000200089 -:040054000000200088 -:040055000000200087 -:040056000000200086 -:040057000000200085 -:040058000000200084 -:040059000000200083 -:04005A000000200082 -:04005B000000200081 -:04005C000000200080 -:04005D00000020007F -:04005E00000020007E -:04005F00000020007D -:04006000000020007C -:04006100000020007B -:04006200000020007A -:040063000000200079 -:040064000000200078 -:040065000000200077 -:040066000000200076 -:040067000000200075 -:040068000000200074 -:040069000000200073 -:04006A000000200072 -:04006B000000200071 -:04006C000000200070 -:04006D00000020006F -:04006E00000020006E -:04006F00000020006D -:04007000000020006C -:04007100000020006B -:04007200000020006A -:040073000000200069 -:040074000000200068 -:040075000000200067 -:040076000000200066 -:040077000000200065 -:040078000000200064 -:040079000000200063 -:04007A000000200062 -:04007B000000200061 -:04007C000000200060 -:04007D00000020005F -:04007E00000020005E -:04007F00000020005D -:04008000000020005C -:04008100000020005B -:04008200000020005A -:040083000000200059 -:040084000000200058 -:040085000000200057 -:040086000000200056 -:040087000000200055 -:040088000000200054 -:040089000000200053 -:04008A000000200052 -:04008B000000200051 -:04008C000000200050 -:04008D00000020004F -:04008E00000020004E -:04008F00000020004D -:04009000000020004C -:04009100000020004B -:04009200000020004A -:040093000000200049 -:040094000000200048 -:040095000000200047 -:040096000000200046 -:040097000000200045 -:040098000000200044 -:040099000000200043 -:04009A000000200042 -:04009B000000200041 -:04009C000000200040 -:04009D00000020003F -:04009E00000020003E -:04009F00000020003D -:0400A000000020003C -:0400A100000020003B -:0400A200000020003A -:0400A3000000200039 -:0400A4000000200038 -:0400A5000000200037 -:0400A6000000200036 -:0400A7000000200035 -:0400A8000000200034 -:0400A9000000200033 -:0400AA000000200032 -:0400AB000000200031 -:0400AC000000200030 -:0400AD00000020002F -:0400AE00000020002E -:0400AF00000020002D -:0400B000000020002C -:0400B100000020002B -:0400B200000020002A -:0400B3000000200029 -:0400B4000000200028 -:0400B5000000200027 -:0400B6000000200026 -:0400B7000000200025 -:0400B8000000200024 -:0400B9000000200023 -:0400BA000000200022 -:0400BB000000200021 -:0400BC000000200020 -:0400BD00000020001F -:0400BE00000020001E -:0400BF00000020001D -:0400C000000020001C -:0400C100000020001B -:0400C200000020001A -:0400C3000000200019 -:0400C4000000200018 -:0400C5000000200017 -:0400C6000000200016 -:0400C7000000200015 -:0400C8000000200014 -:0400C9000000200013 -:0400CA000000200012 -:0400CB000000200011 -:0400CC000000200010 -:0400CD00000020000F -:0400CE00000020000E -:0400CF00000020000D -:0400D000000020000C -:0400D100000020000B -:0400D200000020000A -:0400D3000000200009 -:0400D4000000200008 -:0400D5000000200007 -:0400D6000000200006 -:0400D7000000200005 -:0400D8000000200004 -:0400D9000000200003 -:0400DA000000200002 -:0400DB000000200001 -:0400DC000000200000 -:0400DD0000002000FF -:0400DE0000002000FE -:0400DF0000002000FD -:0400E00000002000FC -:0400E10000002000FB -:0400E20000002000FA -:0400E30000002000F9 -:0400E40000002000F8 -:0400E50000002000F7 -:0400E60000002000F6 -:0400E70000002000F5 -:0400E80000002000F4 -:0400E90000002000F3 -:0400EA0000002000F2 -:0400EB0000002000F1 -:0400EC0000002000F0 -:0400ED0000002000EF -:0400EE0000002000EE -:0400EF0000002000ED -:0400F00000002000EC -:0400F10000002000EB -:0400F20000002000EA -:0400F30000002000E9 -:0400F40000002000E8 -:0400F50000002000E7 -:0400F60000002000E6 -:0400F70000002000E5 -:0400F80000002000E4 -:0400F90000002000E3 -:0400FA0000002000E2 -:0400FB0000002000E1 -:0400FC0000002000E0 -:0400FD0000002000DF -:0400FE0000002000DE -:0400FF0000002000DD -:0401000000002000DB -:0401010000002000DA -:0401020000002000D9 -:0401030000002000D8 -:0401040000002000D7 -:0401050000002000D6 -:0401060000002000D5 -:0401070000002000D4 -:0401080000002000D3 -:0401090000002000D2 -:04010A0000002000D1 -:04010B0000002000D0 -:04010C0000002000CF -:04010D0000002000CE -:04010E0000002000CD -:04010F0000002000CC -:0401100000002000CB -:0401110000002000CA -:0401120000002000C9 -:0401130000002000C8 -:0401140000002000C7 -:0401150000002000C6 -:0401160000002000C5 -:0401170000002000C4 -:0401180000002000C3 -:0401190000002000C2 -:04011A0000002000C1 -:04011B0000002000C0 -:04011C0000002000BF -:04011D0000002000BE -:04011E0000002000BD -:04011F0000002000BC -:0401200000002000BB -:0401210000002000BA -:0401220000002000B9 -:0401230000002000B8 -:0401240000002000B7 -:0401250000002000B6 -:0401260000002000B5 -:0401270000002000B4 -:0401280000002000B3 -:0401290000002000B2 -:04012A0000002000B1 -:04012B0000002000B0 -:04012C0000002000AF -:04012D0000002000AE -:04012E0000002000AD -:04012F0000002000AC -:0401300000002000AB -:0401310000002000AA -:0401320000002000A9 -:0401330000002000A8 -:0401340000002000A7 -:0401350000002000A6 -:0401360000002000A5 -:0401370000002000A4 -:0401380000002000A3 -:0401390000002000A2 -:04013A0000002000A1 -:04013B0000002000A0 -:04013C00000020009F -:04013D00000020009E -:04013E00000020009D -:04013F00000020009C -:04014000000020009B -:04014100000020009A -:040142000000200099 -:040143000000200098 -:040144000000200097 -:040145000000200096 -:040146000000200095 -:040147000000200094 -:040148000000200093 -:040149000000200092 -:04014A000000200091 -:04014B000000200090 -:04014C00000020008F -:04014D00000020008E -:04014E00000020008D -:04014F00000020008C -:04015000000020008B -:04015100000020008A -:040152000000200089 -:040153000000200088 -:040154000000200087 -:040155000000200086 -:040156000000200085 -:040157000000200084 -:040158000000200083 -:040159000000200082 -:04015A000000200081 -:04015B000000200080 -:04015C00000020007F -:04015D00000020007E -:04015E00000020007D -:04015F00000020007C -:04016000000020007B -:04016100000020007A -:040162000000200079 -:040163000000200078 -:040164000000200077 -:040165000000200076 -:040166000000200075 -:040167000000200074 -:040168000000200073 -:040169000000200072 -:04016A000000200071 -:04016B000000200070 -:04016C00000020006F -:04016D00000020006E -:04016E00000020006D -:04016F00000020006C -:04017000000020006B -:04017100000020006A -:040172000000200069 -:040173000000200068 -:040174000000200067 -:040175000000200066 -:040176000000200065 -:040177000000200064 -:040178000000200063 -:040179000000200062 -:04017A000000200061 -:04017B000000200060 -:04017C00000020005F -:04017D00000020005E -:04017E00000020005D -:04017F00000020005C -:04018000000020005B -:04018100000020005A -:040182000000200059 -:040183000000200058 -:040184000000200057 -:040185000000200056 -:040186000000200055 -:040187000000200054 -:040188000000200053 -:040189000000200052 -:04018A000000200051 -:04018B000000200050 -:04018C00000020004F -:04018D00000020004E -:04018E00000020004D -:04018F00000020004C -:04019000000020004B -:04019100000020004A -:040192000000200049 -:040193000000200048 -:040194000000200047 -:040195000000200046 -:040196000000200045 -:040197000000200044 -:040198000000200043 -:040199000000200042 -:04019A000000200041 -:04019B000000200040 -:04019C00000020003F -:04019D00000020003E -:04019E00000020003D -:04019F00000020003C -:0401A000000020003B -:0401A100000020003A -:0401A2000000200039 -:0401A3000000200038 -:0401A4000000200037 -:0401A5000000200036 -:0401A6000000200035 -:0401A7000000200034 -:0401A8000000200033 -:0401A9000000200032 -:0401AA000000200031 -:0401AB000000200030 -:0401AC00000020002F -:0401AD00000020002E -:0401AE00000020002D -:0401AF00000020002C -:0401B000000020002B -:0401B100000020002A -:0401B2000000200029 -:0401B3000000200028 -:0401B4000000200027 -:0401B5000000200026 -:0401B6000000200025 -:0401B7000000200024 -:0401B8000000200023 -:0401B9000000200022 -:0401BA000000200021 -:0401BB000000200020 -:0401BC00000020001F -:0401BD00000020001E -:0401BE00000020001D -:0401BF00000020001C -:0401C000000020001B -:0401C100000020001A -:0401C2000000200019 -:0401C3000000200018 -:0401C4000000200017 -:0401C5000000200016 -:0401C6000000200015 -:0401C7000000200014 -:0401C8000000200013 -:0401C9000000200012 -:0401CA000000200011 -:0401CB000000200010 -:0401CC00000020000F -:0401CD00000020000E -:0401CE00000020000D -:0401CF00000020000C -:0401D000000020000B -:0401D100000020000A -:0401D2000000200009 -:0401D3000000200008 -:0401D4000000200007 -:0401D5000000200006 -:0401D6000000200005 -:0401D7000000200004 -:0401D8000000200003 -:0401D9000000200002 -:0401DA000000200001 -:0401DB000000200000 -:0401DC0000002000FF -:0401DD0000002000FE -:0401DE0000002000FD -:0401DF0000002000FC -:0401E00000002000FB -:0401E10000002000FA -:0401E20000002000F9 -:0401E30000002000F8 -:0401E40000002000F7 -:0401E50000002000F6 -:0401E60000002000F5 -:0401E70000002000F4 -:0401E80000002000F3 -:0401E90000002000F2 -:0401EA0000002000F1 -:0401EB0000002000F0 -:0401EC0000002000EF -:0401ED0000002000EE -:0401EE0000002000ED -:0401EF0000002000EC -:0401F00000002000EB -:0401F10000002000EA -:0401F20000002000E9 -:0401F30000002000E8 -:0401F40000002000E7 -:0401F50000002000E6 -:0401F60000002000E5 -:0401F70000002000E4 -:0401F80000002000E3 -:0401F90000002000E2 -:0401FA0000002000E1 -:0401FB0000002000E0 -:0401FC0000002000DF -:0401FD0000002000DE -:0401FE0000002000DD -:0401FF0000002000DC -:0402000000002000DA -:0402010000002000D9 -:0402020000002000D8 -:0402030000002000D7 -:0402040000002000D6 -:0402050000002000D5 -:0402060000002000D4 -:0402070000002000D3 -:0402080000002000D2 -:0402090000002000D1 -:04020A0000002000D0 -:04020B0000002000CF -:04020C0000002000CE -:04020D0000002000CD -:04020E0000002000CC -:04020F0000002000CB -:0402100000002000CA -:0402110000002000C9 -:0402120000002000C8 -:0402130000002000C7 -:0402140000002000C6 -:0402150000002000C5 -:0402160000002000C4 -:0402170000002000C3 -:0402180000002000C2 -:0402190000002000C1 -:04021A0000002000C0 -:04021B0000002000BF -:04021C0000002000BE -:04021D0000002000BD -:04021E0000002000BC -:04021F0000002000BB -:0402200000002000BA -:0402210000002000B9 -:0402220000002000B8 -:0402230000002000B7 -:0402240000002000B6 -:0402250000002000B5 -:0402260000002000B4 -:0402270000002000B3 -:0402280000002000B2 -:0402290000002000B1 -:04022A0000002000B0 -:04022B0000002000AF -:04022C0000002000AE -:04022D0000002000AD -:04022E0000002000AC -:04022F0000002000AB -:0402300000002000AA -:0402310000002000A9 -:0402320000002000A8 -:0402330000002000A7 -:0402340000002000A6 -:0402350000002000A5 -:0402360000002000A4 -:0402370000002000A3 -:0402380000002000A2 -:0402390000002000A1 -:04023A0000002000A0 -:04023B00000020009F -:04023C00000020009E -:04023D00000020009D -:04023E00000020009C -:04023F00000020009B -:04024000000020009A -:040241000000200099 -:040242000000200098 -:040243000000200097 -:040244000000200096 -:040245000000200095 -:040246000000200094 -:040247000000200093 -:040248000000200092 -:040249000000200091 -:04024A000000200090 -:04024B00000020008F -:04024C00000020008E -:04024D00000020008D -:04024E00000020008C -:04024F00000020008B -:04025000000020008A -:040251000000200089 -:040252000000200088 -:040253000000200087 -:040254000000200086 -:040255000000200085 -:040256000000200084 -:040257000000200083 -:040258000000200082 -:040259000000200081 -:04025A000000200080 -:04025B00000020007F -:04025C00000020007E -:04025D00000020007D -:04025E00000020007C -:04025F00000020007B -:04026000000020007A -:040261000000200079 -:040262000000200078 -:040263000000200077 -:040264000000200076 -:040265000000200075 -:040266000000200074 -:040267000000200073 -:040268000000200072 -:040269000000200071 -:04026A000000200070 -:04026B00000020006F -:04026C00000020006E -:04026D00000020006D -:04026E00000020006C -:04026F00000020006B -:04027000000020006A -:040271000000200069 -:040272000000200068 -:040273000000200067 -:040274000000200066 -:040275000000200065 -:040276000000200064 -:040277000000200063 -:040278000000200062 -:040279000000200061 -:04027A000000200060 -:04027B00000020005F -:04027C00000020005E -:04027D00000020005D -:04027E00000020005C -:04027F00000020005B -:04028000000020005A -:040281000000200059 -:040282000000200058 -:040283000000200057 -:040284000000200056 -:040285000000200055 -:040286000000200054 -:040287000000200053 -:040288000000200052 -:040289000000200051 -:04028A000000200050 -:04028B00000020004F -:04028C00000020004E -:04028D00000020004D -:04028E00000020004C -:04028F00000020004B -:04029000000020004A -:040291000000200049 -:040292000000200048 -:040293000000200047 -:040294000000200046 -:040295000000200045 -:040296000000200044 -:040297000000200043 -:040298000000200042 -:040299000000200041 -:04029A000000200040 -:04029B00000020003F -:04029C00000020003E -:04029D00000020003D -:04029E00000020003C -:04029F00000020003B -:0402A000000020003A -:0402A1000000200039 -:0402A2000000200038 -:0402A3000000200037 -:0402A4000000200036 -:0402A5000000200035 -:0402A6000000200034 -:0402A7000000200033 -:0402A8000000200032 -:0402A9000000200031 -:0402AA000000200030 -:0402AB00000020002F -:0402AC00000020002E -:0402AD00000020002D -:0402AE00000020002C -:0402AF00000020002B -:0402B000000020002A -:0402B1000000200029 -:0402B2000000200028 -:0402B3000000200027 -:0402B4000000200026 -:0402B5000000200025 -:0402B6000000200024 -:0402B7000000200023 -:0402B8000000200022 -:0402B9000000200021 -:0402BA000000200020 -:0402BB00000020001F -:0402BC00000020001E -:0402BD00000020001D -:0402BE00000020001C -:0402BF00000020001B -:0402C000000020001A -:0402C1000000200019 -:0402C2000000200018 -:0402C3000000200017 -:0402C4000000200016 -:0402C5000000200015 -:0402C6000000200014 -:0402C7000000200013 -:0402C8000000200012 -:0402C9000000200011 -:0402CA000000200010 -:0402CB00000020000F -:0402CC00000020000E -:0402CD00000020000D -:0402CE00000020000C -:0402CF00000020000B -:0402D000000020000A -:0402D1000000200009 -:0402D2000000200008 -:0402D3000000200007 -:0402D4000000200006 -:0402D5000000200005 -:0402D6000000200004 -:0402D7000000200003 -:0402D8000000200002 -:0402D9000000200001 -:0402DA000000200000 -:0402DB0000002000FF -:0402DC0000002000FE -:0402DD0000002000FD -:0402DE0000002000FC -:0402DF0000002000FB -:0402E00000002000FA -:0402E10000002000F9 -:0402E20000002000F8 -:0402E30000002000F7 -:0402E40000002000F6 -:0402E50000002000F5 -:0402E60000002000F4 -:0402E70000002000F3 -:0402E80000002000F2 -:0402E90000002000F1 -:0402EA0000002000F0 -:0402EB0000002000EF -:0402EC0000002000EE -:0402ED0000002000ED -:0402EE0000002000EC -:0402EF0000002000EB -:0402F00000002000EA -:0402F10000002000E9 -:0402F20000002000E8 -:0402F30000002000E7 -:0402F40000002000E6 -:0402F50000002000E5 -:0402F60000002000E4 -:0402F70000002000E3 -:0402F80000002000E2 -:0402F90000002000E1 -:0402FA0000002000E0 -:0402FB0000002000DF -:0402FC0000002000DE -:0402FD0000002000DD -:0402FE0000002000DC -:0402FF0000002000DB -:0403000000002000D9 -:0403010000002000D8 -:0403020000002000D7 -:0403030000002000D6 -:0403040000002000D5 -:0403050000002000D4 -:0403060000002000D3 -:0403070000002000D2 -:0403080000002000D1 -:0403090000002000D0 -:04030A0000002000CF -:04030B0000002000CE -:04030C0000002000CD -:04030D0000002000CC -:04030E0000002000CB -:04030F0000002000CA -:0403100000002000C9 -:0403110000002000C8 -:0403120000002000C7 -:0403130000002000C6 -:0403140000002000C5 -:0403150000002000C4 -:0403160000002000C3 -:0403170000002000C2 -:0403180000002000C1 -:0403190000002000C0 -:04031A0000002000BF -:04031B0000002000BE -:04031C0000002000BD -:04031D0000002000BC -:04031E0000002000BB -:04031F0000002000BA -:0403200000002000B9 -:0403210000002000B8 -:0403220000002000B7 -:0403230000002000B6 -:0403240000002000B5 -:0403250000002000B4 -:0403260000002000B3 -:0403270000002000B2 -:0403280000002000B1 -:0403290000002000B0 -:04032A0000002000AF -:04032B0000002000AE -:04032C0000002000AD -:04032D0000002000AC -:04032E0000002000AB -:04032F0000002000AA -:0403300000002000A9 -:0403310000002000A8 -:0403320000002000A7 -:0403330000002000A6 -:0403340000002000A5 -:0403350000002000A4 -:0403360000002000A3 -:0403370000002000A2 -:0403380000002000A1 -:0403390000002000A0 -:04033A00000020009F -:04033B00000020009E -:04033C00000020009D -:04033D00000020009C -:04033E00000020009B -:04033F00000020009A -:040340000000200099 -:040341000000200098 -:040342000000200097 -:040343000000200096 -:040344000000200095 -:040345000000200094 -:040346000000200093 -:040347000000200092 -:040348000000200091 -:040349000000200090 -:04034A00000020008F -:04034B00000020008E -:04034C00000020008D -:04034D00000020008C -:04034E00000020008B -:04034F00000020008A -:040350000000200089 -:040351000000200088 -:040352000000200087 -:040353000000200086 -:040354000000200085 -:040355000000200084 -:040356000000200083 -:040357000000200082 -:040358000000200081 -:040359000000200080 -:04035A00000020007F -:04035B00000020007E -:04035C00000020007D -:04035D00000020007C -:04035E00000020007B -:04035F00000020007A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000200071 -:040369000000200070 -:04036A00000020006F -:04036B00000020006E -:04036C00000020006D -:04036D00000020006C -:04036E00000020006B -:04036F00000020006A -:040370000000200069 -:040371000000200068 -:040372000000200067 -:040373000000200066 -:040374000000200065 -:040375000000200064 -:040376000000200063 -:040377000000200062 -:040378000000200061 -:040379000000200060 -:04037A00000020005F -:04037B00000020005E -:04037C00000020005D -:04037D00000020005C -:04037E00000020005B -:04037F00000020005A -:040380000000200059 -:040381000000200058 -:040382000000200057 -:040383000000200056 -:040384000000200055 -:040385000000200054 -:040386000000200053 -:040387000000200052 -:040388000000200051 -:040389000000200050 -:04038A00000020004F -:04038B00000020004E -:04038C00000020004D -:04038D00000020004C -:04038E00000020004B -:04038F00000020004A -:040390000000200049 -:040391000000200048 -:040392000000200047 -:040393000000200046 -:040394000000200045 -:040395000000200044 -:040396000000200043 -:040397000000200042 -:040398000000200041 -:040399000000200040 -:04039A00000020003F -:04039B00000020003E -:04039C00000020003D -:04039D00000020003C -:04039E00000020003B -:04039F00000020003A -:0403A0000000200039 -:0403A1000000200038 -:0403A2000000200037 -:0403A3000000200036 -:0403A4000000200035 -:0403A5000000200034 -:0403A6000000200033 -:0403A7000000200032 -:0403A8000000200031 -:0403A9000000200030 -:0403AA00000020002F -:0403AB00000020002E -:0403AC00000020002D -:0403AD00000020002C -:0403AE00000020002B -:0403AF00000020002A -:0403B0000000200029 -:0403B1000000200028 -:0403B2000000200027 -:0403B3000000200026 -:0403B4000000200025 -:0403B5000000200024 -:0403B6000000200023 -:0403B7000000200022 -:0403B8000000200021 -:0403B9000000200020 -:0403BA00000020001F -:0403BB00000020001E -:0403BC00000020001D -:0403BD00000020001C -:0403BE00000020001B -:0403BF00000020001A -:0403C0000000200019 -:0403C1000000200018 -:0403C2000000200017 -:0403C3000000200016 -:0403C4000000200015 -:0403C5000000200014 -:0403C6000000200013 -:0403C7000000200012 -:0403C8000000200011 -:0403C9000000200010 -:0403CA00000020000F -:0403CB00000020000E -:0403CC00000020000D -:0403CD00000020000C -:0403CE00000020000B -:0403CF00000020000A -:0403D0000000200009 -:0403D1000000200008 -:0403D2000000200007 -:0403D3000000200006 -:0403D4000000200005 -:0403D5000000200004 -:0403D6000000200003 -:0403D7000000200002 -:0403D8000000200001 -:0403D9000000200000 -:0403DA0000002000FF -:0403DB0000002000FE -:0403DC0000002000FD -:0403DD0000002000FC -:0403DE0000002000FB -:0403DF0000002000FA -:0403E00000002000F9 -:0403E10000002000F8 -:0403E20000002000F7 -:0403E30000002000F6 -:0403E40000002000F5 -:0403E50000002000F4 -:0403E60000002000F3 -:0403E70000002000F2 -:0403E80000002000F1 -:0403E90000002000F0 -:0403EA0000002000EF -:0403EB0000002000EE -:0403EC0000002000ED -:0403ED0000002000EC -:0403EE0000002000EB -:0403EF0000002000EA -:0403F00000002000E9 -:0403F10000002000E8 -:0403F20000002000E7 -:0403F30000002000E6 -:0403F40000002000E5 -:0403F50000002000E4 -:0403F60000002000E3 -:0403F70000002000E2 -:0403F80000002000E1 -:0403F90000002000E0 -:0403FA0000002000DF -:0403FB0000002000DE -:0403FC0000002000DD -:0403FD0000002000DC -:0403FE0000002000DB -:0403FF0000002000DA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_4.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_4.hex deleted file mode 100644 index f50bff279ae778401f565a9605229bc1206086bf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_4.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400010000002000DB -:0400020000002000DA -:0400030000002000D9 -:0400040000002000D8 -:0400050000002000D7 -:0400060000002000D6 -:0400070000002000D5 -:0400080000002000D4 -:0400090000002000D3 -:04000A0000002000D2 -:04000B0000002000D1 -:04000C0000002000D0 -:04000D0000002000CF -:04000E0000002000CE -:04000F0000002000CD -:0400100000002000CC -:0400110000002000CB -:0400120000002000CA -:0400130000002000C9 -:0400140000002000C8 -:0400150000002000C7 -:0400160000002000C6 -:0400170000002000C5 -:0400180000002000C4 -:0400190000002000C3 -:04001A0000002000C2 -:04001B0000002000C1 -:04001C0000002000C0 -:04001D0000002000BF -:04001E0000002000BE -:04001F0000002000BD -:0400200000002000BC -:0400210000002000BB -:0400220000002000BA -:0400230000002000B9 -:0400240000002000B8 -:0400250000002000B7 -:0400260000002000B6 -:0400270000002000B5 -:0400280000002000B4 -:0400290000002000B3 -:04002A0000002000B2 -:04002B0000002000B1 -:04002C0000002000B0 -:04002D0000002000AF -:04002E0000002000AE -:04002F0000002000AD -:0400300000002000AC -:0400310000002000AB -:0400320000002000AA -:0400330000002000A9 -:0400340000002000A8 -:0400350000002000A7 -:0400360000002000A6 -:0400370000002000A5 -:0400380000002000A4 -:0400390000002000A3 -:04003A0000002000A2 -:04003B0000002000A1 -:04003C0000002000A0 -:04003D00000020009F -:04003E00000020009E -:04003F00000020009D -:04004000000020009C -:04004100000020009B -:04004200000020009A -:040043000000200099 -:040044000000200098 -:040045000000200097 -:040046000000200096 -:040047000000200095 -:040048000000200094 -:040049000000200093 -:04004A000000200092 -:04004B000000200091 -:04004C000000200090 -:04004D00000020008F -:04004E00000020008E -:04004F00000020008D -:04005000000020008C -:04005100000020008B -:04005200000020008A -:040053000000200089 -:040054000000200088 -:040055000000200087 -:040056000000200086 -:040057000000200085 -:040058000000200084 -:040059000000200083 -:04005A000000200082 -:04005B000000200081 -:04005C000000200080 -:04005D00000020007F -:04005E00000020007E -:04005F00000020007D -:04006000000020007C -:04006100000020007B -:04006200000020007A -:040063000000200079 -:040064000000200078 -:040065000000200077 -:040066000000200076 -:040067000000200075 -:040068000000200074 -:040069000000200073 -:04006A000000200072 -:04006B000000200071 -:04006C000000200070 -:04006D00000020006F -:04006E00000020006E -:04006F00000020006D -:04007000000020006C -:04007100000020006B -:04007200000020006A -:040073000000200069 -:040074000000200068 -:040075000000200067 -:040076000000200066 -:040077000000200065 -:040078000000200064 -:040079000000200063 -:04007A000000200062 -:04007B000000200061 -:04007C000000200060 -:04007D00000020005F -:04007E00000020005E -:04007F00000020005D -:04008000000020005C -:04008100000020005B -:04008200000020005A -:040083000000200059 -:040084000000200058 -:040085000000200057 -:040086000000200056 -:040087000000200055 -:040088000000200054 -:040089000000200053 -:04008A000000200052 -:04008B000000200051 -:04008C000000200050 -:04008D00000020004F -:04008E00000020004E -:04008F00000020004D -:04009000000020004C -:04009100000020004B -:04009200000020004A -:040093000000200049 -:040094000000200048 -:040095000000200047 -:040096000000200046 -:040097000000200045 -:040098000000200044 -:040099000000200043 -:04009A000000200042 -:04009B000000200041 -:04009C000000200040 -:04009D00000020003F -:04009E00000020003E -:04009F00000020003D -:0400A000000020003C -:0400A100000020003B -:0400A200000020003A -:0400A3000000200039 -:0400A4000000200038 -:0400A5000000200037 -:0400A6000000200036 -:0400A7000000200035 -:0400A8000000200034 -:0400A9000000200033 -:0400AA000000200032 -:0400AB000000200031 -:0400AC000000200030 -:0400AD00000020002F -:0400AE00000020002E -:0400AF00000020002D -:0400B000000020002C -:0400B100000020002B -:0400B200000020002A -:0400B3000000200029 -:0400B4000000200028 -:0400B5000000200027 -:0400B6000000200026 -:0400B7000000200025 -:0400B8000000200024 -:0400B9000000200023 -:0400BA000000200022 -:0400BB000000200021 -:0400BC000000200020 -:0400BD00000020001F -:0400BE00000020001E -:0400BF00000020001D -:0400C000000020001C -:0400C100000020001B -:0400C200000020001A -:0400C3000000200019 -:0400C4000000200018 -:0400C5000000200017 -:0400C6000000200016 -:0400C7000000200015 -:0400C8000000200014 -:0400C9000000200013 -:0400CA000000200012 -:0400CB000000200011 -:0400CC000000200010 -:0400CD00000020000F -:0400CE00000020000E -:0400CF00000020000D -:0400D000000020000C -:0400D100000020000B -:0400D200000020000A -:0400D3000000200009 -:0400D4000000200008 -:0400D5000000200007 -:0400D6000000200006 -:0400D7000000200005 -:0400D8000000200004 -:0400D9000000200003 -:0400DA000000200002 -:0400DB000000200001 -:0400DC000000200000 -:0400DD0000002000FF -:0400DE0000002000FE -:0400DF0000002000FD -:0400E00000002000FC -:0400E10000002000FB -:0400E20000002000FA -:0400E30000002000F9 -:0400E40000002000F8 -:0400E50000002000F7 -:0400E60000002000F6 -:0400E70000002000F5 -:0400E80000002000F4 -:0400E90000002000F3 -:0400EA0000002000F2 -:0400EB0000002000F1 -:0400EC0000002000F0 -:0400ED0000002000EF -:0400EE0000002000EE -:0400EF0000002000ED -:0400F00000002000EC -:0400F10000002000EB -:0400F20000002000EA -:0400F30000002000E9 -:0400F40000002000E8 -:0400F50000002000E7 -:0400F60000002000E6 -:0400F70000002000E5 -:0400F80000002000E4 -:0400F90000002000E3 -:0400FA0000002000E2 -:0400FB0000002000E1 -:0400FC0000002000E0 -:0400FD0000002000DF -:0400FE0000002000DE -:0400FF0000002000DD -:0401000000002000DB -:0401010000002000DA -:0401020000002000D9 -:0401030000002000D8 -:0401040000002000D7 -:0401050000002000D6 -:0401060000002000D5 -:0401070000002000D4 -:0401080000002000D3 -:0401090000002000D2 -:04010A0000002000D1 -:04010B0000002000D0 -:04010C0000002000CF -:04010D0000002000CE -:04010E0000002000CD -:04010F0000002000CC -:0401100000002000CB -:0401110000002000CA -:0401120000002000C9 -:0401130000002000C8 -:0401140000002000C7 -:0401150000002000C6 -:0401160000002000C5 -:0401170000002000C4 -:0401180000002000C3 -:0401190000002000C2 -:04011A0000002000C1 -:04011B0000002000C0 -:04011C0000002000BF -:04011D0000002000BE -:04011E0000002000BD -:04011F0000002000BC -:0401200000002000BB -:0401210000002000BA -:0401220000002000B9 -:0401230000002000B8 -:0401240000002000B7 -:0401250000002000B6 -:0401260000002000B5 -:0401270000002000B4 -:0401280000002000B3 -:0401290000002000B2 -:04012A0000002000B1 -:04012B0000002000B0 -:04012C0000002000AF -:04012D0000002000AE -:04012E0000002000AD -:04012F0000002000AC -:0401300000002000AB -:0401310000002000AA -:0401320000002000A9 -:0401330000002000A8 -:0401340000002000A7 -:0401350000002000A6 -:0401360000002000A5 -:0401370000002000A4 -:0401380000002000A3 -:0401390000002000A2 -:04013A0000002000A1 -:04013B0000002000A0 -:04013C00000020009F -:04013D00000020009E -:04013E00000020009D -:04013F00000020009C -:04014000000020009B -:04014100000020009A -:040142000000200099 -:040143000000200098 -:040144000000200097 -:040145000000200096 -:040146000000200095 -:040147000000200094 -:040148000000200093 -:040149000000200092 -:04014A000000200091 -:04014B000000200090 -:04014C00000020008F -:04014D00000020008E -:04014E00000020008D -:04014F00000020008C -:04015000000020008B -:04015100000020008A -:040152000000200089 -:040153000000200088 -:040154000000200087 -:040155000000200086 -:040156000000200085 -:040157000000200084 -:040158000000200083 -:040159000000200082 -:04015A000000200081 -:04015B000000200080 -:04015C00000020007F -:04015D00000020007E -:04015E00000020007D -:04015F00000020007C -:04016000000020007B -:04016100000020007A -:040162000000200079 -:040163000000200078 -:040164000000200077 -:040165000000200076 -:040166000000200075 -:040167000000200074 -:040168000000200073 -:040169000000200072 -:04016A000000200071 -:04016B000000200070 -:04016C00000020006F -:04016D00000020006E -:04016E00000020006D -:04016F00000020006C -:04017000000020006B -:04017100000020006A -:040172000000200069 -:040173000000200068 -:040174000000200067 -:040175000000200066 -:040176000000200065 -:040177000000200064 -:040178000000200063 -:040179000000200062 -:04017A000000200061 -:04017B000000200060 -:04017C00000020005F -:04017D00000020005E -:04017E00000020005D -:04017F00000020005C -:04018000000020005B -:04018100000020005A -:040182000000200059 -:040183000000200058 -:040184000000200057 -:040185000000200056 -:040186000000200055 -:040187000000200054 -:040188000000200053 -:040189000000200052 -:04018A000000200051 -:04018B000000200050 -:04018C00000020004F -:04018D00000020004E -:04018E00000020004D -:04018F00000020004C -:04019000000020004B -:04019100000020004A -:040192000000200049 -:040193000000200048 -:040194000000200047 -:040195000000200046 -:040196000000200045 -:040197000000200044 -:040198000000200043 -:040199000000200042 -:04019A000000200041 -:04019B000000200040 -:04019C00000020003F -:04019D00000020003E -:04019E00000020003D -:04019F00000020003C -:0401A000000020003B -:0401A100000020003A -:0401A2000000200039 -:0401A3000000200038 -:0401A4000000200037 -:0401A5000000200036 -:0401A6000000200035 -:0401A7000000200034 -:0401A8000000200033 -:0401A9000000200032 -:0401AA000000200031 -:0401AB000000200030 -:0401AC00000020002F -:0401AD00000020002E -:0401AE00000020002D -:0401AF00000020002C -:0401B000000020002B -:0401B100000020002A -:0401B2000000200029 -:0401B3000000200028 -:0401B4000000200027 -:0401B5000000200026 -:0401B6000000200025 -:0401B7000000200024 -:0401B8000000200023 -:0401B9000000200022 -:0401BA000000200021 -:0401BB000000200020 -:0401BC00000020001F -:0401BD00000020001E -:0401BE00000020001D -:0401BF00000020001C -:0401C000000020001B -:0401C100000020001A -:0401C2000000200019 -:0401C3000000200018 -:0401C4000000200017 -:0401C5000000200016 -:0401C6000000200015 -:0401C7000000200014 -:0401C8000000200013 -:0401C9000000200012 -:0401CA000000200011 -:0401CB000000200010 -:0401CC00000020000F -:0401CD00000020000E -:0401CE00000020000D -:0401CF00000020000C -:0401D000000020000B -:0401D100000020000A -:0401D2000000200009 -:0401D3000000200008 -:0401D4000000200007 -:0401D5000000200006 -:0401D6000000200005 -:0401D7000000200004 -:0401D8000000200003 -:0401D9000000200002 -:0401DA000000200001 -:0401DB000000200000 -:0401DC0000002000FF -:0401DD0000002000FE -:0401DE0000002000FD -:0401DF0000002000FC -:0401E00000002000FB -:0401E10000002000FA -:0401E20000002000F9 -:0401E30000002000F8 -:0401E40000002000F7 -:0401E50000002000F6 -:0401E60000002000F5 -:0401E70000002000F4 -:0401E80000002000F3 -:0401E90000002000F2 -:0401EA0000002000F1 -:0401EB0000002000F0 -:0401EC0000002000EF -:0401ED0000002000EE -:0401EE0000002000ED -:0401EF0000002000EC -:0401F00000002000EB -:0401F10000002000EA -:0401F20000002000E9 -:0401F30000002000E8 -:0401F40000002000E7 -:0401F50000002000E6 -:0401F60000002000E5 -:0401F70000002000E4 -:0401F80000002000E3 -:0401F90000002000E2 -:0401FA0000002000E1 -:0401FB0000002000E0 -:0401FC0000002000DF -:0401FD0000002000DE -:0401FE0000002000DD -:0401FF0000002000DC -:0402000000002000DA -:0402010000002000D9 -:0402020000002000D8 -:0402030000002000D7 -:0402040000002000D6 -:0402050000002000D5 -:0402060000002000D4 -:0402070000002000D3 -:0402080000002000D2 -:0402090000002000D1 -:04020A0000002000D0 -:04020B0000002000CF -:04020C0000002000CE -:04020D0000002000CD -:04020E0000002000CC -:04020F0000002000CB -:0402100000002000CA -:0402110000002000C9 -:0402120000002000C8 -:0402130000002000C7 -:0402140000002000C6 -:0402150000002000C5 -:0402160000002000C4 -:0402170000002000C3 -:0402180000002000C2 -:0402190000002000C1 -:04021A0000002000C0 -:04021B0000002000BF -:04021C0000002000BE -:04021D0000002000BD -:04021E0000002000BC -:04021F0000002000BB -:0402200000002000BA -:0402210000002000B9 -:0402220000002000B8 -:0402230000002000B7 -:0402240000002000B6 -:0402250000002000B5 -:0402260000002000B4 -:0402270000002000B3 -:0402280000002000B2 -:0402290000002000B1 -:04022A0000002000B0 -:04022B0000002000AF -:04022C0000002000AE -:04022D0000002000AD -:04022E0000002000AC -:04022F0000002000AB -:0402300000002000AA -:0402310000002000A9 -:0402320000002000A8 -:0402330000002000A7 -:0402340000002000A6 -:0402350000002000A5 -:0402360000002000A4 -:0402370000002000A3 -:0402380000002000A2 -:0402390000002000A1 -:04023A0000002000A0 -:04023B00000020009F -:04023C00000020009E -:04023D00000020009D -:04023E00000020009C -:04023F00000020009B -:04024000000020009A -:040241000000200099 -:040242000000200098 -:040243000000200097 -:040244000000200096 -:040245000000200095 -:040246000000200094 -:040247000000200093 -:040248000000200092 -:040249000000200091 -:04024A000000200090 -:04024B00000020008F -:04024C00000020008E -:04024D00000020008D -:04024E00000020008C -:04024F00000020008B -:04025000000020008A -:040251000000200089 -:040252000000200088 -:040253000000200087 -:040254000000200086 -:040255000000200085 -:040256000000200084 -:040257000000200083 -:040258000000200082 -:040259000000200081 -:04025A000000200080 -:04025B00000020007F -:04025C00000020007E -:04025D00000020007D -:04025E00000020007C -:04025F00000020007B -:04026000000020007A -:040261000000200079 -:040262000000200078 -:040263000000200077 -:040264000000200076 -:040265000000200075 -:040266000000200074 -:040267000000200073 -:040268000000200072 -:040269000000200071 -:04026A000000200070 -:04026B00000020006F -:04026C00000020006E -:04026D00000020006D -:04026E00000020006C -:04026F00000020006B -:04027000000020006A -:040271000000200069 -:040272000000200068 -:040273000000200067 -:040274000000200066 -:040275000000200065 -:040276000000200064 -:040277000000200063 -:040278000000200062 -:040279000000200061 -:04027A000000200060 -:04027B00000020005F -:04027C00000020005E -:04027D00000020005D -:04027E00000020005C -:04027F00000020005B -:04028000000020005A -:040281000000200059 -:040282000000200058 -:040283000000200057 -:040284000000200056 -:040285000000200055 -:040286000000200054 -:040287000000200053 -:040288000000200052 -:040289000000200051 -:04028A000000200050 -:04028B00000020004F -:04028C00000020004E -:04028D00000020004D -:04028E00000020004C -:04028F00000020004B -:04029000000020004A -:040291000000200049 -:040292000000200048 -:040293000000200047 -:040294000000200046 -:040295000000200045 -:040296000000200044 -:040297000000200043 -:040298000000200042 -:040299000000200041 -:04029A000000200040 -:04029B00000020003F -:04029C00000020003E -:04029D00000020003D -:04029E00000020003C -:04029F00000020003B -:0402A000000020003A -:0402A1000000200039 -:0402A2000000200038 -:0402A3000000200037 -:0402A4000000200036 -:0402A5000000200035 -:0402A6000000200034 -:0402A7000000200033 -:0402A8000000200032 -:0402A9000000200031 -:0402AA000000200030 -:0402AB00000020002F -:0402AC00000020002E -:0402AD00000020002D -:0402AE00000020002C -:0402AF00000020002B -:0402B000000020002A -:0402B1000000200029 -:0402B2000000200028 -:0402B3000000200027 -:0402B4000000200026 -:0402B5000000200025 -:0402B6000000200024 -:0402B7000000200023 -:0402B8000000200022 -:0402B9000000200021 -:0402BA000000200020 -:0402BB00000020001F -:0402BC00000020001E -:0402BD00000020001D -:0402BE00000020001C -:0402BF00000020001B -:0402C000000020001A -:0402C1000000200019 -:0402C2000000200018 -:0402C3000000200017 -:0402C4000000200016 -:0402C5000000200015 -:0402C6000000200014 -:0402C7000000200013 -:0402C8000000200012 -:0402C9000000200011 -:0402CA000000200010 -:0402CB00000020000F -:0402CC00000020000E -:0402CD00000020000D -:0402CE00000020000C -:0402CF00000020000B -:0402D000000020000A -:0402D1000000200009 -:0402D2000000200008 -:0402D3000000200007 -:0402D4000000200006 -:0402D5000000200005 -:0402D6000000200004 -:0402D7000000200003 -:0402D8000000200002 -:0402D9000000200001 -:0402DA000000200000 -:0402DB0000002000FF -:0402DC0000002000FE -:0402DD0000002000FD -:0402DE0000002000FC -:0402DF0000002000FB -:0402E00000002000FA -:0402E10000002000F9 -:0402E20000002000F8 -:0402E30000002000F7 -:0402E40000002000F6 -:0402E50000002000F5 -:0402E60000002000F4 -:0402E70000002000F3 -:0402E80000002000F2 -:0402E90000002000F1 -:0402EA0000002000F0 -:0402EB0000002000EF -:0402EC0000002000EE -:0402ED0000002000ED -:0402EE0000002000EC -:0402EF0000002000EB -:0402F00000002000EA -:0402F10000002000E9 -:0402F20000002000E8 -:0402F30000002000E7 -:0402F40000002000E6 -:0402F50000002000E5 -:0402F60000002000E4 -:0402F70000002000E3 -:0402F80000002000E2 -:0402F90000002000E1 -:0402FA0000002000E0 -:0402FB0000002000DF -:0402FC0000002000DE -:0402FD0000002000DD -:0402FE0000002000DC -:0402FF0000002000DB -:0403000000002000D9 -:0403010000002000D8 -:0403020000002000D7 -:0403030000002000D6 -:0403040000002000D5 -:0403050000002000D4 -:0403060000002000D3 -:0403070000002000D2 -:0403080000002000D1 -:0403090000002000D0 -:04030A0000002000CF -:04030B0000002000CE -:04030C0000002000CD -:04030D0000002000CC -:04030E0000002000CB -:04030F0000002000CA -:0403100000002000C9 -:0403110000002000C8 -:0403120000002000C7 -:0403130000002000C6 -:0403140000002000C5 -:0403150000002000C4 -:0403160000002000C3 -:0403170000002000C2 -:0403180000002000C1 -:0403190000002000C0 -:04031A0000002000BF -:04031B0000002000BE -:04031C0000002000BD -:04031D0000002000BC -:04031E0000002000BB -:04031F0000002000BA -:0403200000002000B9 -:0403210000002000B8 -:0403220000002000B7 -:0403230000002000B6 -:0403240000002000B5 -:0403250000002000B4 -:0403260000002000B3 -:0403270000002000B2 -:0403280000002000B1 -:0403290000002000B0 -:04032A0000002000AF -:04032B0000002000AE -:04032C0000002000AD -:04032D0000002000AC -:04032E0000002000AB -:04032F0000002000AA -:0403300000002000A9 -:0403310000002000A8 -:0403320000002000A7 -:0403330000002000A6 -:0403340000002000A5 -:0403350000002000A4 -:0403360000002000A3 -:0403370000002000A2 -:0403380000002000A1 -:0403390000002000A0 -:04033A00000020009F -:04033B00000020009E -:04033C00000020009D -:04033D00000020009C -:04033E00000020009B -:04033F00000020009A -:040340000000200099 -:040341000000200098 -:040342000000200097 -:040343000000200096 -:040344000000200095 -:040345000000200094 -:040346000000200093 -:040347000000200092 -:040348000000200091 -:040349000000200090 -:04034A00000020008F -:04034B00000020008E -:04034C00000020008D -:04034D00000020008C -:04034E00000020008B -:04034F00000020008A -:040350000000200089 -:040351000000200088 -:040352000000200087 -:040353000000200086 -:040354000000200085 -:040355000000200084 -:040356000000200083 -:040357000000200082 -:040358000000200081 -:040359000000200080 -:04035A00000020007F -:04035B00000020007E -:04035C00000020007D -:04035D00000020007C -:04035E00000020007B -:04035F00000020007A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000200071 -:040369000000200070 -:04036A00000020006F -:04036B00000020006E -:04036C00000020006D -:04036D00000020006C -:04036E00000020006B -:04036F00000020006A -:040370000000200069 -:040371000000200068 -:040372000000200067 -:040373000000200066 -:040374000000200065 -:040375000000200064 -:040376000000200063 -:040377000000200062 -:040378000000200061 -:040379000000200060 -:04037A00000020005F -:04037B00000020005E -:04037C00000020005D -:04037D00000020005C -:04037E00000020005B -:04037F00000020005A -:040380000000200059 -:040381000000200058 -:040382000000200057 -:040383000000200056 -:040384000000200055 -:040385000000200054 -:040386000000200053 -:040387000000200052 -:040388000000200051 -:040389000000200050 -:04038A00000020004F -:04038B00000020004E -:04038C00000020004D -:04038D00000020004C -:04038E00000020004B -:04038F00000020004A -:040390000000200049 -:040391000000200048 -:040392000000200047 -:040393000000200046 -:040394000000200045 -:040395000000200044 -:040396000000200043 -:040397000000200042 -:040398000000200041 -:040399000000200040 -:04039A00000020003F -:04039B00000020003E -:04039C00000020003D -:04039D00000020003C -:04039E00000020003B -:04039F00000020003A -:0403A0000000200039 -:0403A1000000200038 -:0403A2000000200037 -:0403A3000000200036 -:0403A4000000200035 -:0403A5000000200034 -:0403A6000000200033 -:0403A7000000200032 -:0403A8000000200031 -:0403A9000000200030 -:0403AA00000020002F -:0403AB00000020002E -:0403AC00000020002D -:0403AD00000020002C -:0403AE00000020002B -:0403AF00000020002A -:0403B0000000200029 -:0403B1000000200028 -:0403B2000000200027 -:0403B3000000200026 -:0403B4000000200025 -:0403B5000000200024 -:0403B6000000200023 -:0403B7000000200022 -:0403B8000000200021 -:0403B9000000200020 -:0403BA00000020001F -:0403BB00000020001E -:0403BC00000020001D -:0403BD00000020001C -:0403BE00000020001B -:0403BF00000020001A -:0403C0000000200019 -:0403C1000000200018 -:0403C2000000200017 -:0403C3000000200016 -:0403C4000000200015 -:0403C5000000200014 -:0403C6000000200013 -:0403C7000000200012 -:0403C8000000200011 -:0403C9000000200010 -:0403CA00000020000F -:0403CB00000020000E -:0403CC00000020000D -:0403CD00000020000C -:0403CE00000020000B -:0403CF00000020000A -:0403D0000000200009 -:0403D1000000200008 -:0403D2000000200007 -:0403D3000000200006 -:0403D4000000200005 -:0403D5000000200004 -:0403D6000000200003 -:0403D7000000200002 -:0403D8000000200001 -:0403D9000000200000 -:0403DA0000002000FF -:0403DB0000002000FE -:0403DC0000002000FD -:0403DD0000002000FC -:0403DE0000002000FB -:0403DF0000002000FA -:0403E00000002000F9 -:0403E10000002000F8 -:0403E20000002000F7 -:0403E30000002000F6 -:0403E40000002000F5 -:0403E50000002000F4 -:0403E60000002000F3 -:0403E70000002000F2 -:0403E80000002000F1 -:0403E90000002000F0 -:0403EA0000002000EF -:0403EB0000002000EE -:0403EC0000002000ED -:0403ED0000002000EC -:0403EE0000002000EB -:0403EF0000002000EA -:0403F00000002000E9 -:0403F10000002000E8 -:0403F20000002000E7 -:0403F30000002000E6 -:0403F40000002000E5 -:0403F50000002000E4 -:0403F60000002000E3 -:0403F70000002000E2 -:0403F80000002000E1 -:0403F90000002000E0 -:0403FA0000002000DF -:0403FB0000002000DE -:0403FC0000002000DD -:0403FD0000002000DC -:0403FE0000002000DB -:0403FF0000002000DA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_5.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_5.hex deleted file mode 100644 index f50bff279ae778401f565a9605229bc1206086bf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_5.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400010000002000DB -:0400020000002000DA -:0400030000002000D9 -:0400040000002000D8 -:0400050000002000D7 -:0400060000002000D6 -:0400070000002000D5 -:0400080000002000D4 -:0400090000002000D3 -:04000A0000002000D2 -:04000B0000002000D1 -:04000C0000002000D0 -:04000D0000002000CF -:04000E0000002000CE -:04000F0000002000CD -:0400100000002000CC -:0400110000002000CB -:0400120000002000CA -:0400130000002000C9 -:0400140000002000C8 -:0400150000002000C7 -:0400160000002000C6 -:0400170000002000C5 -:0400180000002000C4 -:0400190000002000C3 -:04001A0000002000C2 -:04001B0000002000C1 -:04001C0000002000C0 -:04001D0000002000BF -:04001E0000002000BE -:04001F0000002000BD -:0400200000002000BC -:0400210000002000BB -:0400220000002000BA -:0400230000002000B9 -:0400240000002000B8 -:0400250000002000B7 -:0400260000002000B6 -:0400270000002000B5 -:0400280000002000B4 -:0400290000002000B3 -:04002A0000002000B2 -:04002B0000002000B1 -:04002C0000002000B0 -:04002D0000002000AF -:04002E0000002000AE -:04002F0000002000AD -:0400300000002000AC -:0400310000002000AB -:0400320000002000AA -:0400330000002000A9 -:0400340000002000A8 -:0400350000002000A7 -:0400360000002000A6 -:0400370000002000A5 -:0400380000002000A4 -:0400390000002000A3 -:04003A0000002000A2 -:04003B0000002000A1 -:04003C0000002000A0 -:04003D00000020009F -:04003E00000020009E -:04003F00000020009D -:04004000000020009C -:04004100000020009B -:04004200000020009A -:040043000000200099 -:040044000000200098 -:040045000000200097 -:040046000000200096 -:040047000000200095 -:040048000000200094 -:040049000000200093 -:04004A000000200092 -:04004B000000200091 -:04004C000000200090 -:04004D00000020008F -:04004E00000020008E -:04004F00000020008D -:04005000000020008C -:04005100000020008B -:04005200000020008A -:040053000000200089 -:040054000000200088 -:040055000000200087 -:040056000000200086 -:040057000000200085 -:040058000000200084 -:040059000000200083 -:04005A000000200082 -:04005B000000200081 -:04005C000000200080 -:04005D00000020007F -:04005E00000020007E -:04005F00000020007D -:04006000000020007C -:04006100000020007B -:04006200000020007A -:040063000000200079 -:040064000000200078 -:040065000000200077 -:040066000000200076 -:040067000000200075 -:040068000000200074 -:040069000000200073 -:04006A000000200072 -:04006B000000200071 -:04006C000000200070 -:04006D00000020006F -:04006E00000020006E -:04006F00000020006D -:04007000000020006C -:04007100000020006B -:04007200000020006A -:040073000000200069 -:040074000000200068 -:040075000000200067 -:040076000000200066 -:040077000000200065 -:040078000000200064 -:040079000000200063 -:04007A000000200062 -:04007B000000200061 -:04007C000000200060 -:04007D00000020005F -:04007E00000020005E -:04007F00000020005D -:04008000000020005C -:04008100000020005B -:04008200000020005A -:040083000000200059 -:040084000000200058 -:040085000000200057 -:040086000000200056 -:040087000000200055 -:040088000000200054 -:040089000000200053 -:04008A000000200052 -:04008B000000200051 -:04008C000000200050 -:04008D00000020004F -:04008E00000020004E -:04008F00000020004D -:04009000000020004C -:04009100000020004B -:04009200000020004A -:040093000000200049 -:040094000000200048 -:040095000000200047 -:040096000000200046 -:040097000000200045 -:040098000000200044 -:040099000000200043 -:04009A000000200042 -:04009B000000200041 -:04009C000000200040 -:04009D00000020003F -:04009E00000020003E -:04009F00000020003D -:0400A000000020003C -:0400A100000020003B -:0400A200000020003A -:0400A3000000200039 -:0400A4000000200038 -:0400A5000000200037 -:0400A6000000200036 -:0400A7000000200035 -:0400A8000000200034 -:0400A9000000200033 -:0400AA000000200032 -:0400AB000000200031 -:0400AC000000200030 -:0400AD00000020002F -:0400AE00000020002E -:0400AF00000020002D -:0400B000000020002C -:0400B100000020002B -:0400B200000020002A -:0400B3000000200029 -:0400B4000000200028 -:0400B5000000200027 -:0400B6000000200026 -:0400B7000000200025 -:0400B8000000200024 -:0400B9000000200023 -:0400BA000000200022 -:0400BB000000200021 -:0400BC000000200020 -:0400BD00000020001F -:0400BE00000020001E -:0400BF00000020001D -:0400C000000020001C -:0400C100000020001B -:0400C200000020001A -:0400C3000000200019 -:0400C4000000200018 -:0400C5000000200017 -:0400C6000000200016 -:0400C7000000200015 -:0400C8000000200014 -:0400C9000000200013 -:0400CA000000200012 -:0400CB000000200011 -:0400CC000000200010 -:0400CD00000020000F -:0400CE00000020000E -:0400CF00000020000D -:0400D000000020000C -:0400D100000020000B -:0400D200000020000A -:0400D3000000200009 -:0400D4000000200008 -:0400D5000000200007 -:0400D6000000200006 -:0400D7000000200005 -:0400D8000000200004 -:0400D9000000200003 -:0400DA000000200002 -:0400DB000000200001 -:0400DC000000200000 -:0400DD0000002000FF -:0400DE0000002000FE -:0400DF0000002000FD -:0400E00000002000FC -:0400E10000002000FB -:0400E20000002000FA -:0400E30000002000F9 -:0400E40000002000F8 -:0400E50000002000F7 -:0400E60000002000F6 -:0400E70000002000F5 -:0400E80000002000F4 -:0400E90000002000F3 -:0400EA0000002000F2 -:0400EB0000002000F1 -:0400EC0000002000F0 -:0400ED0000002000EF -:0400EE0000002000EE -:0400EF0000002000ED -:0400F00000002000EC -:0400F10000002000EB -:0400F20000002000EA -:0400F30000002000E9 -:0400F40000002000E8 -:0400F50000002000E7 -:0400F60000002000E6 -:0400F70000002000E5 -:0400F80000002000E4 -:0400F90000002000E3 -:0400FA0000002000E2 -:0400FB0000002000E1 -:0400FC0000002000E0 -:0400FD0000002000DF -:0400FE0000002000DE -:0400FF0000002000DD -:0401000000002000DB -:0401010000002000DA -:0401020000002000D9 -:0401030000002000D8 -:0401040000002000D7 -:0401050000002000D6 -:0401060000002000D5 -:0401070000002000D4 -:0401080000002000D3 -:0401090000002000D2 -:04010A0000002000D1 -:04010B0000002000D0 -:04010C0000002000CF -:04010D0000002000CE -:04010E0000002000CD -:04010F0000002000CC -:0401100000002000CB -:0401110000002000CA -:0401120000002000C9 -:0401130000002000C8 -:0401140000002000C7 -:0401150000002000C6 -:0401160000002000C5 -:0401170000002000C4 -:0401180000002000C3 -:0401190000002000C2 -:04011A0000002000C1 -:04011B0000002000C0 -:04011C0000002000BF -:04011D0000002000BE -:04011E0000002000BD -:04011F0000002000BC -:0401200000002000BB -:0401210000002000BA -:0401220000002000B9 -:0401230000002000B8 -:0401240000002000B7 -:0401250000002000B6 -:0401260000002000B5 -:0401270000002000B4 -:0401280000002000B3 -:0401290000002000B2 -:04012A0000002000B1 -:04012B0000002000B0 -:04012C0000002000AF -:04012D0000002000AE -:04012E0000002000AD -:04012F0000002000AC -:0401300000002000AB -:0401310000002000AA -:0401320000002000A9 -:0401330000002000A8 -:0401340000002000A7 -:0401350000002000A6 -:0401360000002000A5 -:0401370000002000A4 -:0401380000002000A3 -:0401390000002000A2 -:04013A0000002000A1 -:04013B0000002000A0 -:04013C00000020009F -:04013D00000020009E -:04013E00000020009D -:04013F00000020009C -:04014000000020009B -:04014100000020009A -:040142000000200099 -:040143000000200098 -:040144000000200097 -:040145000000200096 -:040146000000200095 -:040147000000200094 -:040148000000200093 -:040149000000200092 -:04014A000000200091 -:04014B000000200090 -:04014C00000020008F -:04014D00000020008E -:04014E00000020008D -:04014F00000020008C -:04015000000020008B -:04015100000020008A -:040152000000200089 -:040153000000200088 -:040154000000200087 -:040155000000200086 -:040156000000200085 -:040157000000200084 -:040158000000200083 -:040159000000200082 -:04015A000000200081 -:04015B000000200080 -:04015C00000020007F -:04015D00000020007E -:04015E00000020007D -:04015F00000020007C -:04016000000020007B -:04016100000020007A -:040162000000200079 -:040163000000200078 -:040164000000200077 -:040165000000200076 -:040166000000200075 -:040167000000200074 -:040168000000200073 -:040169000000200072 -:04016A000000200071 -:04016B000000200070 -:04016C00000020006F -:04016D00000020006E -:04016E00000020006D -:04016F00000020006C -:04017000000020006B -:04017100000020006A -:040172000000200069 -:040173000000200068 -:040174000000200067 -:040175000000200066 -:040176000000200065 -:040177000000200064 -:040178000000200063 -:040179000000200062 -:04017A000000200061 -:04017B000000200060 -:04017C00000020005F -:04017D00000020005E -:04017E00000020005D -:04017F00000020005C -:04018000000020005B -:04018100000020005A -:040182000000200059 -:040183000000200058 -:040184000000200057 -:040185000000200056 -:040186000000200055 -:040187000000200054 -:040188000000200053 -:040189000000200052 -:04018A000000200051 -:04018B000000200050 -:04018C00000020004F -:04018D00000020004E -:04018E00000020004D -:04018F00000020004C -:04019000000020004B -:04019100000020004A -:040192000000200049 -:040193000000200048 -:040194000000200047 -:040195000000200046 -:040196000000200045 -:040197000000200044 -:040198000000200043 -:040199000000200042 -:04019A000000200041 -:04019B000000200040 -:04019C00000020003F -:04019D00000020003E -:04019E00000020003D -:04019F00000020003C -:0401A000000020003B -:0401A100000020003A -:0401A2000000200039 -:0401A3000000200038 -:0401A4000000200037 -:0401A5000000200036 -:0401A6000000200035 -:0401A7000000200034 -:0401A8000000200033 -:0401A9000000200032 -:0401AA000000200031 -:0401AB000000200030 -:0401AC00000020002F -:0401AD00000020002E -:0401AE00000020002D -:0401AF00000020002C -:0401B000000020002B -:0401B100000020002A -:0401B2000000200029 -:0401B3000000200028 -:0401B4000000200027 -:0401B5000000200026 -:0401B6000000200025 -:0401B7000000200024 -:0401B8000000200023 -:0401B9000000200022 -:0401BA000000200021 -:0401BB000000200020 -:0401BC00000020001F -:0401BD00000020001E -:0401BE00000020001D -:0401BF00000020001C -:0401C000000020001B -:0401C100000020001A -:0401C2000000200019 -:0401C3000000200018 -:0401C4000000200017 -:0401C5000000200016 -:0401C6000000200015 -:0401C7000000200014 -:0401C8000000200013 -:0401C9000000200012 -:0401CA000000200011 -:0401CB000000200010 -:0401CC00000020000F -:0401CD00000020000E -:0401CE00000020000D -:0401CF00000020000C -:0401D000000020000B -:0401D100000020000A -:0401D2000000200009 -:0401D3000000200008 -:0401D4000000200007 -:0401D5000000200006 -:0401D6000000200005 -:0401D7000000200004 -:0401D8000000200003 -:0401D9000000200002 -:0401DA000000200001 -:0401DB000000200000 -:0401DC0000002000FF -:0401DD0000002000FE -:0401DE0000002000FD -:0401DF0000002000FC -:0401E00000002000FB -:0401E10000002000FA -:0401E20000002000F9 -:0401E30000002000F8 -:0401E40000002000F7 -:0401E50000002000F6 -:0401E60000002000F5 -:0401E70000002000F4 -:0401E80000002000F3 -:0401E90000002000F2 -:0401EA0000002000F1 -:0401EB0000002000F0 -:0401EC0000002000EF -:0401ED0000002000EE -:0401EE0000002000ED -:0401EF0000002000EC -:0401F00000002000EB -:0401F10000002000EA -:0401F20000002000E9 -:0401F30000002000E8 -:0401F40000002000E7 -:0401F50000002000E6 -:0401F60000002000E5 -:0401F70000002000E4 -:0401F80000002000E3 -:0401F90000002000E2 -:0401FA0000002000E1 -:0401FB0000002000E0 -:0401FC0000002000DF -:0401FD0000002000DE -:0401FE0000002000DD -:0401FF0000002000DC -:0402000000002000DA -:0402010000002000D9 -:0402020000002000D8 -:0402030000002000D7 -:0402040000002000D6 -:0402050000002000D5 -:0402060000002000D4 -:0402070000002000D3 -:0402080000002000D2 -:0402090000002000D1 -:04020A0000002000D0 -:04020B0000002000CF -:04020C0000002000CE -:04020D0000002000CD -:04020E0000002000CC -:04020F0000002000CB -:0402100000002000CA -:0402110000002000C9 -:0402120000002000C8 -:0402130000002000C7 -:0402140000002000C6 -:0402150000002000C5 -:0402160000002000C4 -:0402170000002000C3 -:0402180000002000C2 -:0402190000002000C1 -:04021A0000002000C0 -:04021B0000002000BF -:04021C0000002000BE -:04021D0000002000BD -:04021E0000002000BC -:04021F0000002000BB -:0402200000002000BA -:0402210000002000B9 -:0402220000002000B8 -:0402230000002000B7 -:0402240000002000B6 -:0402250000002000B5 -:0402260000002000B4 -:0402270000002000B3 -:0402280000002000B2 -:0402290000002000B1 -:04022A0000002000B0 -:04022B0000002000AF -:04022C0000002000AE -:04022D0000002000AD -:04022E0000002000AC -:04022F0000002000AB -:0402300000002000AA -:0402310000002000A9 -:0402320000002000A8 -:0402330000002000A7 -:0402340000002000A6 -:0402350000002000A5 -:0402360000002000A4 -:0402370000002000A3 -:0402380000002000A2 -:0402390000002000A1 -:04023A0000002000A0 -:04023B00000020009F -:04023C00000020009E -:04023D00000020009D -:04023E00000020009C -:04023F00000020009B -:04024000000020009A -:040241000000200099 -:040242000000200098 -:040243000000200097 -:040244000000200096 -:040245000000200095 -:040246000000200094 -:040247000000200093 -:040248000000200092 -:040249000000200091 -:04024A000000200090 -:04024B00000020008F -:04024C00000020008E -:04024D00000020008D -:04024E00000020008C -:04024F00000020008B -:04025000000020008A -:040251000000200089 -:040252000000200088 -:040253000000200087 -:040254000000200086 -:040255000000200085 -:040256000000200084 -:040257000000200083 -:040258000000200082 -:040259000000200081 -:04025A000000200080 -:04025B00000020007F -:04025C00000020007E -:04025D00000020007D -:04025E00000020007C -:04025F00000020007B -:04026000000020007A -:040261000000200079 -:040262000000200078 -:040263000000200077 -:040264000000200076 -:040265000000200075 -:040266000000200074 -:040267000000200073 -:040268000000200072 -:040269000000200071 -:04026A000000200070 -:04026B00000020006F -:04026C00000020006E -:04026D00000020006D -:04026E00000020006C -:04026F00000020006B -:04027000000020006A -:040271000000200069 -:040272000000200068 -:040273000000200067 -:040274000000200066 -:040275000000200065 -:040276000000200064 -:040277000000200063 -:040278000000200062 -:040279000000200061 -:04027A000000200060 -:04027B00000020005F -:04027C00000020005E -:04027D00000020005D -:04027E00000020005C -:04027F00000020005B -:04028000000020005A -:040281000000200059 -:040282000000200058 -:040283000000200057 -:040284000000200056 -:040285000000200055 -:040286000000200054 -:040287000000200053 -:040288000000200052 -:040289000000200051 -:04028A000000200050 -:04028B00000020004F -:04028C00000020004E -:04028D00000020004D -:04028E00000020004C -:04028F00000020004B -:04029000000020004A -:040291000000200049 -:040292000000200048 -:040293000000200047 -:040294000000200046 -:040295000000200045 -:040296000000200044 -:040297000000200043 -:040298000000200042 -:040299000000200041 -:04029A000000200040 -:04029B00000020003F -:04029C00000020003E -:04029D00000020003D -:04029E00000020003C -:04029F00000020003B -:0402A000000020003A -:0402A1000000200039 -:0402A2000000200038 -:0402A3000000200037 -:0402A4000000200036 -:0402A5000000200035 -:0402A6000000200034 -:0402A7000000200033 -:0402A8000000200032 -:0402A9000000200031 -:0402AA000000200030 -:0402AB00000020002F -:0402AC00000020002E -:0402AD00000020002D -:0402AE00000020002C -:0402AF00000020002B -:0402B000000020002A -:0402B1000000200029 -:0402B2000000200028 -:0402B3000000200027 -:0402B4000000200026 -:0402B5000000200025 -:0402B6000000200024 -:0402B7000000200023 -:0402B8000000200022 -:0402B9000000200021 -:0402BA000000200020 -:0402BB00000020001F -:0402BC00000020001E -:0402BD00000020001D -:0402BE00000020001C -:0402BF00000020001B -:0402C000000020001A -:0402C1000000200019 -:0402C2000000200018 -:0402C3000000200017 -:0402C4000000200016 -:0402C5000000200015 -:0402C6000000200014 -:0402C7000000200013 -:0402C8000000200012 -:0402C9000000200011 -:0402CA000000200010 -:0402CB00000020000F -:0402CC00000020000E -:0402CD00000020000D -:0402CE00000020000C -:0402CF00000020000B -:0402D000000020000A -:0402D1000000200009 -:0402D2000000200008 -:0402D3000000200007 -:0402D4000000200006 -:0402D5000000200005 -:0402D6000000200004 -:0402D7000000200003 -:0402D8000000200002 -:0402D9000000200001 -:0402DA000000200000 -:0402DB0000002000FF -:0402DC0000002000FE -:0402DD0000002000FD -:0402DE0000002000FC -:0402DF0000002000FB -:0402E00000002000FA -:0402E10000002000F9 -:0402E20000002000F8 -:0402E30000002000F7 -:0402E40000002000F6 -:0402E50000002000F5 -:0402E60000002000F4 -:0402E70000002000F3 -:0402E80000002000F2 -:0402E90000002000F1 -:0402EA0000002000F0 -:0402EB0000002000EF -:0402EC0000002000EE -:0402ED0000002000ED -:0402EE0000002000EC -:0402EF0000002000EB -:0402F00000002000EA -:0402F10000002000E9 -:0402F20000002000E8 -:0402F30000002000E7 -:0402F40000002000E6 -:0402F50000002000E5 -:0402F60000002000E4 -:0402F70000002000E3 -:0402F80000002000E2 -:0402F90000002000E1 -:0402FA0000002000E0 -:0402FB0000002000DF -:0402FC0000002000DE -:0402FD0000002000DD -:0402FE0000002000DC -:0402FF0000002000DB -:0403000000002000D9 -:0403010000002000D8 -:0403020000002000D7 -:0403030000002000D6 -:0403040000002000D5 -:0403050000002000D4 -:0403060000002000D3 -:0403070000002000D2 -:0403080000002000D1 -:0403090000002000D0 -:04030A0000002000CF -:04030B0000002000CE -:04030C0000002000CD -:04030D0000002000CC -:04030E0000002000CB -:04030F0000002000CA -:0403100000002000C9 -:0403110000002000C8 -:0403120000002000C7 -:0403130000002000C6 -:0403140000002000C5 -:0403150000002000C4 -:0403160000002000C3 -:0403170000002000C2 -:0403180000002000C1 -:0403190000002000C0 -:04031A0000002000BF -:04031B0000002000BE -:04031C0000002000BD -:04031D0000002000BC -:04031E0000002000BB -:04031F0000002000BA -:0403200000002000B9 -:0403210000002000B8 -:0403220000002000B7 -:0403230000002000B6 -:0403240000002000B5 -:0403250000002000B4 -:0403260000002000B3 -:0403270000002000B2 -:0403280000002000B1 -:0403290000002000B0 -:04032A0000002000AF -:04032B0000002000AE -:04032C0000002000AD -:04032D0000002000AC -:04032E0000002000AB -:04032F0000002000AA -:0403300000002000A9 -:0403310000002000A8 -:0403320000002000A7 -:0403330000002000A6 -:0403340000002000A5 -:0403350000002000A4 -:0403360000002000A3 -:0403370000002000A2 -:0403380000002000A1 -:0403390000002000A0 -:04033A00000020009F -:04033B00000020009E -:04033C00000020009D -:04033D00000020009C -:04033E00000020009B -:04033F00000020009A -:040340000000200099 -:040341000000200098 -:040342000000200097 -:040343000000200096 -:040344000000200095 -:040345000000200094 -:040346000000200093 -:040347000000200092 -:040348000000200091 -:040349000000200090 -:04034A00000020008F -:04034B00000020008E -:04034C00000020008D -:04034D00000020008C -:04034E00000020008B -:04034F00000020008A -:040350000000200089 -:040351000000200088 -:040352000000200087 -:040353000000200086 -:040354000000200085 -:040355000000200084 -:040356000000200083 -:040357000000200082 -:040358000000200081 -:040359000000200080 -:04035A00000020007F -:04035B00000020007E -:04035C00000020007D -:04035D00000020007C -:04035E00000020007B -:04035F00000020007A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000200071 -:040369000000200070 -:04036A00000020006F -:04036B00000020006E -:04036C00000020006D -:04036D00000020006C -:04036E00000020006B -:04036F00000020006A -:040370000000200069 -:040371000000200068 -:040372000000200067 -:040373000000200066 -:040374000000200065 -:040375000000200064 -:040376000000200063 -:040377000000200062 -:040378000000200061 -:040379000000200060 -:04037A00000020005F -:04037B00000020005E -:04037C00000020005D -:04037D00000020005C -:04037E00000020005B -:04037F00000020005A -:040380000000200059 -:040381000000200058 -:040382000000200057 -:040383000000200056 -:040384000000200055 -:040385000000200054 -:040386000000200053 -:040387000000200052 -:040388000000200051 -:040389000000200050 -:04038A00000020004F -:04038B00000020004E -:04038C00000020004D -:04038D00000020004C -:04038E00000020004B -:04038F00000020004A -:040390000000200049 -:040391000000200048 -:040392000000200047 -:040393000000200046 -:040394000000200045 -:040395000000200044 -:040396000000200043 -:040397000000200042 -:040398000000200041 -:040399000000200040 -:04039A00000020003F -:04039B00000020003E -:04039C00000020003D -:04039D00000020003C -:04039E00000020003B -:04039F00000020003A -:0403A0000000200039 -:0403A1000000200038 -:0403A2000000200037 -:0403A3000000200036 -:0403A4000000200035 -:0403A5000000200034 -:0403A6000000200033 -:0403A7000000200032 -:0403A8000000200031 -:0403A9000000200030 -:0403AA00000020002F -:0403AB00000020002E -:0403AC00000020002D -:0403AD00000020002C -:0403AE00000020002B -:0403AF00000020002A -:0403B0000000200029 -:0403B1000000200028 -:0403B2000000200027 -:0403B3000000200026 -:0403B4000000200025 -:0403B5000000200024 -:0403B6000000200023 -:0403B7000000200022 -:0403B8000000200021 -:0403B9000000200020 -:0403BA00000020001F -:0403BB00000020001E -:0403BC00000020001D -:0403BD00000020001C -:0403BE00000020001B -:0403BF00000020001A -:0403C0000000200019 -:0403C1000000200018 -:0403C2000000200017 -:0403C3000000200016 -:0403C4000000200015 -:0403C5000000200014 -:0403C6000000200013 -:0403C7000000200012 -:0403C8000000200011 -:0403C9000000200010 -:0403CA00000020000F -:0403CB00000020000E -:0403CC00000020000D -:0403CD00000020000C -:0403CE00000020000B -:0403CF00000020000A -:0403D0000000200009 -:0403D1000000200008 -:0403D2000000200007 -:0403D3000000200006 -:0403D4000000200005 -:0403D5000000200004 -:0403D6000000200003 -:0403D7000000200002 -:0403D8000000200001 -:0403D9000000200000 -:0403DA0000002000FF -:0403DB0000002000FE -:0403DC0000002000FD -:0403DD0000002000FC -:0403DE0000002000FB -:0403DF0000002000FA -:0403E00000002000F9 -:0403E10000002000F8 -:0403E20000002000F7 -:0403E30000002000F6 -:0403E40000002000F5 -:0403E50000002000F4 -:0403E60000002000F3 -:0403E70000002000F2 -:0403E80000002000F1 -:0403E90000002000F0 -:0403EA0000002000EF -:0403EB0000002000EE -:0403EC0000002000ED -:0403ED0000002000EC -:0403EE0000002000EB -:0403EF0000002000EA -:0403F00000002000E9 -:0403F10000002000E8 -:0403F20000002000E7 -:0403F30000002000E6 -:0403F40000002000E5 -:0403F50000002000E4 -:0403F60000002000E3 -:0403F70000002000E2 -:0403F80000002000E1 -:0403F90000002000E0 -:0403FA0000002000DF -:0403FB0000002000DE -:0403FC0000002000DD -:0403FD0000002000DC -:0403FE0000002000DB -:0403FF0000002000DA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_6.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_6.hex deleted file mode 100644 index f50bff279ae778401f565a9605229bc1206086bf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_6.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400010000002000DB -:0400020000002000DA -:0400030000002000D9 -:0400040000002000D8 -:0400050000002000D7 -:0400060000002000D6 -:0400070000002000D5 -:0400080000002000D4 -:0400090000002000D3 -:04000A0000002000D2 -:04000B0000002000D1 -:04000C0000002000D0 -:04000D0000002000CF -:04000E0000002000CE -:04000F0000002000CD -:0400100000002000CC -:0400110000002000CB -:0400120000002000CA -:0400130000002000C9 -:0400140000002000C8 -:0400150000002000C7 -:0400160000002000C6 -:0400170000002000C5 -:0400180000002000C4 -:0400190000002000C3 -:04001A0000002000C2 -:04001B0000002000C1 -:04001C0000002000C0 -:04001D0000002000BF -:04001E0000002000BE -:04001F0000002000BD -:0400200000002000BC -:0400210000002000BB -:0400220000002000BA -:0400230000002000B9 -:0400240000002000B8 -:0400250000002000B7 -:0400260000002000B6 -:0400270000002000B5 -:0400280000002000B4 -:0400290000002000B3 -:04002A0000002000B2 -:04002B0000002000B1 -:04002C0000002000B0 -:04002D0000002000AF -:04002E0000002000AE -:04002F0000002000AD -:0400300000002000AC -:0400310000002000AB -:0400320000002000AA -:0400330000002000A9 -:0400340000002000A8 -:0400350000002000A7 -:0400360000002000A6 -:0400370000002000A5 -:0400380000002000A4 -:0400390000002000A3 -:04003A0000002000A2 -:04003B0000002000A1 -:04003C0000002000A0 -:04003D00000020009F -:04003E00000020009E -:04003F00000020009D -:04004000000020009C -:04004100000020009B -:04004200000020009A -:040043000000200099 -:040044000000200098 -:040045000000200097 -:040046000000200096 -:040047000000200095 -:040048000000200094 -:040049000000200093 -:04004A000000200092 -:04004B000000200091 -:04004C000000200090 -:04004D00000020008F -:04004E00000020008E -:04004F00000020008D -:04005000000020008C -:04005100000020008B -:04005200000020008A -:040053000000200089 -:040054000000200088 -:040055000000200087 -:040056000000200086 -:040057000000200085 -:040058000000200084 -:040059000000200083 -:04005A000000200082 -:04005B000000200081 -:04005C000000200080 -:04005D00000020007F -:04005E00000020007E -:04005F00000020007D -:04006000000020007C -:04006100000020007B -:04006200000020007A -:040063000000200079 -:040064000000200078 -:040065000000200077 -:040066000000200076 -:040067000000200075 -:040068000000200074 -:040069000000200073 -:04006A000000200072 -:04006B000000200071 -:04006C000000200070 -:04006D00000020006F -:04006E00000020006E -:04006F00000020006D -:04007000000020006C -:04007100000020006B -:04007200000020006A -:040073000000200069 -:040074000000200068 -:040075000000200067 -:040076000000200066 -:040077000000200065 -:040078000000200064 -:040079000000200063 -:04007A000000200062 -:04007B000000200061 -:04007C000000200060 -:04007D00000020005F -:04007E00000020005E -:04007F00000020005D -:04008000000020005C -:04008100000020005B -:04008200000020005A -:040083000000200059 -:040084000000200058 -:040085000000200057 -:040086000000200056 -:040087000000200055 -:040088000000200054 -:040089000000200053 -:04008A000000200052 -:04008B000000200051 -:04008C000000200050 -:04008D00000020004F -:04008E00000020004E -:04008F00000020004D -:04009000000020004C -:04009100000020004B -:04009200000020004A -:040093000000200049 -:040094000000200048 -:040095000000200047 -:040096000000200046 -:040097000000200045 -:040098000000200044 -:040099000000200043 -:04009A000000200042 -:04009B000000200041 -:04009C000000200040 -:04009D00000020003F -:04009E00000020003E -:04009F00000020003D -:0400A000000020003C -:0400A100000020003B -:0400A200000020003A -:0400A3000000200039 -:0400A4000000200038 -:0400A5000000200037 -:0400A6000000200036 -:0400A7000000200035 -:0400A8000000200034 -:0400A9000000200033 -:0400AA000000200032 -:0400AB000000200031 -:0400AC000000200030 -:0400AD00000020002F -:0400AE00000020002E -:0400AF00000020002D -:0400B000000020002C -:0400B100000020002B -:0400B200000020002A -:0400B3000000200029 -:0400B4000000200028 -:0400B5000000200027 -:0400B6000000200026 -:0400B7000000200025 -:0400B8000000200024 -:0400B9000000200023 -:0400BA000000200022 -:0400BB000000200021 -:0400BC000000200020 -:0400BD00000020001F -:0400BE00000020001E -:0400BF00000020001D -:0400C000000020001C -:0400C100000020001B -:0400C200000020001A -:0400C3000000200019 -:0400C4000000200018 -:0400C5000000200017 -:0400C6000000200016 -:0400C7000000200015 -:0400C8000000200014 -:0400C9000000200013 -:0400CA000000200012 -:0400CB000000200011 -:0400CC000000200010 -:0400CD00000020000F -:0400CE00000020000E -:0400CF00000020000D -:0400D000000020000C -:0400D100000020000B -:0400D200000020000A -:0400D3000000200009 -:0400D4000000200008 -:0400D5000000200007 -:0400D6000000200006 -:0400D7000000200005 -:0400D8000000200004 -:0400D9000000200003 -:0400DA000000200002 -:0400DB000000200001 -:0400DC000000200000 -:0400DD0000002000FF -:0400DE0000002000FE -:0400DF0000002000FD -:0400E00000002000FC -:0400E10000002000FB -:0400E20000002000FA -:0400E30000002000F9 -:0400E40000002000F8 -:0400E50000002000F7 -:0400E60000002000F6 -:0400E70000002000F5 -:0400E80000002000F4 -:0400E90000002000F3 -:0400EA0000002000F2 -:0400EB0000002000F1 -:0400EC0000002000F0 -:0400ED0000002000EF -:0400EE0000002000EE -:0400EF0000002000ED -:0400F00000002000EC -:0400F10000002000EB -:0400F20000002000EA -:0400F30000002000E9 -:0400F40000002000E8 -:0400F50000002000E7 -:0400F60000002000E6 -:0400F70000002000E5 -:0400F80000002000E4 -:0400F90000002000E3 -:0400FA0000002000E2 -:0400FB0000002000E1 -:0400FC0000002000E0 -:0400FD0000002000DF -:0400FE0000002000DE -:0400FF0000002000DD -:0401000000002000DB -:0401010000002000DA -:0401020000002000D9 -:0401030000002000D8 -:0401040000002000D7 -:0401050000002000D6 -:0401060000002000D5 -:0401070000002000D4 -:0401080000002000D3 -:0401090000002000D2 -:04010A0000002000D1 -:04010B0000002000D0 -:04010C0000002000CF -:04010D0000002000CE -:04010E0000002000CD -:04010F0000002000CC -:0401100000002000CB -:0401110000002000CA -:0401120000002000C9 -:0401130000002000C8 -:0401140000002000C7 -:0401150000002000C6 -:0401160000002000C5 -:0401170000002000C4 -:0401180000002000C3 -:0401190000002000C2 -:04011A0000002000C1 -:04011B0000002000C0 -:04011C0000002000BF -:04011D0000002000BE -:04011E0000002000BD -:04011F0000002000BC -:0401200000002000BB -:0401210000002000BA -:0401220000002000B9 -:0401230000002000B8 -:0401240000002000B7 -:0401250000002000B6 -:0401260000002000B5 -:0401270000002000B4 -:0401280000002000B3 -:0401290000002000B2 -:04012A0000002000B1 -:04012B0000002000B0 -:04012C0000002000AF -:04012D0000002000AE -:04012E0000002000AD -:04012F0000002000AC -:0401300000002000AB -:0401310000002000AA -:0401320000002000A9 -:0401330000002000A8 -:0401340000002000A7 -:0401350000002000A6 -:0401360000002000A5 -:0401370000002000A4 -:0401380000002000A3 -:0401390000002000A2 -:04013A0000002000A1 -:04013B0000002000A0 -:04013C00000020009F -:04013D00000020009E -:04013E00000020009D -:04013F00000020009C -:04014000000020009B -:04014100000020009A -:040142000000200099 -:040143000000200098 -:040144000000200097 -:040145000000200096 -:040146000000200095 -:040147000000200094 -:040148000000200093 -:040149000000200092 -:04014A000000200091 -:04014B000000200090 -:04014C00000020008F -:04014D00000020008E -:04014E00000020008D -:04014F00000020008C -:04015000000020008B -:04015100000020008A -:040152000000200089 -:040153000000200088 -:040154000000200087 -:040155000000200086 -:040156000000200085 -:040157000000200084 -:040158000000200083 -:040159000000200082 -:04015A000000200081 -:04015B000000200080 -:04015C00000020007F -:04015D00000020007E -:04015E00000020007D -:04015F00000020007C -:04016000000020007B -:04016100000020007A -:040162000000200079 -:040163000000200078 -:040164000000200077 -:040165000000200076 -:040166000000200075 -:040167000000200074 -:040168000000200073 -:040169000000200072 -:04016A000000200071 -:04016B000000200070 -:04016C00000020006F -:04016D00000020006E -:04016E00000020006D -:04016F00000020006C -:04017000000020006B -:04017100000020006A -:040172000000200069 -:040173000000200068 -:040174000000200067 -:040175000000200066 -:040176000000200065 -:040177000000200064 -:040178000000200063 -:040179000000200062 -:04017A000000200061 -:04017B000000200060 -:04017C00000020005F -:04017D00000020005E -:04017E00000020005D -:04017F00000020005C -:04018000000020005B -:04018100000020005A -:040182000000200059 -:040183000000200058 -:040184000000200057 -:040185000000200056 -:040186000000200055 -:040187000000200054 -:040188000000200053 -:040189000000200052 -:04018A000000200051 -:04018B000000200050 -:04018C00000020004F -:04018D00000020004E -:04018E00000020004D -:04018F00000020004C -:04019000000020004B -:04019100000020004A -:040192000000200049 -:040193000000200048 -:040194000000200047 -:040195000000200046 -:040196000000200045 -:040197000000200044 -:040198000000200043 -:040199000000200042 -:04019A000000200041 -:04019B000000200040 -:04019C00000020003F -:04019D00000020003E -:04019E00000020003D -:04019F00000020003C -:0401A000000020003B -:0401A100000020003A -:0401A2000000200039 -:0401A3000000200038 -:0401A4000000200037 -:0401A5000000200036 -:0401A6000000200035 -:0401A7000000200034 -:0401A8000000200033 -:0401A9000000200032 -:0401AA000000200031 -:0401AB000000200030 -:0401AC00000020002F -:0401AD00000020002E -:0401AE00000020002D -:0401AF00000020002C -:0401B000000020002B -:0401B100000020002A -:0401B2000000200029 -:0401B3000000200028 -:0401B4000000200027 -:0401B5000000200026 -:0401B6000000200025 -:0401B7000000200024 -:0401B8000000200023 -:0401B9000000200022 -:0401BA000000200021 -:0401BB000000200020 -:0401BC00000020001F -:0401BD00000020001E -:0401BE00000020001D -:0401BF00000020001C -:0401C000000020001B -:0401C100000020001A -:0401C2000000200019 -:0401C3000000200018 -:0401C4000000200017 -:0401C5000000200016 -:0401C6000000200015 -:0401C7000000200014 -:0401C8000000200013 -:0401C9000000200012 -:0401CA000000200011 -:0401CB000000200010 -:0401CC00000020000F -:0401CD00000020000E -:0401CE00000020000D -:0401CF00000020000C -:0401D000000020000B -:0401D100000020000A -:0401D2000000200009 -:0401D3000000200008 -:0401D4000000200007 -:0401D5000000200006 -:0401D6000000200005 -:0401D7000000200004 -:0401D8000000200003 -:0401D9000000200002 -:0401DA000000200001 -:0401DB000000200000 -:0401DC0000002000FF -:0401DD0000002000FE -:0401DE0000002000FD -:0401DF0000002000FC -:0401E00000002000FB -:0401E10000002000FA -:0401E20000002000F9 -:0401E30000002000F8 -:0401E40000002000F7 -:0401E50000002000F6 -:0401E60000002000F5 -:0401E70000002000F4 -:0401E80000002000F3 -:0401E90000002000F2 -:0401EA0000002000F1 -:0401EB0000002000F0 -:0401EC0000002000EF -:0401ED0000002000EE -:0401EE0000002000ED -:0401EF0000002000EC -:0401F00000002000EB -:0401F10000002000EA -:0401F20000002000E9 -:0401F30000002000E8 -:0401F40000002000E7 -:0401F50000002000E6 -:0401F60000002000E5 -:0401F70000002000E4 -:0401F80000002000E3 -:0401F90000002000E2 -:0401FA0000002000E1 -:0401FB0000002000E0 -:0401FC0000002000DF -:0401FD0000002000DE -:0401FE0000002000DD -:0401FF0000002000DC -:0402000000002000DA -:0402010000002000D9 -:0402020000002000D8 -:0402030000002000D7 -:0402040000002000D6 -:0402050000002000D5 -:0402060000002000D4 -:0402070000002000D3 -:0402080000002000D2 -:0402090000002000D1 -:04020A0000002000D0 -:04020B0000002000CF -:04020C0000002000CE -:04020D0000002000CD -:04020E0000002000CC -:04020F0000002000CB -:0402100000002000CA -:0402110000002000C9 -:0402120000002000C8 -:0402130000002000C7 -:0402140000002000C6 -:0402150000002000C5 -:0402160000002000C4 -:0402170000002000C3 -:0402180000002000C2 -:0402190000002000C1 -:04021A0000002000C0 -:04021B0000002000BF -:04021C0000002000BE -:04021D0000002000BD -:04021E0000002000BC -:04021F0000002000BB -:0402200000002000BA -:0402210000002000B9 -:0402220000002000B8 -:0402230000002000B7 -:0402240000002000B6 -:0402250000002000B5 -:0402260000002000B4 -:0402270000002000B3 -:0402280000002000B2 -:0402290000002000B1 -:04022A0000002000B0 -:04022B0000002000AF -:04022C0000002000AE -:04022D0000002000AD -:04022E0000002000AC -:04022F0000002000AB -:0402300000002000AA -:0402310000002000A9 -:0402320000002000A8 -:0402330000002000A7 -:0402340000002000A6 -:0402350000002000A5 -:0402360000002000A4 -:0402370000002000A3 -:0402380000002000A2 -:0402390000002000A1 -:04023A0000002000A0 -:04023B00000020009F -:04023C00000020009E -:04023D00000020009D -:04023E00000020009C -:04023F00000020009B -:04024000000020009A -:040241000000200099 -:040242000000200098 -:040243000000200097 -:040244000000200096 -:040245000000200095 -:040246000000200094 -:040247000000200093 -:040248000000200092 -:040249000000200091 -:04024A000000200090 -:04024B00000020008F -:04024C00000020008E -:04024D00000020008D -:04024E00000020008C -:04024F00000020008B -:04025000000020008A -:040251000000200089 -:040252000000200088 -:040253000000200087 -:040254000000200086 -:040255000000200085 -:040256000000200084 -:040257000000200083 -:040258000000200082 -:040259000000200081 -:04025A000000200080 -:04025B00000020007F -:04025C00000020007E -:04025D00000020007D -:04025E00000020007C -:04025F00000020007B -:04026000000020007A -:040261000000200079 -:040262000000200078 -:040263000000200077 -:040264000000200076 -:040265000000200075 -:040266000000200074 -:040267000000200073 -:040268000000200072 -:040269000000200071 -:04026A000000200070 -:04026B00000020006F -:04026C00000020006E -:04026D00000020006D -:04026E00000020006C -:04026F00000020006B -:04027000000020006A -:040271000000200069 -:040272000000200068 -:040273000000200067 -:040274000000200066 -:040275000000200065 -:040276000000200064 -:040277000000200063 -:040278000000200062 -:040279000000200061 -:04027A000000200060 -:04027B00000020005F -:04027C00000020005E -:04027D00000020005D -:04027E00000020005C -:04027F00000020005B -:04028000000020005A -:040281000000200059 -:040282000000200058 -:040283000000200057 -:040284000000200056 -:040285000000200055 -:040286000000200054 -:040287000000200053 -:040288000000200052 -:040289000000200051 -:04028A000000200050 -:04028B00000020004F -:04028C00000020004E -:04028D00000020004D -:04028E00000020004C -:04028F00000020004B -:04029000000020004A -:040291000000200049 -:040292000000200048 -:040293000000200047 -:040294000000200046 -:040295000000200045 -:040296000000200044 -:040297000000200043 -:040298000000200042 -:040299000000200041 -:04029A000000200040 -:04029B00000020003F -:04029C00000020003E -:04029D00000020003D -:04029E00000020003C -:04029F00000020003B -:0402A000000020003A -:0402A1000000200039 -:0402A2000000200038 -:0402A3000000200037 -:0402A4000000200036 -:0402A5000000200035 -:0402A6000000200034 -:0402A7000000200033 -:0402A8000000200032 -:0402A9000000200031 -:0402AA000000200030 -:0402AB00000020002F -:0402AC00000020002E -:0402AD00000020002D -:0402AE00000020002C -:0402AF00000020002B -:0402B000000020002A -:0402B1000000200029 -:0402B2000000200028 -:0402B3000000200027 -:0402B4000000200026 -:0402B5000000200025 -:0402B6000000200024 -:0402B7000000200023 -:0402B8000000200022 -:0402B9000000200021 -:0402BA000000200020 -:0402BB00000020001F -:0402BC00000020001E -:0402BD00000020001D -:0402BE00000020001C -:0402BF00000020001B -:0402C000000020001A -:0402C1000000200019 -:0402C2000000200018 -:0402C3000000200017 -:0402C4000000200016 -:0402C5000000200015 -:0402C6000000200014 -:0402C7000000200013 -:0402C8000000200012 -:0402C9000000200011 -:0402CA000000200010 -:0402CB00000020000F -:0402CC00000020000E -:0402CD00000020000D -:0402CE00000020000C -:0402CF00000020000B -:0402D000000020000A -:0402D1000000200009 -:0402D2000000200008 -:0402D3000000200007 -:0402D4000000200006 -:0402D5000000200005 -:0402D6000000200004 -:0402D7000000200003 -:0402D8000000200002 -:0402D9000000200001 -:0402DA000000200000 -:0402DB0000002000FF -:0402DC0000002000FE -:0402DD0000002000FD -:0402DE0000002000FC -:0402DF0000002000FB -:0402E00000002000FA -:0402E10000002000F9 -:0402E20000002000F8 -:0402E30000002000F7 -:0402E40000002000F6 -:0402E50000002000F5 -:0402E60000002000F4 -:0402E70000002000F3 -:0402E80000002000F2 -:0402E90000002000F1 -:0402EA0000002000F0 -:0402EB0000002000EF -:0402EC0000002000EE -:0402ED0000002000ED -:0402EE0000002000EC -:0402EF0000002000EB -:0402F00000002000EA -:0402F10000002000E9 -:0402F20000002000E8 -:0402F30000002000E7 -:0402F40000002000E6 -:0402F50000002000E5 -:0402F60000002000E4 -:0402F70000002000E3 -:0402F80000002000E2 -:0402F90000002000E1 -:0402FA0000002000E0 -:0402FB0000002000DF -:0402FC0000002000DE -:0402FD0000002000DD -:0402FE0000002000DC -:0402FF0000002000DB -:0403000000002000D9 -:0403010000002000D8 -:0403020000002000D7 -:0403030000002000D6 -:0403040000002000D5 -:0403050000002000D4 -:0403060000002000D3 -:0403070000002000D2 -:0403080000002000D1 -:0403090000002000D0 -:04030A0000002000CF -:04030B0000002000CE -:04030C0000002000CD -:04030D0000002000CC -:04030E0000002000CB -:04030F0000002000CA -:0403100000002000C9 -:0403110000002000C8 -:0403120000002000C7 -:0403130000002000C6 -:0403140000002000C5 -:0403150000002000C4 -:0403160000002000C3 -:0403170000002000C2 -:0403180000002000C1 -:0403190000002000C0 -:04031A0000002000BF -:04031B0000002000BE -:04031C0000002000BD -:04031D0000002000BC -:04031E0000002000BB -:04031F0000002000BA -:0403200000002000B9 -:0403210000002000B8 -:0403220000002000B7 -:0403230000002000B6 -:0403240000002000B5 -:0403250000002000B4 -:0403260000002000B3 -:0403270000002000B2 -:0403280000002000B1 -:0403290000002000B0 -:04032A0000002000AF -:04032B0000002000AE -:04032C0000002000AD -:04032D0000002000AC -:04032E0000002000AB -:04032F0000002000AA -:0403300000002000A9 -:0403310000002000A8 -:0403320000002000A7 -:0403330000002000A6 -:0403340000002000A5 -:0403350000002000A4 -:0403360000002000A3 -:0403370000002000A2 -:0403380000002000A1 -:0403390000002000A0 -:04033A00000020009F -:04033B00000020009E -:04033C00000020009D -:04033D00000020009C -:04033E00000020009B -:04033F00000020009A -:040340000000200099 -:040341000000200098 -:040342000000200097 -:040343000000200096 -:040344000000200095 -:040345000000200094 -:040346000000200093 -:040347000000200092 -:040348000000200091 -:040349000000200090 -:04034A00000020008F -:04034B00000020008E -:04034C00000020008D -:04034D00000020008C -:04034E00000020008B -:04034F00000020008A -:040350000000200089 -:040351000000200088 -:040352000000200087 -:040353000000200086 -:040354000000200085 -:040355000000200084 -:040356000000200083 -:040357000000200082 -:040358000000200081 -:040359000000200080 -:04035A00000020007F -:04035B00000020007E -:04035C00000020007D -:04035D00000020007C -:04035E00000020007B -:04035F00000020007A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000200071 -:040369000000200070 -:04036A00000020006F -:04036B00000020006E -:04036C00000020006D -:04036D00000020006C -:04036E00000020006B -:04036F00000020006A -:040370000000200069 -:040371000000200068 -:040372000000200067 -:040373000000200066 -:040374000000200065 -:040375000000200064 -:040376000000200063 -:040377000000200062 -:040378000000200061 -:040379000000200060 -:04037A00000020005F -:04037B00000020005E -:04037C00000020005D -:04037D00000020005C -:04037E00000020005B -:04037F00000020005A -:040380000000200059 -:040381000000200058 -:040382000000200057 -:040383000000200056 -:040384000000200055 -:040385000000200054 -:040386000000200053 -:040387000000200052 -:040388000000200051 -:040389000000200050 -:04038A00000020004F -:04038B00000020004E -:04038C00000020004D -:04038D00000020004C -:04038E00000020004B -:04038F00000020004A -:040390000000200049 -:040391000000200048 -:040392000000200047 -:040393000000200046 -:040394000000200045 -:040395000000200044 -:040396000000200043 -:040397000000200042 -:040398000000200041 -:040399000000200040 -:04039A00000020003F -:04039B00000020003E -:04039C00000020003D -:04039D00000020003C -:04039E00000020003B -:04039F00000020003A -:0403A0000000200039 -:0403A1000000200038 -:0403A2000000200037 -:0403A3000000200036 -:0403A4000000200035 -:0403A5000000200034 -:0403A6000000200033 -:0403A7000000200032 -:0403A8000000200031 -:0403A9000000200030 -:0403AA00000020002F -:0403AB00000020002E -:0403AC00000020002D -:0403AD00000020002C -:0403AE00000020002B -:0403AF00000020002A -:0403B0000000200029 -:0403B1000000200028 -:0403B2000000200027 -:0403B3000000200026 -:0403B4000000200025 -:0403B5000000200024 -:0403B6000000200023 -:0403B7000000200022 -:0403B8000000200021 -:0403B9000000200020 -:0403BA00000020001F -:0403BB00000020001E -:0403BC00000020001D -:0403BD00000020001C -:0403BE00000020001B -:0403BF00000020001A -:0403C0000000200019 -:0403C1000000200018 -:0403C2000000200017 -:0403C3000000200016 -:0403C4000000200015 -:0403C5000000200014 -:0403C6000000200013 -:0403C7000000200012 -:0403C8000000200011 -:0403C9000000200010 -:0403CA00000020000F -:0403CB00000020000E -:0403CC00000020000D -:0403CD00000020000C -:0403CE00000020000B -:0403CF00000020000A -:0403D0000000200009 -:0403D1000000200008 -:0403D2000000200007 -:0403D3000000200006 -:0403D4000000200005 -:0403D5000000200004 -:0403D6000000200003 -:0403D7000000200002 -:0403D8000000200001 -:0403D9000000200000 -:0403DA0000002000FF -:0403DB0000002000FE -:0403DC0000002000FD -:0403DD0000002000FC -:0403DE0000002000FB -:0403DF0000002000FA -:0403E00000002000F9 -:0403E10000002000F8 -:0403E20000002000F7 -:0403E30000002000F6 -:0403E40000002000F5 -:0403E50000002000F4 -:0403E60000002000F3 -:0403E70000002000F2 -:0403E80000002000F1 -:0403E90000002000F0 -:0403EA0000002000EF -:0403EB0000002000EE -:0403EC0000002000ED -:0403ED0000002000EC -:0403EE0000002000EB -:0403EF0000002000EA -:0403F00000002000E9 -:0403F10000002000E8 -:0403F20000002000E7 -:0403F30000002000E6 -:0403F40000002000E5 -:0403F50000002000E4 -:0403F60000002000E3 -:0403F70000002000E2 -:0403F80000002000E1 -:0403F90000002000E0 -:0403FA0000002000DF -:0403FB0000002000DE -:0403FC0000002000DD -:0403FD0000002000DC -:0403FE0000002000DB -:0403FF0000002000DA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_7.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_7.hex deleted file mode 100644 index f50bff279ae778401f565a9605229bc1206086bf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_7.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400010000002000DB -:0400020000002000DA -:0400030000002000D9 -:0400040000002000D8 -:0400050000002000D7 -:0400060000002000D6 -:0400070000002000D5 -:0400080000002000D4 -:0400090000002000D3 -:04000A0000002000D2 -:04000B0000002000D1 -:04000C0000002000D0 -:04000D0000002000CF -:04000E0000002000CE -:04000F0000002000CD -:0400100000002000CC -:0400110000002000CB -:0400120000002000CA -:0400130000002000C9 -:0400140000002000C8 -:0400150000002000C7 -:0400160000002000C6 -:0400170000002000C5 -:0400180000002000C4 -:0400190000002000C3 -:04001A0000002000C2 -:04001B0000002000C1 -:04001C0000002000C0 -:04001D0000002000BF -:04001E0000002000BE -:04001F0000002000BD -:0400200000002000BC -:0400210000002000BB -:0400220000002000BA -:0400230000002000B9 -:0400240000002000B8 -:0400250000002000B7 -:0400260000002000B6 -:0400270000002000B5 -:0400280000002000B4 -:0400290000002000B3 -:04002A0000002000B2 -:04002B0000002000B1 -:04002C0000002000B0 -:04002D0000002000AF -:04002E0000002000AE -:04002F0000002000AD -:0400300000002000AC -:0400310000002000AB -:0400320000002000AA -:0400330000002000A9 -:0400340000002000A8 -:0400350000002000A7 -:0400360000002000A6 -:0400370000002000A5 -:0400380000002000A4 -:0400390000002000A3 -:04003A0000002000A2 -:04003B0000002000A1 -:04003C0000002000A0 -:04003D00000020009F -:04003E00000020009E -:04003F00000020009D -:04004000000020009C -:04004100000020009B -:04004200000020009A -:040043000000200099 -:040044000000200098 -:040045000000200097 -:040046000000200096 -:040047000000200095 -:040048000000200094 -:040049000000200093 -:04004A000000200092 -:04004B000000200091 -:04004C000000200090 -:04004D00000020008F -:04004E00000020008E -:04004F00000020008D -:04005000000020008C -:04005100000020008B -:04005200000020008A -:040053000000200089 -:040054000000200088 -:040055000000200087 -:040056000000200086 -:040057000000200085 -:040058000000200084 -:040059000000200083 -:04005A000000200082 -:04005B000000200081 -:04005C000000200080 -:04005D00000020007F -:04005E00000020007E -:04005F00000020007D -:04006000000020007C -:04006100000020007B -:04006200000020007A -:040063000000200079 -:040064000000200078 -:040065000000200077 -:040066000000200076 -:040067000000200075 -:040068000000200074 -:040069000000200073 -:04006A000000200072 -:04006B000000200071 -:04006C000000200070 -:04006D00000020006F -:04006E00000020006E -:04006F00000020006D -:04007000000020006C -:04007100000020006B -:04007200000020006A -:040073000000200069 -:040074000000200068 -:040075000000200067 -:040076000000200066 -:040077000000200065 -:040078000000200064 -:040079000000200063 -:04007A000000200062 -:04007B000000200061 -:04007C000000200060 -:04007D00000020005F -:04007E00000020005E -:04007F00000020005D -:04008000000020005C -:04008100000020005B -:04008200000020005A -:040083000000200059 -:040084000000200058 -:040085000000200057 -:040086000000200056 -:040087000000200055 -:040088000000200054 -:040089000000200053 -:04008A000000200052 -:04008B000000200051 -:04008C000000200050 -:04008D00000020004F -:04008E00000020004E -:04008F00000020004D -:04009000000020004C -:04009100000020004B -:04009200000020004A -:040093000000200049 -:040094000000200048 -:040095000000200047 -:040096000000200046 -:040097000000200045 -:040098000000200044 -:040099000000200043 -:04009A000000200042 -:04009B000000200041 -:04009C000000200040 -:04009D00000020003F -:04009E00000020003E -:04009F00000020003D -:0400A000000020003C -:0400A100000020003B -:0400A200000020003A -:0400A3000000200039 -:0400A4000000200038 -:0400A5000000200037 -:0400A6000000200036 -:0400A7000000200035 -:0400A8000000200034 -:0400A9000000200033 -:0400AA000000200032 -:0400AB000000200031 -:0400AC000000200030 -:0400AD00000020002F -:0400AE00000020002E -:0400AF00000020002D -:0400B000000020002C -:0400B100000020002B -:0400B200000020002A -:0400B3000000200029 -:0400B4000000200028 -:0400B5000000200027 -:0400B6000000200026 -:0400B7000000200025 -:0400B8000000200024 -:0400B9000000200023 -:0400BA000000200022 -:0400BB000000200021 -:0400BC000000200020 -:0400BD00000020001F -:0400BE00000020001E -:0400BF00000020001D -:0400C000000020001C -:0400C100000020001B -:0400C200000020001A -:0400C3000000200019 -:0400C4000000200018 -:0400C5000000200017 -:0400C6000000200016 -:0400C7000000200015 -:0400C8000000200014 -:0400C9000000200013 -:0400CA000000200012 -:0400CB000000200011 -:0400CC000000200010 -:0400CD00000020000F -:0400CE00000020000E -:0400CF00000020000D -:0400D000000020000C -:0400D100000020000B -:0400D200000020000A -:0400D3000000200009 -:0400D4000000200008 -:0400D5000000200007 -:0400D6000000200006 -:0400D7000000200005 -:0400D8000000200004 -:0400D9000000200003 -:0400DA000000200002 -:0400DB000000200001 -:0400DC000000200000 -:0400DD0000002000FF -:0400DE0000002000FE -:0400DF0000002000FD -:0400E00000002000FC -:0400E10000002000FB -:0400E20000002000FA -:0400E30000002000F9 -:0400E40000002000F8 -:0400E50000002000F7 -:0400E60000002000F6 -:0400E70000002000F5 -:0400E80000002000F4 -:0400E90000002000F3 -:0400EA0000002000F2 -:0400EB0000002000F1 -:0400EC0000002000F0 -:0400ED0000002000EF -:0400EE0000002000EE -:0400EF0000002000ED -:0400F00000002000EC -:0400F10000002000EB -:0400F20000002000EA -:0400F30000002000E9 -:0400F40000002000E8 -:0400F50000002000E7 -:0400F60000002000E6 -:0400F70000002000E5 -:0400F80000002000E4 -:0400F90000002000E3 -:0400FA0000002000E2 -:0400FB0000002000E1 -:0400FC0000002000E0 -:0400FD0000002000DF -:0400FE0000002000DE -:0400FF0000002000DD -:0401000000002000DB -:0401010000002000DA -:0401020000002000D9 -:0401030000002000D8 -:0401040000002000D7 -:0401050000002000D6 -:0401060000002000D5 -:0401070000002000D4 -:0401080000002000D3 -:0401090000002000D2 -:04010A0000002000D1 -:04010B0000002000D0 -:04010C0000002000CF -:04010D0000002000CE -:04010E0000002000CD -:04010F0000002000CC -:0401100000002000CB -:0401110000002000CA -:0401120000002000C9 -:0401130000002000C8 -:0401140000002000C7 -:0401150000002000C6 -:0401160000002000C5 -:0401170000002000C4 -:0401180000002000C3 -:0401190000002000C2 -:04011A0000002000C1 -:04011B0000002000C0 -:04011C0000002000BF -:04011D0000002000BE -:04011E0000002000BD -:04011F0000002000BC -:0401200000002000BB -:0401210000002000BA -:0401220000002000B9 -:0401230000002000B8 -:0401240000002000B7 -:0401250000002000B6 -:0401260000002000B5 -:0401270000002000B4 -:0401280000002000B3 -:0401290000002000B2 -:04012A0000002000B1 -:04012B0000002000B0 -:04012C0000002000AF -:04012D0000002000AE -:04012E0000002000AD -:04012F0000002000AC -:0401300000002000AB -:0401310000002000AA -:0401320000002000A9 -:0401330000002000A8 -:0401340000002000A7 -:0401350000002000A6 -:0401360000002000A5 -:0401370000002000A4 -:0401380000002000A3 -:0401390000002000A2 -:04013A0000002000A1 -:04013B0000002000A0 -:04013C00000020009F -:04013D00000020009E -:04013E00000020009D -:04013F00000020009C -:04014000000020009B -:04014100000020009A -:040142000000200099 -:040143000000200098 -:040144000000200097 -:040145000000200096 -:040146000000200095 -:040147000000200094 -:040148000000200093 -:040149000000200092 -:04014A000000200091 -:04014B000000200090 -:04014C00000020008F -:04014D00000020008E -:04014E00000020008D -:04014F00000020008C -:04015000000020008B -:04015100000020008A -:040152000000200089 -:040153000000200088 -:040154000000200087 -:040155000000200086 -:040156000000200085 -:040157000000200084 -:040158000000200083 -:040159000000200082 -:04015A000000200081 -:04015B000000200080 -:04015C00000020007F -:04015D00000020007E -:04015E00000020007D -:04015F00000020007C -:04016000000020007B -:04016100000020007A -:040162000000200079 -:040163000000200078 -:040164000000200077 -:040165000000200076 -:040166000000200075 -:040167000000200074 -:040168000000200073 -:040169000000200072 -:04016A000000200071 -:04016B000000200070 -:04016C00000020006F -:04016D00000020006E -:04016E00000020006D -:04016F00000020006C -:04017000000020006B -:04017100000020006A -:040172000000200069 -:040173000000200068 -:040174000000200067 -:040175000000200066 -:040176000000200065 -:040177000000200064 -:040178000000200063 -:040179000000200062 -:04017A000000200061 -:04017B000000200060 -:04017C00000020005F -:04017D00000020005E -:04017E00000020005D -:04017F00000020005C -:04018000000020005B -:04018100000020005A -:040182000000200059 -:040183000000200058 -:040184000000200057 -:040185000000200056 -:040186000000200055 -:040187000000200054 -:040188000000200053 -:040189000000200052 -:04018A000000200051 -:04018B000000200050 -:04018C00000020004F -:04018D00000020004E -:04018E00000020004D -:04018F00000020004C -:04019000000020004B -:04019100000020004A -:040192000000200049 -:040193000000200048 -:040194000000200047 -:040195000000200046 -:040196000000200045 -:040197000000200044 -:040198000000200043 -:040199000000200042 -:04019A000000200041 -:04019B000000200040 -:04019C00000020003F -:04019D00000020003E -:04019E00000020003D -:04019F00000020003C -:0401A000000020003B -:0401A100000020003A -:0401A2000000200039 -:0401A3000000200038 -:0401A4000000200037 -:0401A5000000200036 -:0401A6000000200035 -:0401A7000000200034 -:0401A8000000200033 -:0401A9000000200032 -:0401AA000000200031 -:0401AB000000200030 -:0401AC00000020002F -:0401AD00000020002E -:0401AE00000020002D -:0401AF00000020002C -:0401B000000020002B -:0401B100000020002A -:0401B2000000200029 -:0401B3000000200028 -:0401B4000000200027 -:0401B5000000200026 -:0401B6000000200025 -:0401B7000000200024 -:0401B8000000200023 -:0401B9000000200022 -:0401BA000000200021 -:0401BB000000200020 -:0401BC00000020001F -:0401BD00000020001E -:0401BE00000020001D -:0401BF00000020001C -:0401C000000020001B -:0401C100000020001A -:0401C2000000200019 -:0401C3000000200018 -:0401C4000000200017 -:0401C5000000200016 -:0401C6000000200015 -:0401C7000000200014 -:0401C8000000200013 -:0401C9000000200012 -:0401CA000000200011 -:0401CB000000200010 -:0401CC00000020000F -:0401CD00000020000E -:0401CE00000020000D -:0401CF00000020000C -:0401D000000020000B -:0401D100000020000A -:0401D2000000200009 -:0401D3000000200008 -:0401D4000000200007 -:0401D5000000200006 -:0401D6000000200005 -:0401D7000000200004 -:0401D8000000200003 -:0401D9000000200002 -:0401DA000000200001 -:0401DB000000200000 -:0401DC0000002000FF -:0401DD0000002000FE -:0401DE0000002000FD -:0401DF0000002000FC -:0401E00000002000FB -:0401E10000002000FA -:0401E20000002000F9 -:0401E30000002000F8 -:0401E40000002000F7 -:0401E50000002000F6 -:0401E60000002000F5 -:0401E70000002000F4 -:0401E80000002000F3 -:0401E90000002000F2 -:0401EA0000002000F1 -:0401EB0000002000F0 -:0401EC0000002000EF -:0401ED0000002000EE -:0401EE0000002000ED -:0401EF0000002000EC -:0401F00000002000EB -:0401F10000002000EA -:0401F20000002000E9 -:0401F30000002000E8 -:0401F40000002000E7 -:0401F50000002000E6 -:0401F60000002000E5 -:0401F70000002000E4 -:0401F80000002000E3 -:0401F90000002000E2 -:0401FA0000002000E1 -:0401FB0000002000E0 -:0401FC0000002000DF -:0401FD0000002000DE -:0401FE0000002000DD -:0401FF0000002000DC -:0402000000002000DA -:0402010000002000D9 -:0402020000002000D8 -:0402030000002000D7 -:0402040000002000D6 -:0402050000002000D5 -:0402060000002000D4 -:0402070000002000D3 -:0402080000002000D2 -:0402090000002000D1 -:04020A0000002000D0 -:04020B0000002000CF -:04020C0000002000CE -:04020D0000002000CD -:04020E0000002000CC -:04020F0000002000CB -:0402100000002000CA -:0402110000002000C9 -:0402120000002000C8 -:0402130000002000C7 -:0402140000002000C6 -:0402150000002000C5 -:0402160000002000C4 -:0402170000002000C3 -:0402180000002000C2 -:0402190000002000C1 -:04021A0000002000C0 -:04021B0000002000BF -:04021C0000002000BE -:04021D0000002000BD -:04021E0000002000BC -:04021F0000002000BB -:0402200000002000BA -:0402210000002000B9 -:0402220000002000B8 -:0402230000002000B7 -:0402240000002000B6 -:0402250000002000B5 -:0402260000002000B4 -:0402270000002000B3 -:0402280000002000B2 -:0402290000002000B1 -:04022A0000002000B0 -:04022B0000002000AF -:04022C0000002000AE -:04022D0000002000AD -:04022E0000002000AC -:04022F0000002000AB -:0402300000002000AA -:0402310000002000A9 -:0402320000002000A8 -:0402330000002000A7 -:0402340000002000A6 -:0402350000002000A5 -:0402360000002000A4 -:0402370000002000A3 -:0402380000002000A2 -:0402390000002000A1 -:04023A0000002000A0 -:04023B00000020009F -:04023C00000020009E -:04023D00000020009D -:04023E00000020009C -:04023F00000020009B -:04024000000020009A -:040241000000200099 -:040242000000200098 -:040243000000200097 -:040244000000200096 -:040245000000200095 -:040246000000200094 -:040247000000200093 -:040248000000200092 -:040249000000200091 -:04024A000000200090 -:04024B00000020008F -:04024C00000020008E -:04024D00000020008D -:04024E00000020008C -:04024F00000020008B -:04025000000020008A -:040251000000200089 -:040252000000200088 -:040253000000200087 -:040254000000200086 -:040255000000200085 -:040256000000200084 -:040257000000200083 -:040258000000200082 -:040259000000200081 -:04025A000000200080 -:04025B00000020007F -:04025C00000020007E -:04025D00000020007D -:04025E00000020007C -:04025F00000020007B -:04026000000020007A -:040261000000200079 -:040262000000200078 -:040263000000200077 -:040264000000200076 -:040265000000200075 -:040266000000200074 -:040267000000200073 -:040268000000200072 -:040269000000200071 -:04026A000000200070 -:04026B00000020006F -:04026C00000020006E -:04026D00000020006D -:04026E00000020006C -:04026F00000020006B -:04027000000020006A -:040271000000200069 -:040272000000200068 -:040273000000200067 -:040274000000200066 -:040275000000200065 -:040276000000200064 -:040277000000200063 -:040278000000200062 -:040279000000200061 -:04027A000000200060 -:04027B00000020005F -:04027C00000020005E -:04027D00000020005D -:04027E00000020005C -:04027F00000020005B -:04028000000020005A -:040281000000200059 -:040282000000200058 -:040283000000200057 -:040284000000200056 -:040285000000200055 -:040286000000200054 -:040287000000200053 -:040288000000200052 -:040289000000200051 -:04028A000000200050 -:04028B00000020004F -:04028C00000020004E -:04028D00000020004D -:04028E00000020004C -:04028F00000020004B -:04029000000020004A -:040291000000200049 -:040292000000200048 -:040293000000200047 -:040294000000200046 -:040295000000200045 -:040296000000200044 -:040297000000200043 -:040298000000200042 -:040299000000200041 -:04029A000000200040 -:04029B00000020003F -:04029C00000020003E -:04029D00000020003D -:04029E00000020003C -:04029F00000020003B -:0402A000000020003A -:0402A1000000200039 -:0402A2000000200038 -:0402A3000000200037 -:0402A4000000200036 -:0402A5000000200035 -:0402A6000000200034 -:0402A7000000200033 -:0402A8000000200032 -:0402A9000000200031 -:0402AA000000200030 -:0402AB00000020002F -:0402AC00000020002E -:0402AD00000020002D -:0402AE00000020002C -:0402AF00000020002B -:0402B000000020002A -:0402B1000000200029 -:0402B2000000200028 -:0402B3000000200027 -:0402B4000000200026 -:0402B5000000200025 -:0402B6000000200024 -:0402B7000000200023 -:0402B8000000200022 -:0402B9000000200021 -:0402BA000000200020 -:0402BB00000020001F -:0402BC00000020001E -:0402BD00000020001D -:0402BE00000020001C -:0402BF00000020001B -:0402C000000020001A -:0402C1000000200019 -:0402C2000000200018 -:0402C3000000200017 -:0402C4000000200016 -:0402C5000000200015 -:0402C6000000200014 -:0402C7000000200013 -:0402C8000000200012 -:0402C9000000200011 -:0402CA000000200010 -:0402CB00000020000F -:0402CC00000020000E -:0402CD00000020000D -:0402CE00000020000C -:0402CF00000020000B -:0402D000000020000A -:0402D1000000200009 -:0402D2000000200008 -:0402D3000000200007 -:0402D4000000200006 -:0402D5000000200005 -:0402D6000000200004 -:0402D7000000200003 -:0402D8000000200002 -:0402D9000000200001 -:0402DA000000200000 -:0402DB0000002000FF -:0402DC0000002000FE -:0402DD0000002000FD -:0402DE0000002000FC -:0402DF0000002000FB -:0402E00000002000FA -:0402E10000002000F9 -:0402E20000002000F8 -:0402E30000002000F7 -:0402E40000002000F6 -:0402E50000002000F5 -:0402E60000002000F4 -:0402E70000002000F3 -:0402E80000002000F2 -:0402E90000002000F1 -:0402EA0000002000F0 -:0402EB0000002000EF -:0402EC0000002000EE -:0402ED0000002000ED -:0402EE0000002000EC -:0402EF0000002000EB -:0402F00000002000EA -:0402F10000002000E9 -:0402F20000002000E8 -:0402F30000002000E7 -:0402F40000002000E6 -:0402F50000002000E5 -:0402F60000002000E4 -:0402F70000002000E3 -:0402F80000002000E2 -:0402F90000002000E1 -:0402FA0000002000E0 -:0402FB0000002000DF -:0402FC0000002000DE -:0402FD0000002000DD -:0402FE0000002000DC -:0402FF0000002000DB -:0403000000002000D9 -:0403010000002000D8 -:0403020000002000D7 -:0403030000002000D6 -:0403040000002000D5 -:0403050000002000D4 -:0403060000002000D3 -:0403070000002000D2 -:0403080000002000D1 -:0403090000002000D0 -:04030A0000002000CF -:04030B0000002000CE -:04030C0000002000CD -:04030D0000002000CC -:04030E0000002000CB -:04030F0000002000CA -:0403100000002000C9 -:0403110000002000C8 -:0403120000002000C7 -:0403130000002000C6 -:0403140000002000C5 -:0403150000002000C4 -:0403160000002000C3 -:0403170000002000C2 -:0403180000002000C1 -:0403190000002000C0 -:04031A0000002000BF -:04031B0000002000BE -:04031C0000002000BD -:04031D0000002000BC -:04031E0000002000BB -:04031F0000002000BA -:0403200000002000B9 -:0403210000002000B8 -:0403220000002000B7 -:0403230000002000B6 -:0403240000002000B5 -:0403250000002000B4 -:0403260000002000B3 -:0403270000002000B2 -:0403280000002000B1 -:0403290000002000B0 -:04032A0000002000AF -:04032B0000002000AE -:04032C0000002000AD -:04032D0000002000AC -:04032E0000002000AB -:04032F0000002000AA -:0403300000002000A9 -:0403310000002000A8 -:0403320000002000A7 -:0403330000002000A6 -:0403340000002000A5 -:0403350000002000A4 -:0403360000002000A3 -:0403370000002000A2 -:0403380000002000A1 -:0403390000002000A0 -:04033A00000020009F -:04033B00000020009E -:04033C00000020009D -:04033D00000020009C -:04033E00000020009B -:04033F00000020009A -:040340000000200099 -:040341000000200098 -:040342000000200097 -:040343000000200096 -:040344000000200095 -:040345000000200094 -:040346000000200093 -:040347000000200092 -:040348000000200091 -:040349000000200090 -:04034A00000020008F -:04034B00000020008E -:04034C00000020008D -:04034D00000020008C -:04034E00000020008B -:04034F00000020008A -:040350000000200089 -:040351000000200088 -:040352000000200087 -:040353000000200086 -:040354000000200085 -:040355000000200084 -:040356000000200083 -:040357000000200082 -:040358000000200081 -:040359000000200080 -:04035A00000020007F -:04035B00000020007E -:04035C00000020007D -:04035D00000020007C -:04035E00000020007B -:04035F00000020007A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000200071 -:040369000000200070 -:04036A00000020006F -:04036B00000020006E -:04036C00000020006D -:04036D00000020006C -:04036E00000020006B -:04036F00000020006A -:040370000000200069 -:040371000000200068 -:040372000000200067 -:040373000000200066 -:040374000000200065 -:040375000000200064 -:040376000000200063 -:040377000000200062 -:040378000000200061 -:040379000000200060 -:04037A00000020005F -:04037B00000020005E -:04037C00000020005D -:04037D00000020005C -:04037E00000020005B -:04037F00000020005A -:040380000000200059 -:040381000000200058 -:040382000000200057 -:040383000000200056 -:040384000000200055 -:040385000000200054 -:040386000000200053 -:040387000000200052 -:040388000000200051 -:040389000000200050 -:04038A00000020004F -:04038B00000020004E -:04038C00000020004D -:04038D00000020004C -:04038E00000020004B -:04038F00000020004A -:040390000000200049 -:040391000000200048 -:040392000000200047 -:040393000000200046 -:040394000000200045 -:040395000000200044 -:040396000000200043 -:040397000000200042 -:040398000000200041 -:040399000000200040 -:04039A00000020003F -:04039B00000020003E -:04039C00000020003D -:04039D00000020003C -:04039E00000020003B -:04039F00000020003A -:0403A0000000200039 -:0403A1000000200038 -:0403A2000000200037 -:0403A3000000200036 -:0403A4000000200035 -:0403A5000000200034 -:0403A6000000200033 -:0403A7000000200032 -:0403A8000000200031 -:0403A9000000200030 -:0403AA00000020002F -:0403AB00000020002E -:0403AC00000020002D -:0403AD00000020002C -:0403AE00000020002B -:0403AF00000020002A -:0403B0000000200029 -:0403B1000000200028 -:0403B2000000200027 -:0403B3000000200026 -:0403B4000000200025 -:0403B5000000200024 -:0403B6000000200023 -:0403B7000000200022 -:0403B8000000200021 -:0403B9000000200020 -:0403BA00000020001F -:0403BB00000020001E -:0403BC00000020001D -:0403BD00000020001C -:0403BE00000020001B -:0403BF00000020001A -:0403C0000000200019 -:0403C1000000200018 -:0403C2000000200017 -:0403C3000000200016 -:0403C4000000200015 -:0403C5000000200014 -:0403C6000000200013 -:0403C7000000200012 -:0403C8000000200011 -:0403C9000000200010 -:0403CA00000020000F -:0403CB00000020000E -:0403CC00000020000D -:0403CD00000020000C -:0403CE00000020000B -:0403CF00000020000A -:0403D0000000200009 -:0403D1000000200008 -:0403D2000000200007 -:0403D3000000200006 -:0403D4000000200005 -:0403D5000000200004 -:0403D6000000200003 -:0403D7000000200002 -:0403D8000000200001 -:0403D9000000200000 -:0403DA0000002000FF -:0403DB0000002000FE -:0403DC0000002000FD -:0403DD0000002000FC -:0403DE0000002000FB -:0403DF0000002000FA -:0403E00000002000F9 -:0403E10000002000F8 -:0403E20000002000F7 -:0403E30000002000F6 -:0403E40000002000F5 -:0403E50000002000F4 -:0403E60000002000F3 -:0403E70000002000F2 -:0403E80000002000F1 -:0403E90000002000F0 -:0403EA0000002000EF -:0403EB0000002000EE -:0403EC0000002000ED -:0403ED0000002000EC -:0403EE0000002000EB -:0403EF0000002000EA -:0403F00000002000E9 -:0403F10000002000E8 -:0403F20000002000E7 -:0403F30000002000E6 -:0403F40000002000E5 -:0403F50000002000E4 -:0403F60000002000E3 -:0403F70000002000E2 -:0403F80000002000E1 -:0403F90000002000E0 -:0403FA0000002000DF -:0403FB0000002000DE -:0403FC0000002000DD -:0403FD0000002000DC -:0403FE0000002000DB -:0403FF0000002000DA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_8.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_8.hex deleted file mode 100644 index f50bff279ae778401f565a9605229bc1206086bf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_8.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400010000002000DB -:0400020000002000DA -:0400030000002000D9 -:0400040000002000D8 -:0400050000002000D7 -:0400060000002000D6 -:0400070000002000D5 -:0400080000002000D4 -:0400090000002000D3 -:04000A0000002000D2 -:04000B0000002000D1 -:04000C0000002000D0 -:04000D0000002000CF -:04000E0000002000CE -:04000F0000002000CD -:0400100000002000CC -:0400110000002000CB -:0400120000002000CA -:0400130000002000C9 -:0400140000002000C8 -:0400150000002000C7 -:0400160000002000C6 -:0400170000002000C5 -:0400180000002000C4 -:0400190000002000C3 -:04001A0000002000C2 -:04001B0000002000C1 -:04001C0000002000C0 -:04001D0000002000BF -:04001E0000002000BE -:04001F0000002000BD -:0400200000002000BC -:0400210000002000BB -:0400220000002000BA -:0400230000002000B9 -:0400240000002000B8 -:0400250000002000B7 -:0400260000002000B6 -:0400270000002000B5 -:0400280000002000B4 -:0400290000002000B3 -:04002A0000002000B2 -:04002B0000002000B1 -:04002C0000002000B0 -:04002D0000002000AF -:04002E0000002000AE -:04002F0000002000AD -:0400300000002000AC -:0400310000002000AB -:0400320000002000AA -:0400330000002000A9 -:0400340000002000A8 -:0400350000002000A7 -:0400360000002000A6 -:0400370000002000A5 -:0400380000002000A4 -:0400390000002000A3 -:04003A0000002000A2 -:04003B0000002000A1 -:04003C0000002000A0 -:04003D00000020009F -:04003E00000020009E -:04003F00000020009D -:04004000000020009C -:04004100000020009B -:04004200000020009A -:040043000000200099 -:040044000000200098 -:040045000000200097 -:040046000000200096 -:040047000000200095 -:040048000000200094 -:040049000000200093 -:04004A000000200092 -:04004B000000200091 -:04004C000000200090 -:04004D00000020008F -:04004E00000020008E -:04004F00000020008D -:04005000000020008C -:04005100000020008B -:04005200000020008A -:040053000000200089 -:040054000000200088 -:040055000000200087 -:040056000000200086 -:040057000000200085 -:040058000000200084 -:040059000000200083 -:04005A000000200082 -:04005B000000200081 -:04005C000000200080 -:04005D00000020007F -:04005E00000020007E -:04005F00000020007D -:04006000000020007C -:04006100000020007B -:04006200000020007A -:040063000000200079 -:040064000000200078 -:040065000000200077 -:040066000000200076 -:040067000000200075 -:040068000000200074 -:040069000000200073 -:04006A000000200072 -:04006B000000200071 -:04006C000000200070 -:04006D00000020006F -:04006E00000020006E -:04006F00000020006D -:04007000000020006C -:04007100000020006B -:04007200000020006A -:040073000000200069 -:040074000000200068 -:040075000000200067 -:040076000000200066 -:040077000000200065 -:040078000000200064 -:040079000000200063 -:04007A000000200062 -:04007B000000200061 -:04007C000000200060 -:04007D00000020005F -:04007E00000020005E -:04007F00000020005D -:04008000000020005C -:04008100000020005B -:04008200000020005A -:040083000000200059 -:040084000000200058 -:040085000000200057 -:040086000000200056 -:040087000000200055 -:040088000000200054 -:040089000000200053 -:04008A000000200052 -:04008B000000200051 -:04008C000000200050 -:04008D00000020004F -:04008E00000020004E -:04008F00000020004D -:04009000000020004C -:04009100000020004B -:04009200000020004A -:040093000000200049 -:040094000000200048 -:040095000000200047 -:040096000000200046 -:040097000000200045 -:040098000000200044 -:040099000000200043 -:04009A000000200042 -:04009B000000200041 -:04009C000000200040 -:04009D00000020003F -:04009E00000020003E -:04009F00000020003D -:0400A000000020003C -:0400A100000020003B -:0400A200000020003A -:0400A3000000200039 -:0400A4000000200038 -:0400A5000000200037 -:0400A6000000200036 -:0400A7000000200035 -:0400A8000000200034 -:0400A9000000200033 -:0400AA000000200032 -:0400AB000000200031 -:0400AC000000200030 -:0400AD00000020002F -:0400AE00000020002E -:0400AF00000020002D -:0400B000000020002C -:0400B100000020002B -:0400B200000020002A -:0400B3000000200029 -:0400B4000000200028 -:0400B5000000200027 -:0400B6000000200026 -:0400B7000000200025 -:0400B8000000200024 -:0400B9000000200023 -:0400BA000000200022 -:0400BB000000200021 -:0400BC000000200020 -:0400BD00000020001F -:0400BE00000020001E -:0400BF00000020001D -:0400C000000020001C -:0400C100000020001B -:0400C200000020001A -:0400C3000000200019 -:0400C4000000200018 -:0400C5000000200017 -:0400C6000000200016 -:0400C7000000200015 -:0400C8000000200014 -:0400C9000000200013 -:0400CA000000200012 -:0400CB000000200011 -:0400CC000000200010 -:0400CD00000020000F -:0400CE00000020000E -:0400CF00000020000D -:0400D000000020000C -:0400D100000020000B -:0400D200000020000A -:0400D3000000200009 -:0400D4000000200008 -:0400D5000000200007 -:0400D6000000200006 -:0400D7000000200005 -:0400D8000000200004 -:0400D9000000200003 -:0400DA000000200002 -:0400DB000000200001 -:0400DC000000200000 -:0400DD0000002000FF -:0400DE0000002000FE -:0400DF0000002000FD -:0400E00000002000FC -:0400E10000002000FB -:0400E20000002000FA -:0400E30000002000F9 -:0400E40000002000F8 -:0400E50000002000F7 -:0400E60000002000F6 -:0400E70000002000F5 -:0400E80000002000F4 -:0400E90000002000F3 -:0400EA0000002000F2 -:0400EB0000002000F1 -:0400EC0000002000F0 -:0400ED0000002000EF -:0400EE0000002000EE -:0400EF0000002000ED -:0400F00000002000EC -:0400F10000002000EB -:0400F20000002000EA -:0400F30000002000E9 -:0400F40000002000E8 -:0400F50000002000E7 -:0400F60000002000E6 -:0400F70000002000E5 -:0400F80000002000E4 -:0400F90000002000E3 -:0400FA0000002000E2 -:0400FB0000002000E1 -:0400FC0000002000E0 -:0400FD0000002000DF -:0400FE0000002000DE -:0400FF0000002000DD -:0401000000002000DB -:0401010000002000DA -:0401020000002000D9 -:0401030000002000D8 -:0401040000002000D7 -:0401050000002000D6 -:0401060000002000D5 -:0401070000002000D4 -:0401080000002000D3 -:0401090000002000D2 -:04010A0000002000D1 -:04010B0000002000D0 -:04010C0000002000CF -:04010D0000002000CE -:04010E0000002000CD -:04010F0000002000CC -:0401100000002000CB -:0401110000002000CA -:0401120000002000C9 -:0401130000002000C8 -:0401140000002000C7 -:0401150000002000C6 -:0401160000002000C5 -:0401170000002000C4 -:0401180000002000C3 -:0401190000002000C2 -:04011A0000002000C1 -:04011B0000002000C0 -:04011C0000002000BF -:04011D0000002000BE -:04011E0000002000BD -:04011F0000002000BC -:0401200000002000BB -:0401210000002000BA -:0401220000002000B9 -:0401230000002000B8 -:0401240000002000B7 -:0401250000002000B6 -:0401260000002000B5 -:0401270000002000B4 -:0401280000002000B3 -:0401290000002000B2 -:04012A0000002000B1 -:04012B0000002000B0 -:04012C0000002000AF -:04012D0000002000AE -:04012E0000002000AD -:04012F0000002000AC -:0401300000002000AB -:0401310000002000AA -:0401320000002000A9 -:0401330000002000A8 -:0401340000002000A7 -:0401350000002000A6 -:0401360000002000A5 -:0401370000002000A4 -:0401380000002000A3 -:0401390000002000A2 -:04013A0000002000A1 -:04013B0000002000A0 -:04013C00000020009F -:04013D00000020009E -:04013E00000020009D -:04013F00000020009C -:04014000000020009B -:04014100000020009A -:040142000000200099 -:040143000000200098 -:040144000000200097 -:040145000000200096 -:040146000000200095 -:040147000000200094 -:040148000000200093 -:040149000000200092 -:04014A000000200091 -:04014B000000200090 -:04014C00000020008F -:04014D00000020008E -:04014E00000020008D -:04014F00000020008C -:04015000000020008B -:04015100000020008A -:040152000000200089 -:040153000000200088 -:040154000000200087 -:040155000000200086 -:040156000000200085 -:040157000000200084 -:040158000000200083 -:040159000000200082 -:04015A000000200081 -:04015B000000200080 -:04015C00000020007F -:04015D00000020007E -:04015E00000020007D -:04015F00000020007C -:04016000000020007B -:04016100000020007A -:040162000000200079 -:040163000000200078 -:040164000000200077 -:040165000000200076 -:040166000000200075 -:040167000000200074 -:040168000000200073 -:040169000000200072 -:04016A000000200071 -:04016B000000200070 -:04016C00000020006F -:04016D00000020006E -:04016E00000020006D -:04016F00000020006C -:04017000000020006B -:04017100000020006A -:040172000000200069 -:040173000000200068 -:040174000000200067 -:040175000000200066 -:040176000000200065 -:040177000000200064 -:040178000000200063 -:040179000000200062 -:04017A000000200061 -:04017B000000200060 -:04017C00000020005F -:04017D00000020005E -:04017E00000020005D -:04017F00000020005C -:04018000000020005B -:04018100000020005A -:040182000000200059 -:040183000000200058 -:040184000000200057 -:040185000000200056 -:040186000000200055 -:040187000000200054 -:040188000000200053 -:040189000000200052 -:04018A000000200051 -:04018B000000200050 -:04018C00000020004F -:04018D00000020004E -:04018E00000020004D -:04018F00000020004C -:04019000000020004B -:04019100000020004A -:040192000000200049 -:040193000000200048 -:040194000000200047 -:040195000000200046 -:040196000000200045 -:040197000000200044 -:040198000000200043 -:040199000000200042 -:04019A000000200041 -:04019B000000200040 -:04019C00000020003F -:04019D00000020003E -:04019E00000020003D -:04019F00000020003C -:0401A000000020003B -:0401A100000020003A -:0401A2000000200039 -:0401A3000000200038 -:0401A4000000200037 -:0401A5000000200036 -:0401A6000000200035 -:0401A7000000200034 -:0401A8000000200033 -:0401A9000000200032 -:0401AA000000200031 -:0401AB000000200030 -:0401AC00000020002F -:0401AD00000020002E -:0401AE00000020002D -:0401AF00000020002C -:0401B000000020002B -:0401B100000020002A -:0401B2000000200029 -:0401B3000000200028 -:0401B4000000200027 -:0401B5000000200026 -:0401B6000000200025 -:0401B7000000200024 -:0401B8000000200023 -:0401B9000000200022 -:0401BA000000200021 -:0401BB000000200020 -:0401BC00000020001F -:0401BD00000020001E -:0401BE00000020001D -:0401BF00000020001C -:0401C000000020001B -:0401C100000020001A -:0401C2000000200019 -:0401C3000000200018 -:0401C4000000200017 -:0401C5000000200016 -:0401C6000000200015 -:0401C7000000200014 -:0401C8000000200013 -:0401C9000000200012 -:0401CA000000200011 -:0401CB000000200010 -:0401CC00000020000F -:0401CD00000020000E -:0401CE00000020000D -:0401CF00000020000C -:0401D000000020000B -:0401D100000020000A -:0401D2000000200009 -:0401D3000000200008 -:0401D4000000200007 -:0401D5000000200006 -:0401D6000000200005 -:0401D7000000200004 -:0401D8000000200003 -:0401D9000000200002 -:0401DA000000200001 -:0401DB000000200000 -:0401DC0000002000FF -:0401DD0000002000FE -:0401DE0000002000FD -:0401DF0000002000FC -:0401E00000002000FB -:0401E10000002000FA -:0401E20000002000F9 -:0401E30000002000F8 -:0401E40000002000F7 -:0401E50000002000F6 -:0401E60000002000F5 -:0401E70000002000F4 -:0401E80000002000F3 -:0401E90000002000F2 -:0401EA0000002000F1 -:0401EB0000002000F0 -:0401EC0000002000EF -:0401ED0000002000EE -:0401EE0000002000ED -:0401EF0000002000EC -:0401F00000002000EB -:0401F10000002000EA -:0401F20000002000E9 -:0401F30000002000E8 -:0401F40000002000E7 -:0401F50000002000E6 -:0401F60000002000E5 -:0401F70000002000E4 -:0401F80000002000E3 -:0401F90000002000E2 -:0401FA0000002000E1 -:0401FB0000002000E0 -:0401FC0000002000DF -:0401FD0000002000DE -:0401FE0000002000DD -:0401FF0000002000DC -:0402000000002000DA -:0402010000002000D9 -:0402020000002000D8 -:0402030000002000D7 -:0402040000002000D6 -:0402050000002000D5 -:0402060000002000D4 -:0402070000002000D3 -:0402080000002000D2 -:0402090000002000D1 -:04020A0000002000D0 -:04020B0000002000CF -:04020C0000002000CE -:04020D0000002000CD -:04020E0000002000CC -:04020F0000002000CB -:0402100000002000CA -:0402110000002000C9 -:0402120000002000C8 -:0402130000002000C7 -:0402140000002000C6 -:0402150000002000C5 -:0402160000002000C4 -:0402170000002000C3 -:0402180000002000C2 -:0402190000002000C1 -:04021A0000002000C0 -:04021B0000002000BF -:04021C0000002000BE -:04021D0000002000BD -:04021E0000002000BC -:04021F0000002000BB -:0402200000002000BA -:0402210000002000B9 -:0402220000002000B8 -:0402230000002000B7 -:0402240000002000B6 -:0402250000002000B5 -:0402260000002000B4 -:0402270000002000B3 -:0402280000002000B2 -:0402290000002000B1 -:04022A0000002000B0 -:04022B0000002000AF -:04022C0000002000AE -:04022D0000002000AD -:04022E0000002000AC -:04022F0000002000AB -:0402300000002000AA -:0402310000002000A9 -:0402320000002000A8 -:0402330000002000A7 -:0402340000002000A6 -:0402350000002000A5 -:0402360000002000A4 -:0402370000002000A3 -:0402380000002000A2 -:0402390000002000A1 -:04023A0000002000A0 -:04023B00000020009F -:04023C00000020009E -:04023D00000020009D -:04023E00000020009C -:04023F00000020009B -:04024000000020009A -:040241000000200099 -:040242000000200098 -:040243000000200097 -:040244000000200096 -:040245000000200095 -:040246000000200094 -:040247000000200093 -:040248000000200092 -:040249000000200091 -:04024A000000200090 -:04024B00000020008F -:04024C00000020008E -:04024D00000020008D -:04024E00000020008C -:04024F00000020008B -:04025000000020008A -:040251000000200089 -:040252000000200088 -:040253000000200087 -:040254000000200086 -:040255000000200085 -:040256000000200084 -:040257000000200083 -:040258000000200082 -:040259000000200081 -:04025A000000200080 -:04025B00000020007F -:04025C00000020007E -:04025D00000020007D -:04025E00000020007C -:04025F00000020007B -:04026000000020007A -:040261000000200079 -:040262000000200078 -:040263000000200077 -:040264000000200076 -:040265000000200075 -:040266000000200074 -:040267000000200073 -:040268000000200072 -:040269000000200071 -:04026A000000200070 -:04026B00000020006F -:04026C00000020006E -:04026D00000020006D -:04026E00000020006C -:04026F00000020006B -:04027000000020006A -:040271000000200069 -:040272000000200068 -:040273000000200067 -:040274000000200066 -:040275000000200065 -:040276000000200064 -:040277000000200063 -:040278000000200062 -:040279000000200061 -:04027A000000200060 -:04027B00000020005F -:04027C00000020005E -:04027D00000020005D -:04027E00000020005C -:04027F00000020005B -:04028000000020005A -:040281000000200059 -:040282000000200058 -:040283000000200057 -:040284000000200056 -:040285000000200055 -:040286000000200054 -:040287000000200053 -:040288000000200052 -:040289000000200051 -:04028A000000200050 -:04028B00000020004F -:04028C00000020004E -:04028D00000020004D -:04028E00000020004C -:04028F00000020004B -:04029000000020004A -:040291000000200049 -:040292000000200048 -:040293000000200047 -:040294000000200046 -:040295000000200045 -:040296000000200044 -:040297000000200043 -:040298000000200042 -:040299000000200041 -:04029A000000200040 -:04029B00000020003F -:04029C00000020003E -:04029D00000020003D -:04029E00000020003C -:04029F00000020003B -:0402A000000020003A -:0402A1000000200039 -:0402A2000000200038 -:0402A3000000200037 -:0402A4000000200036 -:0402A5000000200035 -:0402A6000000200034 -:0402A7000000200033 -:0402A8000000200032 -:0402A9000000200031 -:0402AA000000200030 -:0402AB00000020002F -:0402AC00000020002E -:0402AD00000020002D -:0402AE00000020002C -:0402AF00000020002B -:0402B000000020002A -:0402B1000000200029 -:0402B2000000200028 -:0402B3000000200027 -:0402B4000000200026 -:0402B5000000200025 -:0402B6000000200024 -:0402B7000000200023 -:0402B8000000200022 -:0402B9000000200021 -:0402BA000000200020 -:0402BB00000020001F -:0402BC00000020001E -:0402BD00000020001D -:0402BE00000020001C -:0402BF00000020001B -:0402C000000020001A -:0402C1000000200019 -:0402C2000000200018 -:0402C3000000200017 -:0402C4000000200016 -:0402C5000000200015 -:0402C6000000200014 -:0402C7000000200013 -:0402C8000000200012 -:0402C9000000200011 -:0402CA000000200010 -:0402CB00000020000F -:0402CC00000020000E -:0402CD00000020000D -:0402CE00000020000C -:0402CF00000020000B -:0402D000000020000A -:0402D1000000200009 -:0402D2000000200008 -:0402D3000000200007 -:0402D4000000200006 -:0402D5000000200005 -:0402D6000000200004 -:0402D7000000200003 -:0402D8000000200002 -:0402D9000000200001 -:0402DA000000200000 -:0402DB0000002000FF -:0402DC0000002000FE -:0402DD0000002000FD -:0402DE0000002000FC -:0402DF0000002000FB -:0402E00000002000FA -:0402E10000002000F9 -:0402E20000002000F8 -:0402E30000002000F7 -:0402E40000002000F6 -:0402E50000002000F5 -:0402E60000002000F4 -:0402E70000002000F3 -:0402E80000002000F2 -:0402E90000002000F1 -:0402EA0000002000F0 -:0402EB0000002000EF -:0402EC0000002000EE -:0402ED0000002000ED -:0402EE0000002000EC -:0402EF0000002000EB -:0402F00000002000EA -:0402F10000002000E9 -:0402F20000002000E8 -:0402F30000002000E7 -:0402F40000002000E6 -:0402F50000002000E5 -:0402F60000002000E4 -:0402F70000002000E3 -:0402F80000002000E2 -:0402F90000002000E1 -:0402FA0000002000E0 -:0402FB0000002000DF -:0402FC0000002000DE -:0402FD0000002000DD -:0402FE0000002000DC -:0402FF0000002000DB -:0403000000002000D9 -:0403010000002000D8 -:0403020000002000D7 -:0403030000002000D6 -:0403040000002000D5 -:0403050000002000D4 -:0403060000002000D3 -:0403070000002000D2 -:0403080000002000D1 -:0403090000002000D0 -:04030A0000002000CF -:04030B0000002000CE -:04030C0000002000CD -:04030D0000002000CC -:04030E0000002000CB -:04030F0000002000CA -:0403100000002000C9 -:0403110000002000C8 -:0403120000002000C7 -:0403130000002000C6 -:0403140000002000C5 -:0403150000002000C4 -:0403160000002000C3 -:0403170000002000C2 -:0403180000002000C1 -:0403190000002000C0 -:04031A0000002000BF -:04031B0000002000BE -:04031C0000002000BD -:04031D0000002000BC -:04031E0000002000BB -:04031F0000002000BA -:0403200000002000B9 -:0403210000002000B8 -:0403220000002000B7 -:0403230000002000B6 -:0403240000002000B5 -:0403250000002000B4 -:0403260000002000B3 -:0403270000002000B2 -:0403280000002000B1 -:0403290000002000B0 -:04032A0000002000AF -:04032B0000002000AE -:04032C0000002000AD -:04032D0000002000AC -:04032E0000002000AB -:04032F0000002000AA -:0403300000002000A9 -:0403310000002000A8 -:0403320000002000A7 -:0403330000002000A6 -:0403340000002000A5 -:0403350000002000A4 -:0403360000002000A3 -:0403370000002000A2 -:0403380000002000A1 -:0403390000002000A0 -:04033A00000020009F -:04033B00000020009E -:04033C00000020009D -:04033D00000020009C -:04033E00000020009B -:04033F00000020009A -:040340000000200099 -:040341000000200098 -:040342000000200097 -:040343000000200096 -:040344000000200095 -:040345000000200094 -:040346000000200093 -:040347000000200092 -:040348000000200091 -:040349000000200090 -:04034A00000020008F -:04034B00000020008E -:04034C00000020008D -:04034D00000020008C -:04034E00000020008B -:04034F00000020008A -:040350000000200089 -:040351000000200088 -:040352000000200087 -:040353000000200086 -:040354000000200085 -:040355000000200084 -:040356000000200083 -:040357000000200082 -:040358000000200081 -:040359000000200080 -:04035A00000020007F -:04035B00000020007E -:04035C00000020007D -:04035D00000020007C -:04035E00000020007B -:04035F00000020007A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000200071 -:040369000000200070 -:04036A00000020006F -:04036B00000020006E -:04036C00000020006D -:04036D00000020006C -:04036E00000020006B -:04036F00000020006A -:040370000000200069 -:040371000000200068 -:040372000000200067 -:040373000000200066 -:040374000000200065 -:040375000000200064 -:040376000000200063 -:040377000000200062 -:040378000000200061 -:040379000000200060 -:04037A00000020005F -:04037B00000020005E -:04037C00000020005D -:04037D00000020005C -:04037E00000020005B -:04037F00000020005A -:040380000000200059 -:040381000000200058 -:040382000000200057 -:040383000000200056 -:040384000000200055 -:040385000000200054 -:040386000000200053 -:040387000000200052 -:040388000000200051 -:040389000000200050 -:04038A00000020004F -:04038B00000020004E -:04038C00000020004D -:04038D00000020004C -:04038E00000020004B -:04038F00000020004A -:040390000000200049 -:040391000000200048 -:040392000000200047 -:040393000000200046 -:040394000000200045 -:040395000000200044 -:040396000000200043 -:040397000000200042 -:040398000000200041 -:040399000000200040 -:04039A00000020003F -:04039B00000020003E -:04039C00000020003D -:04039D00000020003C -:04039E00000020003B -:04039F00000020003A -:0403A0000000200039 -:0403A1000000200038 -:0403A2000000200037 -:0403A3000000200036 -:0403A4000000200035 -:0403A5000000200034 -:0403A6000000200033 -:0403A7000000200032 -:0403A8000000200031 -:0403A9000000200030 -:0403AA00000020002F -:0403AB00000020002E -:0403AC00000020002D -:0403AD00000020002C -:0403AE00000020002B -:0403AF00000020002A -:0403B0000000200029 -:0403B1000000200028 -:0403B2000000200027 -:0403B3000000200026 -:0403B4000000200025 -:0403B5000000200024 -:0403B6000000200023 -:0403B7000000200022 -:0403B8000000200021 -:0403B9000000200020 -:0403BA00000020001F -:0403BB00000020001E -:0403BC00000020001D -:0403BD00000020001C -:0403BE00000020001B -:0403BF00000020001A -:0403C0000000200019 -:0403C1000000200018 -:0403C2000000200017 -:0403C3000000200016 -:0403C4000000200015 -:0403C5000000200014 -:0403C6000000200013 -:0403C7000000200012 -:0403C8000000200011 -:0403C9000000200010 -:0403CA00000020000F -:0403CB00000020000E -:0403CC00000020000D -:0403CD00000020000C -:0403CE00000020000B -:0403CF00000020000A -:0403D0000000200009 -:0403D1000000200008 -:0403D2000000200007 -:0403D3000000200006 -:0403D4000000200005 -:0403D5000000200004 -:0403D6000000200003 -:0403D7000000200002 -:0403D8000000200001 -:0403D9000000200000 -:0403DA0000002000FF -:0403DB0000002000FE -:0403DC0000002000FD -:0403DD0000002000FC -:0403DE0000002000FB -:0403DF0000002000FA -:0403E00000002000F9 -:0403E10000002000F8 -:0403E20000002000F7 -:0403E30000002000F6 -:0403E40000002000F5 -:0403E50000002000F4 -:0403E60000002000F3 -:0403E70000002000F2 -:0403E80000002000F1 -:0403E90000002000F0 -:0403EA0000002000EF -:0403EB0000002000EE -:0403EC0000002000ED -:0403ED0000002000EC -:0403EE0000002000EB -:0403EF0000002000EA -:0403F00000002000E9 -:0403F10000002000E8 -:0403F20000002000E7 -:0403F30000002000E6 -:0403F40000002000E5 -:0403F50000002000E4 -:0403F60000002000E3 -:0403F70000002000E2 -:0403F80000002000E1 -:0403F90000002000E0 -:0403FA0000002000DF -:0403FB0000002000DE -:0403FC0000002000DD -:0403FD0000002000DC -:0403FE0000002000DB -:0403FF0000002000DA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_9.hex b/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_9.hex deleted file mode 100644 index f50bff279ae778401f565a9605229bc1206086bf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/data/gains_1024_complex_16b13f_unit_9.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400010000002000DB -:0400020000002000DA -:0400030000002000D9 -:0400040000002000D8 -:0400050000002000D7 -:0400060000002000D6 -:0400070000002000D5 -:0400080000002000D4 -:0400090000002000D3 -:04000A0000002000D2 -:04000B0000002000D1 -:04000C0000002000D0 -:04000D0000002000CF -:04000E0000002000CE -:04000F0000002000CD -:0400100000002000CC -:0400110000002000CB -:0400120000002000CA -:0400130000002000C9 -:0400140000002000C8 -:0400150000002000C7 -:0400160000002000C6 -:0400170000002000C5 -:0400180000002000C4 -:0400190000002000C3 -:04001A0000002000C2 -:04001B0000002000C1 -:04001C0000002000C0 -:04001D0000002000BF -:04001E0000002000BE -:04001F0000002000BD -:0400200000002000BC -:0400210000002000BB -:0400220000002000BA -:0400230000002000B9 -:0400240000002000B8 -:0400250000002000B7 -:0400260000002000B6 -:0400270000002000B5 -:0400280000002000B4 -:0400290000002000B3 -:04002A0000002000B2 -:04002B0000002000B1 -:04002C0000002000B0 -:04002D0000002000AF -:04002E0000002000AE -:04002F0000002000AD -:0400300000002000AC -:0400310000002000AB -:0400320000002000AA -:0400330000002000A9 -:0400340000002000A8 -:0400350000002000A7 -:0400360000002000A6 -:0400370000002000A5 -:0400380000002000A4 -:0400390000002000A3 -:04003A0000002000A2 -:04003B0000002000A1 -:04003C0000002000A0 -:04003D00000020009F -:04003E00000020009E -:04003F00000020009D -:04004000000020009C -:04004100000020009B -:04004200000020009A -:040043000000200099 -:040044000000200098 -:040045000000200097 -:040046000000200096 -:040047000000200095 -:040048000000200094 -:040049000000200093 -:04004A000000200092 -:04004B000000200091 -:04004C000000200090 -:04004D00000020008F -:04004E00000020008E -:04004F00000020008D -:04005000000020008C -:04005100000020008B -:04005200000020008A -:040053000000200089 -:040054000000200088 -:040055000000200087 -:040056000000200086 -:040057000000200085 -:040058000000200084 -:040059000000200083 -:04005A000000200082 -:04005B000000200081 -:04005C000000200080 -:04005D00000020007F -:04005E00000020007E -:04005F00000020007D -:04006000000020007C -:04006100000020007B -:04006200000020007A -:040063000000200079 -:040064000000200078 -:040065000000200077 -:040066000000200076 -:040067000000200075 -:040068000000200074 -:040069000000200073 -:04006A000000200072 -:04006B000000200071 -:04006C000000200070 -:04006D00000020006F -:04006E00000020006E -:04006F00000020006D -:04007000000020006C -:04007100000020006B -:04007200000020006A -:040073000000200069 -:040074000000200068 -:040075000000200067 -:040076000000200066 -:040077000000200065 -:040078000000200064 -:040079000000200063 -:04007A000000200062 -:04007B000000200061 -:04007C000000200060 -:04007D00000020005F -:04007E00000020005E -:04007F00000020005D -:04008000000020005C -:04008100000020005B -:04008200000020005A -:040083000000200059 -:040084000000200058 -:040085000000200057 -:040086000000200056 -:040087000000200055 -:040088000000200054 -:040089000000200053 -:04008A000000200052 -:04008B000000200051 -:04008C000000200050 -:04008D00000020004F -:04008E00000020004E -:04008F00000020004D -:04009000000020004C -:04009100000020004B -:04009200000020004A -:040093000000200049 -:040094000000200048 -:040095000000200047 -:040096000000200046 -:040097000000200045 -:040098000000200044 -:040099000000200043 -:04009A000000200042 -:04009B000000200041 -:04009C000000200040 -:04009D00000020003F -:04009E00000020003E -:04009F00000020003D -:0400A000000020003C -:0400A100000020003B -:0400A200000020003A -:0400A3000000200039 -:0400A4000000200038 -:0400A5000000200037 -:0400A6000000200036 -:0400A7000000200035 -:0400A8000000200034 -:0400A9000000200033 -:0400AA000000200032 -:0400AB000000200031 -:0400AC000000200030 -:0400AD00000020002F -:0400AE00000020002E -:0400AF00000020002D -:0400B000000020002C -:0400B100000020002B -:0400B200000020002A -:0400B3000000200029 -:0400B4000000200028 -:0400B5000000200027 -:0400B6000000200026 -:0400B7000000200025 -:0400B8000000200024 -:0400B9000000200023 -:0400BA000000200022 -:0400BB000000200021 -:0400BC000000200020 -:0400BD00000020001F -:0400BE00000020001E -:0400BF00000020001D -:0400C000000020001C -:0400C100000020001B -:0400C200000020001A -:0400C3000000200019 -:0400C4000000200018 -:0400C5000000200017 -:0400C6000000200016 -:0400C7000000200015 -:0400C8000000200014 -:0400C9000000200013 -:0400CA000000200012 -:0400CB000000200011 -:0400CC000000200010 -:0400CD00000020000F -:0400CE00000020000E -:0400CF00000020000D -:0400D000000020000C -:0400D100000020000B -:0400D200000020000A -:0400D3000000200009 -:0400D4000000200008 -:0400D5000000200007 -:0400D6000000200006 -:0400D7000000200005 -:0400D8000000200004 -:0400D9000000200003 -:0400DA000000200002 -:0400DB000000200001 -:0400DC000000200000 -:0400DD0000002000FF -:0400DE0000002000FE -:0400DF0000002000FD -:0400E00000002000FC -:0400E10000002000FB -:0400E20000002000FA -:0400E30000002000F9 -:0400E40000002000F8 -:0400E50000002000F7 -:0400E60000002000F6 -:0400E70000002000F5 -:0400E80000002000F4 -:0400E90000002000F3 -:0400EA0000002000F2 -:0400EB0000002000F1 -:0400EC0000002000F0 -:0400ED0000002000EF -:0400EE0000002000EE -:0400EF0000002000ED -:0400F00000002000EC -:0400F10000002000EB -:0400F20000002000EA -:0400F30000002000E9 -:0400F40000002000E8 -:0400F50000002000E7 -:0400F60000002000E6 -:0400F70000002000E5 -:0400F80000002000E4 -:0400F90000002000E3 -:0400FA0000002000E2 -:0400FB0000002000E1 -:0400FC0000002000E0 -:0400FD0000002000DF -:0400FE0000002000DE -:0400FF0000002000DD -:0401000000002000DB -:0401010000002000DA -:0401020000002000D9 -:0401030000002000D8 -:0401040000002000D7 -:0401050000002000D6 -:0401060000002000D5 -:0401070000002000D4 -:0401080000002000D3 -:0401090000002000D2 -:04010A0000002000D1 -:04010B0000002000D0 -:04010C0000002000CF -:04010D0000002000CE -:04010E0000002000CD -:04010F0000002000CC -:0401100000002000CB -:0401110000002000CA -:0401120000002000C9 -:0401130000002000C8 -:0401140000002000C7 -:0401150000002000C6 -:0401160000002000C5 -:0401170000002000C4 -:0401180000002000C3 -:0401190000002000C2 -:04011A0000002000C1 -:04011B0000002000C0 -:04011C0000002000BF -:04011D0000002000BE -:04011E0000002000BD -:04011F0000002000BC -:0401200000002000BB -:0401210000002000BA -:0401220000002000B9 -:0401230000002000B8 -:0401240000002000B7 -:0401250000002000B6 -:0401260000002000B5 -:0401270000002000B4 -:0401280000002000B3 -:0401290000002000B2 -:04012A0000002000B1 -:04012B0000002000B0 -:04012C0000002000AF -:04012D0000002000AE -:04012E0000002000AD -:04012F0000002000AC -:0401300000002000AB -:0401310000002000AA -:0401320000002000A9 -:0401330000002000A8 -:0401340000002000A7 -:0401350000002000A6 -:0401360000002000A5 -:0401370000002000A4 -:0401380000002000A3 -:0401390000002000A2 -:04013A0000002000A1 -:04013B0000002000A0 -:04013C00000020009F -:04013D00000020009E -:04013E00000020009D -:04013F00000020009C -:04014000000020009B -:04014100000020009A -:040142000000200099 -:040143000000200098 -:040144000000200097 -:040145000000200096 -:040146000000200095 -:040147000000200094 -:040148000000200093 -:040149000000200092 -:04014A000000200091 -:04014B000000200090 -:04014C00000020008F -:04014D00000020008E -:04014E00000020008D -:04014F00000020008C -:04015000000020008B -:04015100000020008A -:040152000000200089 -:040153000000200088 -:040154000000200087 -:040155000000200086 -:040156000000200085 -:040157000000200084 -:040158000000200083 -:040159000000200082 -:04015A000000200081 -:04015B000000200080 -:04015C00000020007F -:04015D00000020007E -:04015E00000020007D -:04015F00000020007C -:04016000000020007B -:04016100000020007A -:040162000000200079 -:040163000000200078 -:040164000000200077 -:040165000000200076 -:040166000000200075 -:040167000000200074 -:040168000000200073 -:040169000000200072 -:04016A000000200071 -:04016B000000200070 -:04016C00000020006F -:04016D00000020006E -:04016E00000020006D -:04016F00000020006C -:04017000000020006B -:04017100000020006A -:040172000000200069 -:040173000000200068 -:040174000000200067 -:040175000000200066 -:040176000000200065 -:040177000000200064 -:040178000000200063 -:040179000000200062 -:04017A000000200061 -:04017B000000200060 -:04017C00000020005F -:04017D00000020005E -:04017E00000020005D -:04017F00000020005C -:04018000000020005B -:04018100000020005A -:040182000000200059 -:040183000000200058 -:040184000000200057 -:040185000000200056 -:040186000000200055 -:040187000000200054 -:040188000000200053 -:040189000000200052 -:04018A000000200051 -:04018B000000200050 -:04018C00000020004F -:04018D00000020004E -:04018E00000020004D -:04018F00000020004C -:04019000000020004B -:04019100000020004A -:040192000000200049 -:040193000000200048 -:040194000000200047 -:040195000000200046 -:040196000000200045 -:040197000000200044 -:040198000000200043 -:040199000000200042 -:04019A000000200041 -:04019B000000200040 -:04019C00000020003F -:04019D00000020003E -:04019E00000020003D -:04019F00000020003C -:0401A000000020003B -:0401A100000020003A -:0401A2000000200039 -:0401A3000000200038 -:0401A4000000200037 -:0401A5000000200036 -:0401A6000000200035 -:0401A7000000200034 -:0401A8000000200033 -:0401A9000000200032 -:0401AA000000200031 -:0401AB000000200030 -:0401AC00000020002F -:0401AD00000020002E -:0401AE00000020002D -:0401AF00000020002C -:0401B000000020002B -:0401B100000020002A -:0401B2000000200029 -:0401B3000000200028 -:0401B4000000200027 -:0401B5000000200026 -:0401B6000000200025 -:0401B7000000200024 -:0401B8000000200023 -:0401B9000000200022 -:0401BA000000200021 -:0401BB000000200020 -:0401BC00000020001F -:0401BD00000020001E -:0401BE00000020001D -:0401BF00000020001C -:0401C000000020001B -:0401C100000020001A -:0401C2000000200019 -:0401C3000000200018 -:0401C4000000200017 -:0401C5000000200016 -:0401C6000000200015 -:0401C7000000200014 -:0401C8000000200013 -:0401C9000000200012 -:0401CA000000200011 -:0401CB000000200010 -:0401CC00000020000F -:0401CD00000020000E -:0401CE00000020000D -:0401CF00000020000C -:0401D000000020000B -:0401D100000020000A -:0401D2000000200009 -:0401D3000000200008 -:0401D4000000200007 -:0401D5000000200006 -:0401D6000000200005 -:0401D7000000200004 -:0401D8000000200003 -:0401D9000000200002 -:0401DA000000200001 -:0401DB000000200000 -:0401DC0000002000FF -:0401DD0000002000FE -:0401DE0000002000FD -:0401DF0000002000FC -:0401E00000002000FB -:0401E10000002000FA -:0401E20000002000F9 -:0401E30000002000F8 -:0401E40000002000F7 -:0401E50000002000F6 -:0401E60000002000F5 -:0401E70000002000F4 -:0401E80000002000F3 -:0401E90000002000F2 -:0401EA0000002000F1 -:0401EB0000002000F0 -:0401EC0000002000EF -:0401ED0000002000EE -:0401EE0000002000ED -:0401EF0000002000EC -:0401F00000002000EB -:0401F10000002000EA -:0401F20000002000E9 -:0401F30000002000E8 -:0401F40000002000E7 -:0401F50000002000E6 -:0401F60000002000E5 -:0401F70000002000E4 -:0401F80000002000E3 -:0401F90000002000E2 -:0401FA0000002000E1 -:0401FB0000002000E0 -:0401FC0000002000DF -:0401FD0000002000DE -:0401FE0000002000DD -:0401FF0000002000DC -:0402000000002000DA -:0402010000002000D9 -:0402020000002000D8 -:0402030000002000D7 -:0402040000002000D6 -:0402050000002000D5 -:0402060000002000D4 -:0402070000002000D3 -:0402080000002000D2 -:0402090000002000D1 -:04020A0000002000D0 -:04020B0000002000CF -:04020C0000002000CE -:04020D0000002000CD -:04020E0000002000CC -:04020F0000002000CB -:0402100000002000CA -:0402110000002000C9 -:0402120000002000C8 -:0402130000002000C7 -:0402140000002000C6 -:0402150000002000C5 -:0402160000002000C4 -:0402170000002000C3 -:0402180000002000C2 -:0402190000002000C1 -:04021A0000002000C0 -:04021B0000002000BF -:04021C0000002000BE -:04021D0000002000BD -:04021E0000002000BC -:04021F0000002000BB -:0402200000002000BA -:0402210000002000B9 -:0402220000002000B8 -:0402230000002000B7 -:0402240000002000B6 -:0402250000002000B5 -:0402260000002000B4 -:0402270000002000B3 -:0402280000002000B2 -:0402290000002000B1 -:04022A0000002000B0 -:04022B0000002000AF -:04022C0000002000AE -:04022D0000002000AD -:04022E0000002000AC -:04022F0000002000AB -:0402300000002000AA -:0402310000002000A9 -:0402320000002000A8 -:0402330000002000A7 -:0402340000002000A6 -:0402350000002000A5 -:0402360000002000A4 -:0402370000002000A3 -:0402380000002000A2 -:0402390000002000A1 -:04023A0000002000A0 -:04023B00000020009F -:04023C00000020009E -:04023D00000020009D -:04023E00000020009C -:04023F00000020009B -:04024000000020009A -:040241000000200099 -:040242000000200098 -:040243000000200097 -:040244000000200096 -:040245000000200095 -:040246000000200094 -:040247000000200093 -:040248000000200092 -:040249000000200091 -:04024A000000200090 -:04024B00000020008F -:04024C00000020008E -:04024D00000020008D -:04024E00000020008C -:04024F00000020008B -:04025000000020008A -:040251000000200089 -:040252000000200088 -:040253000000200087 -:040254000000200086 -:040255000000200085 -:040256000000200084 -:040257000000200083 -:040258000000200082 -:040259000000200081 -:04025A000000200080 -:04025B00000020007F -:04025C00000020007E -:04025D00000020007D -:04025E00000020007C -:04025F00000020007B -:04026000000020007A -:040261000000200079 -:040262000000200078 -:040263000000200077 -:040264000000200076 -:040265000000200075 -:040266000000200074 -:040267000000200073 -:040268000000200072 -:040269000000200071 -:04026A000000200070 -:04026B00000020006F -:04026C00000020006E -:04026D00000020006D -:04026E00000020006C -:04026F00000020006B -:04027000000020006A -:040271000000200069 -:040272000000200068 -:040273000000200067 -:040274000000200066 -:040275000000200065 -:040276000000200064 -:040277000000200063 -:040278000000200062 -:040279000000200061 -:04027A000000200060 -:04027B00000020005F -:04027C00000020005E -:04027D00000020005D -:04027E00000020005C -:04027F00000020005B -:04028000000020005A -:040281000000200059 -:040282000000200058 -:040283000000200057 -:040284000000200056 -:040285000000200055 -:040286000000200054 -:040287000000200053 -:040288000000200052 -:040289000000200051 -:04028A000000200050 -:04028B00000020004F -:04028C00000020004E -:04028D00000020004D -:04028E00000020004C -:04028F00000020004B -:04029000000020004A -:040291000000200049 -:040292000000200048 -:040293000000200047 -:040294000000200046 -:040295000000200045 -:040296000000200044 -:040297000000200043 -:040298000000200042 -:040299000000200041 -:04029A000000200040 -:04029B00000020003F -:04029C00000020003E -:04029D00000020003D -:04029E00000020003C -:04029F00000020003B -:0402A000000020003A -:0402A1000000200039 -:0402A2000000200038 -:0402A3000000200037 -:0402A4000000200036 -:0402A5000000200035 -:0402A6000000200034 -:0402A7000000200033 -:0402A8000000200032 -:0402A9000000200031 -:0402AA000000200030 -:0402AB00000020002F -:0402AC00000020002E -:0402AD00000020002D -:0402AE00000020002C -:0402AF00000020002B -:0402B000000020002A -:0402B1000000200029 -:0402B2000000200028 -:0402B3000000200027 -:0402B4000000200026 -:0402B5000000200025 -:0402B6000000200024 -:0402B7000000200023 -:0402B8000000200022 -:0402B9000000200021 -:0402BA000000200020 -:0402BB00000020001F -:0402BC00000020001E -:0402BD00000020001D -:0402BE00000020001C -:0402BF00000020001B -:0402C000000020001A -:0402C1000000200019 -:0402C2000000200018 -:0402C3000000200017 -:0402C4000000200016 -:0402C5000000200015 -:0402C6000000200014 -:0402C7000000200013 -:0402C8000000200012 -:0402C9000000200011 -:0402CA000000200010 -:0402CB00000020000F -:0402CC00000020000E -:0402CD00000020000D -:0402CE00000020000C -:0402CF00000020000B -:0402D000000020000A -:0402D1000000200009 -:0402D2000000200008 -:0402D3000000200007 -:0402D4000000200006 -:0402D5000000200005 -:0402D6000000200004 -:0402D7000000200003 -:0402D8000000200002 -:0402D9000000200001 -:0402DA000000200000 -:0402DB0000002000FF -:0402DC0000002000FE -:0402DD0000002000FD -:0402DE0000002000FC -:0402DF0000002000FB -:0402E00000002000FA -:0402E10000002000F9 -:0402E20000002000F8 -:0402E30000002000F7 -:0402E40000002000F6 -:0402E50000002000F5 -:0402E60000002000F4 -:0402E70000002000F3 -:0402E80000002000F2 -:0402E90000002000F1 -:0402EA0000002000F0 -:0402EB0000002000EF -:0402EC0000002000EE -:0402ED0000002000ED -:0402EE0000002000EC -:0402EF0000002000EB -:0402F00000002000EA -:0402F10000002000E9 -:0402F20000002000E8 -:0402F30000002000E7 -:0402F40000002000E6 -:0402F50000002000E5 -:0402F60000002000E4 -:0402F70000002000E3 -:0402F80000002000E2 -:0402F90000002000E1 -:0402FA0000002000E0 -:0402FB0000002000DF -:0402FC0000002000DE -:0402FD0000002000DD -:0402FE0000002000DC -:0402FF0000002000DB -:0403000000002000D9 -:0403010000002000D8 -:0403020000002000D7 -:0403030000002000D6 -:0403040000002000D5 -:0403050000002000D4 -:0403060000002000D3 -:0403070000002000D2 -:0403080000002000D1 -:0403090000002000D0 -:04030A0000002000CF -:04030B0000002000CE -:04030C0000002000CD -:04030D0000002000CC -:04030E0000002000CB -:04030F0000002000CA -:0403100000002000C9 -:0403110000002000C8 -:0403120000002000C7 -:0403130000002000C6 -:0403140000002000C5 -:0403150000002000C4 -:0403160000002000C3 -:0403170000002000C2 -:0403180000002000C1 -:0403190000002000C0 -:04031A0000002000BF -:04031B0000002000BE -:04031C0000002000BD -:04031D0000002000BC -:04031E0000002000BB -:04031F0000002000BA -:0403200000002000B9 -:0403210000002000B8 -:0403220000002000B7 -:0403230000002000B6 -:0403240000002000B5 -:0403250000002000B4 -:0403260000002000B3 -:0403270000002000B2 -:0403280000002000B1 -:0403290000002000B0 -:04032A0000002000AF -:04032B0000002000AE -:04032C0000002000AD -:04032D0000002000AC -:04032E0000002000AB -:04032F0000002000AA -:0403300000002000A9 -:0403310000002000A8 -:0403320000002000A7 -:0403330000002000A6 -:0403340000002000A5 -:0403350000002000A4 -:0403360000002000A3 -:0403370000002000A2 -:0403380000002000A1 -:0403390000002000A0 -:04033A00000020009F -:04033B00000020009E -:04033C00000020009D -:04033D00000020009C -:04033E00000020009B -:04033F00000020009A -:040340000000200099 -:040341000000200098 -:040342000000200097 -:040343000000200096 -:040344000000200095 -:040345000000200094 -:040346000000200093 -:040347000000200092 -:040348000000200091 -:040349000000200090 -:04034A00000020008F -:04034B00000020008E -:04034C00000020008D -:04034D00000020008C -:04034E00000020008B -:04034F00000020008A -:040350000000200089 -:040351000000200088 -:040352000000200087 -:040353000000200086 -:040354000000200085 -:040355000000200084 -:040356000000200083 -:040357000000200082 -:040358000000200081 -:040359000000200080 -:04035A00000020007F -:04035B00000020007E -:04035C00000020007D -:04035D00000020007C -:04035E00000020007B -:04035F00000020007A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000200071 -:040369000000200070 -:04036A00000020006F -:04036B00000020006E -:04036C00000020006D -:04036D00000020006C -:04036E00000020006B -:04036F00000020006A -:040370000000200069 -:040371000000200068 -:040372000000200067 -:040373000000200066 -:040374000000200065 -:040375000000200064 -:040376000000200063 -:040377000000200062 -:040378000000200061 -:040379000000200060 -:04037A00000020005F -:04037B00000020005E -:04037C00000020005D -:04037D00000020005C -:04037E00000020005B -:04037F00000020005A -:040380000000200059 -:040381000000200058 -:040382000000200057 -:040383000000200056 -:040384000000200055 -:040385000000200054 -:040386000000200053 -:040387000000200052 -:040388000000200051 -:040389000000200050 -:04038A00000020004F -:04038B00000020004E -:04038C00000020004D -:04038D00000020004C -:04038E00000020004B -:04038F00000020004A -:040390000000200049 -:040391000000200048 -:040392000000200047 -:040393000000200046 -:040394000000200045 -:040395000000200044 -:040396000000200043 -:040397000000200042 -:040398000000200041 -:040399000000200040 -:04039A00000020003F -:04039B00000020003E -:04039C00000020003D -:04039D00000020003C -:04039E00000020003B -:04039F00000020003A -:0403A0000000200039 -:0403A1000000200038 -:0403A2000000200037 -:0403A3000000200036 -:0403A4000000200035 -:0403A5000000200034 -:0403A6000000200033 -:0403A7000000200032 -:0403A8000000200031 -:0403A9000000200030 -:0403AA00000020002F -:0403AB00000020002E -:0403AC00000020002D -:0403AD00000020002C -:0403AE00000020002B -:0403AF00000020002A -:0403B0000000200029 -:0403B1000000200028 -:0403B2000000200027 -:0403B3000000200026 -:0403B4000000200025 -:0403B5000000200024 -:0403B6000000200023 -:0403B7000000200022 -:0403B8000000200021 -:0403B9000000200020 -:0403BA00000020001F -:0403BB00000020001E -:0403BC00000020001D -:0403BD00000020001C -:0403BE00000020001B -:0403BF00000020001A -:0403C0000000200019 -:0403C1000000200018 -:0403C2000000200017 -:0403C3000000200016 -:0403C4000000200015 -:0403C5000000200014 -:0403C6000000200013 -:0403C7000000200012 -:0403C8000000200011 -:0403C9000000200010 -:0403CA00000020000F -:0403CB00000020000E -:0403CC00000020000D -:0403CD00000020000C -:0403CE00000020000B -:0403CF00000020000A -:0403D0000000200009 -:0403D1000000200008 -:0403D2000000200007 -:0403D3000000200006 -:0403D4000000200005 -:0403D5000000200004 -:0403D6000000200003 -:0403D7000000200002 -:0403D8000000200001 -:0403D9000000200000 -:0403DA0000002000FF -:0403DB0000002000FE -:0403DC0000002000FD -:0403DD0000002000FC -:0403DE0000002000FB -:0403DF0000002000FA -:0403E00000002000F9 -:0403E10000002000F8 -:0403E20000002000F7 -:0403E30000002000F6 -:0403E40000002000F5 -:0403E50000002000F4 -:0403E60000002000F3 -:0403E70000002000F2 -:0403E80000002000F1 -:0403E90000002000F0 -:0403EA0000002000EF -:0403EB0000002000EE -:0403EC0000002000ED -:0403ED0000002000EC -:0403EE0000002000EB -:0403EF0000002000EA -:0403F00000002000E9 -:0403F10000002000E8 -:0403F20000002000E7 -:0403F30000002000E6 -:0403F40000002000E5 -:0403F50000002000E4 -:0403F60000002000E3 -:0403F70000002000E2 -:0403F80000002000E1 -:0403F90000002000E0 -:0403FA0000002000DF -:0403FB0000002000DE -:0403FC0000002000DD -:0403FD0000002000DC -:0403FE0000002000DB -:0403FF0000002000DA -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/disturb2_unb2b_station.vhd b/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/disturb2_unb2b_station.vhd deleted file mode 100644 index 72d54fc63b3a99a8d1146485b3c65b797414165c..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/disturb2_unb2b_station.vhd +++ /dev/null @@ -1,1047 +0,0 @@ -------------------------------------------------------------------------------- --- --- Copyright 2021 --- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> --- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands --- --- Licensed under the Apache License, Version 2.0 (the "License"); --- you may not use this file except in compliance with the License. --- You may obtain a copy of the License at --- --- http://www.apache.org/licenses/LICENSE-2.0 --- --- Unless required by applicable law or agreed to in writing, software --- distributed under the License is distributed on an "AS IS" BASIS, --- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --- See the License for the specific language governing permissions and --- limitations under the License. --- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- Author : R vd Walle --- Purpose: --- Core design for Disturb2 SDP station --- Description: --- Unb2b version for lab testing, using generic disturb_station.vhd for Disturb2 application. -------------------------------------------------------------------------------- - -LIBRARY IEEE, common_lib, unb2b_board_lib, technology_lib, diag_lib, dp_lib, tech_jesd204b_lib, wpfb_lib, disturb_lib, tech_pll_lib, nw_10gbe_lib, eth_lib; -USE IEEE.STD_LOGIC_1164.ALL; -USE IEEE.NUMERIC_STD.ALL; -USE common_lib.common_pkg.ALL; -USE common_lib.common_mem_pkg.ALL; -USE common_lib.common_network_layers_pkg.ALL; -USE common_lib.common_field_pkg.ALL; -USE technology_lib.technology_pkg.ALL; -USE unb2b_board_lib.unb2b_board_pkg.ALL; -USE unb2b_board_lib.unb2b_board_peripherals_pkg.ALL; -USE diag_lib.diag_pkg.ALL; -USE dp_lib.dp_stream_pkg.ALL; -USE wpfb_lib.wpfb_pkg.ALL; -USE disturb_lib.disturb_pkg.ALL; -USE work.disturb2_unb2b_station_pkg.ALL; -USE eth_lib.eth_pkg.ALL; - - -ENTITY disturb2_unb2b_station IS - GENERIC ( - g_design_name : STRING := "disturb2_unb2b_station"; - g_design_note : STRING := "UNUSED"; - g_sim : BOOLEAN := FALSE; --Overridden by TB - g_sim_unb_nr : NATURAL := 0; - g_sim_node_nr : NATURAL := 0; - g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF - g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF - g_revision_id : STRING := ""; -- revision ID -- set by QSF - g_factory_image : BOOLEAN := FALSE; - g_protect_addr_range : BOOLEAN := FALSE; - g_wpfb : t_wpfb := c_disturb_wpfb_subbands; - g_bsn_nof_clk_per_sync : NATURAL := c_disturb_N_clk_per_sync; -- Default 200M, overide for short simulation - g_scope_selected_subband : NATURAL := 0 - ); - PORT ( - -- GENERAL - CLK : IN STD_LOGIC; -- System Clock - PPS : IN STD_LOGIC; -- System Sync - WDI : OUT STD_LOGIC; -- Watchdog Clear - INTA : INOUT STD_LOGIC; -- FPGA interconnect line - INTB : INOUT STD_LOGIC; -- FPGA interconnect line - - -- Others - VERSION : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.version_w-1 DOWNTO 0); - ID : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.id_w-1 DOWNTO 0); - TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2b_board_aux.testio_w-1 DOWNTO 0); - - -- I2C Interface to Sensors - SENS_SC : INOUT STD_LOGIC; - SENS_SD : INOUT STD_LOGIC; - - PMBUS_SC : INOUT STD_LOGIC; - PMBUS_SD : INOUT STD_LOGIC; - PMBUS_ALERT : IN STD_LOGIC := '0'; - - -- 1GbE Control Interface - ETH_CLK : IN STD_LOGIC; - ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); - ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); - - -- Transceiver clocks - SA_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE front (qsfp) and ring lines - - -- front transceivers QSFP0 for Ring. - QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); - QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); - - -- front transceivers QSFP1 for 10GbE output to CEP. - QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); - QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); - -- LEDs - QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp_nof_leds-1 DOWNTO 0); - - -- ring transceivers - RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS => '0'); -- Using qsfp bus width also for ring interfaces - RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0); - RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS=>'0'); - RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0); - - -- back transceivers (Note: numbered from 0) - JESD204B_SERIAL_DATA : IN STD_LOGIC_VECTOR(c_disturb_S_pn-1 DOWNTO 0) := (OTHERS => '0'); -- c_disturb_S_pn = 12, c_unb2b_board_nof_tr_jesd204b = 6 - -- Connect to the BCK_RX pins in the top wrapper - JESD204B_REFCLK : IN STD_LOGIC := '0'; -- Connect to BCK_REF_CLK pin in the top level wrapper - - -- jesd204b syncronization signals - JESD204B_SYSREF : IN STD_LOGIC := '0'; - JESD204B_SYNC_N : OUT STD_LOGIC_VECTOR(c_disturb_N_sync_jesd-1 DOWNTO 0) -- c_unb2b_board_nof_sync_jesd204b = c_disturb_N_sync_jesd = 4 - ); -END disturb2_unb2b_station; - - -ARCHITECTURE str OF disturb2_unb2b_station IS - - -- Revision parameters - CONSTANT c_revision_select : t_disturb2_unb2b_station_config := func_sel_revision_rec(g_design_name); - - -- Firmware version x.y - CONSTANT c_fw_version : t_unb2b_board_fw_version := (2, 0); - CONSTANT c_mm_clk_freq : NATURAL := c_unb2b_board_mm_clk_freq_100M; - - -- 10 GbE Interface - CONSTANT c_nof_streams_qsfp : NATURAL := c_unb2b_board_tr_qsfp.nof_bus * c_quad; - - SIGNAL gn_id : STD_LOGIC_VECTOR(c_disturb_W_gn_id-1 DOWNTO 0); - - -- System - SIGNAL cs_sim : STD_LOGIC; - SIGNAL xo_ethclk : STD_LOGIC; - SIGNAL xo_rst : STD_LOGIC; - SIGNAL xo_rst_n : STD_LOGIC; - SIGNAL mm_clk : STD_LOGIC; - SIGNAL mm_rst : STD_LOGIC := '0'; - - SIGNAL dp_pps : STD_LOGIC; - SIGNAL dp_rst : STD_LOGIC; - SIGNAL dp_clk : STD_LOGIC; - - -- PIOs - SIGNAL pout_wdi : STD_LOGIC; - - -- WDI override - SIGNAL reg_wdi_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_wdi_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- PPSH - SIGNAL reg_ppsh_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_ppsh_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- UniBoard system info - SIGNAL reg_unb_system_info_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_unb_system_info_cipo : t_mem_cipo := c_mem_cipo_rst; - SIGNAL rom_unb_system_info_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL rom_unb_system_info_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- UniBoard I2C sens - SIGNAL reg_unb_sens_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_unb_sens_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- pm bus - SIGNAL reg_unb_pmbus_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_unb_pmbus_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- FPGA sensors - SIGNAL reg_fpga_temp_sens_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_fpga_temp_sens_cipo : t_mem_cipo := c_mem_cipo_rst; - SIGNAL reg_fpga_voltage_sens_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_fpga_voltage_sens_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- eth1g - SIGNAL eth1g_mm_rst : STD_LOGIC; - SIGNAL eth1g_tse_copi : t_mem_copi := c_mem_copi_rst; -- ETH TSE MAC registers - SIGNAL eth1g_tse_cipo : t_mem_cipo := c_mem_cipo_rst; - SIGNAL eth1g_reg_copi : t_mem_copi := c_mem_copi_rst; -- ETH control and status registers - SIGNAL eth1g_reg_cipo : t_mem_cipo := c_mem_cipo_rst; - SIGNAL eth1g_reg_interrupt : STD_LOGIC; -- Interrupt - SIGNAL eth1g_ram_copi : t_mem_copi := c_mem_copi_rst; -- ETH rx frame and tx frame memory - SIGNAL eth1g_ram_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- EPCS read - SIGNAL reg_dpmm_data_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_dpmm_data_cipo : t_mem_cipo := c_mem_cipo_rst; - SIGNAL reg_dpmm_ctrl_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_dpmm_ctrl_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- EPCS write - SIGNAL reg_mmdp_data_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_mmdp_data_cipo : t_mem_cipo := c_mem_cipo_rst; - SIGNAL reg_mmdp_ctrl_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_mmdp_ctrl_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- EPCS status/control - SIGNAL reg_epcs_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_epcs_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Remote Update - SIGNAL reg_remu_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_remu_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Scrap ram - SIGNAL ram_scrap_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL ram_scrap_cipo : t_mem_cipo := c_mem_cipo_rst; - - ---------------------------------------------- - -- AIT - ---------------------------------------------- - -- JESD - SIGNAL jesd204b_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL jesd204b_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- JESD control - SIGNAL jesd_ctrl_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL jesd_ctrl_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Shiftram (applies per-antenna delay) - SIGNAL reg_dp_shiftram_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_dp_shiftram_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- bsn source - SIGNAL reg_bsn_source_v2_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_bsn_source_v2_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- bsn scheduler - SIGNAL reg_bsn_scheduler_wg_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_bsn_scheduler_wg_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- WG - SIGNAL reg_wg_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_wg_cipo : t_mem_cipo := c_mem_cipo_rst; - SIGNAL ram_wg_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL ram_wg_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- BSN MONITOR - SIGNAL reg_bsn_monitor_input_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_bsn_monitor_input_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Data buffer bsn - SIGNAL ram_diag_data_buf_bsn_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL ram_diag_data_buf_bsn_cipo : t_mem_cipo := c_mem_cipo_rst; - SIGNAL reg_diag_data_buf_bsn_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_diag_data_buf_bsn_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- ST Histogram - SIGNAL ram_st_histogram_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL ram_st_histogram_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Aduh statistics monitor - SIGNAL reg_aduh_monitor_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_aduh_monitor_cipo : t_mem_cipo := c_mem_cipo_rst; - - ---------------------------------------------- - -- FSUB - ---------------------------------------------- - -- Subband statistics - SIGNAL ram_st_sst_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL ram_st_sst_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Spectral Inversion - SIGNAL reg_si_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_si_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Filter coefficients - SIGNAL ram_fil_coefs_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL ram_fil_coefs_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Equalizer gains - SIGNAL ram_equalizer_gains_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL ram_equalizer_gains_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- DP Selector - SIGNAL reg_dp_selector_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_dp_selector_cipo : t_mem_cipo := c_mem_cipo_rst; - - ---------------------------------------------- - -- SDP Info - ---------------------------------------------- - SIGNAL reg_disturb_info_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_disturb_info_cipo : t_mem_cipo := c_mem_cipo_rst; - - ---------------------------------------------- - -- RING Info - ---------------------------------------------- - SIGNAL reg_ring_info_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_ring_info_cipo : t_mem_cipo := c_mem_cipo_rst; - - ---------------------------------------------- - -- XSUB - ---------------------------------------------- - - -- crosslets_info - SIGNAL reg_crosslets_info_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_crosslets_info_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- crosslets_info - SIGNAL reg_nof_crosslets_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_nof_crosslets_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- bsn_scheduler_xsub - SIGNAL reg_bsn_sync_scheduler_xsub_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_bsn_sync_scheduler_xsub_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- st_xsq - SIGNAL ram_st_xsq_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL ram_st_xsq_cipo : t_mem_cipo := c_mem_cipo_rst; - - ---------------------------------------------- - -- BF - ---------------------------------------------- - -- Beamlet Subband Select - SIGNAL ram_ss_ss_wide_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL ram_ss_ss_wide_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Local BF bf weights - SIGNAL ram_bf_weights_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL ram_bf_weights_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- BF bsn aligner_v2 - SIGNAL reg_bsn_align_v2_bf_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_bsn_align_v2_bf_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- BF bsn aligner_v2 bsn monitors - SIGNAL reg_bsn_monitor_v2_rx_align_bf_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_bsn_monitor_v2_rx_align_bf_cipo : t_mem_cipo := c_mem_cipo_rst; - SIGNAL reg_bsn_monitor_v2_aligned_bf_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_bsn_monitor_v2_aligned_bf_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- mms_dp_scale Scale Beamlets - SIGNAL reg_bf_scale_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_bf_scale_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Beamlet Data Output header fields - SIGNAL reg_hdr_dat_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_hdr_dat_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Beamlet Data Output xonoff - SIGNAL reg_dp_xonoff_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_dp_xonoff_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Beamlet Statistics (BST) - SIGNAL ram_st_bst_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL ram_st_bst_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- BF ring lane info - SIGNAL reg_ring_lane_info_bf_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_ring_lane_info_bf_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- BF ring bsn monitor rx - SIGNAL reg_bsn_monitor_v2_ring_rx_bf_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_bsn_monitor_v2_ring_rx_bf_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- BF ring bsn monitor tx - SIGNAL reg_bsn_monitor_v2_ring_tx_bf_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_bsn_monitor_v2_ring_tx_bf_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- BF ring validate err - SIGNAL reg_dp_block_validate_err_bf_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_dp_block_validate_err_bf_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- BF ring bsn at sync - SIGNAL reg_dp_block_validate_bsn_at_sync_bf_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_dp_block_validate_bsn_at_sync_bf_cipo : t_mem_cipo := c_mem_cipo_rst; - ---------------------------------------------- - -- SST - ---------------------------------------------- - -- Statistics Enable - SIGNAL reg_stat_enable_sst_copi : t_mem_copi; - SIGNAL reg_stat_enable_sst_cipo : t_mem_cipo; - - -- Statistics header info - SIGNAL reg_stat_hdr_dat_sst_copi : t_mem_copi; - SIGNAL reg_stat_hdr_dat_sst_cipo : t_mem_cipo; - - -- SST UDP offload bsn monitor - SIGNAL reg_bsn_monitor_v2_sst_offload_copi : t_mem_copi; - SIGNAL reg_bsn_monitor_v2_sst_offload_cipo : t_mem_cipo; - ---------------------------------------------- - -- XST - ---------------------------------------------- - -- Statistics Enable - SIGNAL reg_stat_enable_xst_copi : t_mem_copi; - SIGNAL reg_stat_enable_xst_cipo : t_mem_cipo; - - -- Statistics header info - SIGNAL reg_stat_hdr_dat_xst_copi : t_mem_copi; - SIGNAL reg_stat_hdr_dat_xst_cipo : t_mem_cipo; - - -- XST bsn aligner_v2 - SIGNAL reg_bsn_align_v2_xsub_copi : t_mem_copi; - SIGNAL reg_bsn_align_v2_xsub_cipo : t_mem_cipo; - - -- XST bsn aligner_v2 bsn monitors - SIGNAL reg_bsn_monitor_v2_rx_align_xsub_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_bsn_monitor_v2_rx_align_xsub_cipo : t_mem_cipo := c_mem_cipo_rst; - SIGNAL reg_bsn_monitor_v2_aligned_xsub_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_bsn_monitor_v2_aligned_xsub_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- XST UDP offload bsn monitor - SIGNAL reg_bsn_monitor_v2_xst_offload_copi : t_mem_copi; - SIGNAL reg_bsn_monitor_v2_xst_offload_cipo : t_mem_cipo; - - -- XST ring lane info - SIGNAL reg_ring_lane_info_xst_copi : t_mem_copi; - SIGNAL reg_ring_lane_info_xst_cipo : t_mem_cipo; - - -- XST ring bsn monitor rx - SIGNAL reg_bsn_monitor_v2_ring_rx_xst_copi : t_mem_copi; - SIGNAL reg_bsn_monitor_v2_ring_rx_xst_cipo : t_mem_cipo; - - -- XST ring bsn monitor tx - SIGNAL reg_bsn_monitor_v2_ring_tx_xst_copi : t_mem_copi; - SIGNAL reg_bsn_monitor_v2_ring_tx_xst_cipo : t_mem_cipo; - - -- XST ring validate err - SIGNAL reg_dp_block_validate_err_xst_copi : t_mem_copi; - SIGNAL reg_dp_block_validate_err_xst_cipo : t_mem_cipo; - - -- XST ring bsn at sync - SIGNAL reg_dp_block_validate_bsn_at_sync_xst_copi : t_mem_copi; - SIGNAL reg_dp_block_validate_bsn_at_sync_xst_cipo : t_mem_cipo; - - -- XST ring MAC10G - SIGNAL reg_tr_10GbE_mac_copi : t_mem_copi; - SIGNAL reg_tr_10GbE_mac_cipo : t_mem_cipo; - - -- XST ring ETH10G - SIGNAL reg_tr_10GbE_eth10g_copi : t_mem_copi; - SIGNAL reg_tr_10GbE_eth10g_cipo : t_mem_cipo; - ---------------------------------------------- - -- BST - ---------------------------------------------- - -- Statistics Enable - SIGNAL reg_stat_enable_bst_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_stat_enable_bst_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- Statistics header info - SIGNAL reg_stat_hdr_dat_bst_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_stat_hdr_dat_bst_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- BST UDP offload bsn monitor - SIGNAL reg_bsn_monitor_v2_bst_offload_copi : t_mem_copi; - SIGNAL reg_bsn_monitor_v2_bst_offload_cipo : t_mem_cipo; - - -- Beamlet output bsn monitor - SIGNAL reg_bsn_monitor_v2_beamlet_output_copi : t_mem_copi; - SIGNAL reg_bsn_monitor_v2_beamlet_output_cipo : t_mem_cipo; - ---------------------------------------------- - -- UDP Offload - ---------------------------------------------- - SIGNAL udp_tx_sosi_arr : t_dp_sosi_arr(c_eth_nof_udp_ports-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); - SIGNAL udp_tx_siso_arr : t_dp_siso_arr(c_eth_nof_udp_ports-1 DOWNTO 0) := (OTHERS => c_dp_siso_rdy); - - ---------------------------------------------- - -- 10 GbE - ---------------------------------------------- - SIGNAL reg_nw_10GbE_mac_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_nw_10GbE_mac_cipo : t_mem_cipo := c_mem_cipo_rst; - - SIGNAL reg_nw_10GbE_eth10g_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_nw_10GbE_eth10g_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- 10GbE - SIGNAL i_QSFP_TX : t_unb2b_board_qsfp_bus_2arr(c_unb2b_board_tr_qsfp.nof_bus-1 DOWNTO 0) := (OTHERS => (OTHERS => '0')); - SIGNAL i_QSFP_RX : t_unb2b_board_qsfp_bus_2arr(c_unb2b_board_tr_qsfp.nof_bus-1 DOWNTO 0) := (OTHERS => (OTHERS => '0')); - - SIGNAL unb2_board_front_io_serial_tx_arr : STD_LOGIC_VECTOR(c_nof_streams_qsfp-1 DOWNTO 0) := (OTHERS => '0'); - SIGNAL unb2_board_front_io_serial_rx_arr : STD_LOGIC_VECTOR(c_nof_streams_qsfp-1 DOWNTO 0) := (OTHERS => '0'); - - SIGNAL this_bck_id : STD_LOGIC_VECTOR(c_unb2b_board_nof_uniboard_w-1 DOWNTO 0); - SIGNAL this_chip_id : STD_LOGIC_VECTOR(c_unb2b_board_nof_chip_w-1 DOWNTO 0); - - -- QSFP LEDS - SIGNAL qsfp_green_led_arr : STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.nof_bus-1 DOWNTO 0); - SIGNAL qsfp_red_led_arr : STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.nof_bus-1 DOWNTO 0); - - SIGNAL unb2_board_qsfp_leds_tx_sosi_arr : t_dp_sosi_arr(c_nof_streams_qsfp-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); - SIGNAL unb2_board_qsfp_leds_tx_siso_arr : t_dp_siso_arr(c_nof_streams_qsfp-1 DOWNTO 0) := (OTHERS => c_dp_siso_rst); - SIGNAL unb2_board_qsfp_leds_rx_sosi_arr : t_dp_sosi_arr(c_nof_streams_qsfp-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); - -BEGIN - - ----------------------------------------------------------------------------- - -- General control function - ----------------------------------------------------------------------------- - u_ctrl : ENTITY unb2b_board_lib.ctrl_unb2b_board - GENERIC MAP ( - g_sim => g_sim, - g_design_name => g_design_name, - g_design_note => g_design_note, - g_stamp_date => g_stamp_date, - g_stamp_time => g_stamp_time, - g_revision_id => g_revision_id, - g_fw_version => c_fw_version, - g_mm_clk_freq => c_mm_clk_freq, - g_eth_clk_freq => c_unb2b_board_eth_clk_freq_125M, - g_aux => c_unb2b_board_aux, - g_factory_image => g_factory_image, - g_protect_addr_range => g_protect_addr_range, - g_dp_clk_freq => c_unb2b_board_ext_clk_freq_200M, - g_dp_clk_use_pll => FALSE, - g_udp_offload => TRUE, - g_udp_offload_nof_streams => c_eth_nof_udp_ports - ) - PORT MAP ( - -- Clock an reset signals - cs_sim => cs_sim, - xo_ethclk => xo_ethclk, - xo_rst => xo_rst, - xo_rst_n => xo_rst_n, - - mm_clk => mm_clk, - mm_rst => mm_rst, - - dp_rst => dp_rst, - dp_clk => dp_clk, -- Can be external 200MHz, or PLL generated - dp_pps => dp_pps, - dp_rst_in => dp_rst, - dp_clk_in => dp_clk, - - this_chip_id => this_chip_id, - this_bck_id => this_bck_id, - - -- Toggle WDI - pout_wdi => pout_wdi, - - -- MM buses - -- REMU - reg_remu_mosi => reg_remu_copi, - reg_remu_miso => reg_remu_cipo, - - -- EPCS read - reg_dpmm_data_mosi => reg_dpmm_data_copi, - reg_dpmm_data_miso => reg_dpmm_data_cipo, - reg_dpmm_ctrl_mosi => reg_dpmm_ctrl_copi, - reg_dpmm_ctrl_miso => reg_dpmm_ctrl_cipo, - - -- EPCS write - reg_mmdp_data_mosi => reg_mmdp_data_copi, - reg_mmdp_data_miso => reg_mmdp_data_cipo, - reg_mmdp_ctrl_mosi => reg_mmdp_ctrl_copi, - reg_mmdp_ctrl_miso => reg_mmdp_ctrl_cipo, - - -- EPCS status/control - reg_epcs_mosi => reg_epcs_copi, - reg_epcs_miso => reg_epcs_cipo, - - -- . Manual WDI override - reg_wdi_mosi => reg_wdi_copi, - reg_wdi_miso => reg_wdi_cipo, - - -- . System_info - reg_unb_system_info_mosi => reg_unb_system_info_copi, - reg_unb_system_info_miso => reg_unb_system_info_cipo, - rom_unb_system_info_mosi => rom_unb_system_info_copi, - rom_unb_system_info_miso => rom_unb_system_info_cipo, - - -- . UniBoard I2C sensors - reg_unb_sens_mosi => reg_unb_sens_copi, - reg_unb_sens_miso => reg_unb_sens_cipo, - - -- . FPGA sensors - reg_fpga_temp_sens_mosi => reg_fpga_temp_sens_copi, - reg_fpga_temp_sens_miso => reg_fpga_temp_sens_cipo, - reg_fpga_voltage_sens_mosi => reg_fpga_voltage_sens_copi, - reg_fpga_voltage_sens_miso => reg_fpga_voltage_sens_cipo, - - reg_unb_pmbus_mosi => reg_unb_pmbus_copi, - reg_unb_pmbus_miso => reg_unb_pmbus_cipo, - - -- . PPSH - reg_ppsh_mosi => reg_ppsh_copi, - reg_ppsh_miso => reg_ppsh_cipo, - - -- eth1g - eth1g_mm_rst => eth1g_mm_rst, - eth1g_tse_mosi => eth1g_tse_copi, - eth1g_tse_miso => eth1g_tse_cipo, - eth1g_reg_mosi => eth1g_reg_copi, - eth1g_reg_miso => eth1g_reg_cipo, - eth1g_reg_interrupt => eth1g_reg_interrupt, - eth1g_ram_mosi => eth1g_ram_copi, - eth1g_ram_miso => eth1g_ram_cipo, - - -- eth1g UDP streaming - udp_tx_sosi_arr => udp_tx_sosi_arr, - udp_tx_siso_arr => udp_tx_siso_arr, - - ram_scrap_mosi => ram_scrap_copi, - ram_scrap_miso => ram_scrap_cipo, - - -- FPGA pins - -- . General - CLK => CLK, - PPS => PPS, - WDI => WDI, - INTA => INTA, - INTB => INTB, - -- . Others - VERSION => VERSION, - ID => ID, - TESTIO => TESTIO, - -- . I2C Interface to Sensors - SENS_SC => SENS_SC, - SENS_SD => SENS_SD, - -- PM bus - PMBUS_SC => PMBUS_SC, - PMBUS_SD => PMBUS_SD, - PMBUS_ALERT => PMBUS_ALERT, - - -- . 1GbE Control Interface - ETH_clk => ETH_CLK, - ETH_SGIN => ETH_SGIN, - ETH_SGOUT => ETH_SGOUT - ); - - ----------------------------------------------------------------------------- - -- MM master - ----------------------------------------------------------------------------- - u_mmm : ENTITY work.mmm_disturb2_unb2b_station - GENERIC MAP ( - g_sim => g_sim, - g_sim_unb_nr => g_sim_unb_nr, - g_sim_node_nr => g_sim_node_nr - ) - PORT MAP( - mm_rst => mm_rst, - mm_clk => mm_clk, - - -- PIOs - pout_wdi => pout_wdi, - - -- mm interfaces for control - reg_wdi_copi => reg_wdi_copi, - reg_wdi_cipo => reg_wdi_cipo, - reg_unb_system_info_copi => reg_unb_system_info_copi, - reg_unb_system_info_cipo => reg_unb_system_info_cipo, - rom_unb_system_info_copi => rom_unb_system_info_copi, - rom_unb_system_info_cipo => rom_unb_system_info_cipo, - reg_unb_sens_copi => reg_unb_sens_copi, - reg_unb_sens_cipo => reg_unb_sens_cipo, - reg_unb_pmbus_copi => reg_unb_pmbus_copi, - reg_unb_pmbus_cipo => reg_unb_pmbus_cipo, - reg_fpga_temp_sens_copi => reg_fpga_temp_sens_copi, - reg_fpga_temp_sens_cipo => reg_fpga_temp_sens_cipo, - reg_fpga_voltage_sens_copi => reg_fpga_voltage_sens_copi, - reg_fpga_voltage_sens_cipo => reg_fpga_voltage_sens_cipo, - reg_ppsh_copi => reg_ppsh_copi, - reg_ppsh_cipo => reg_ppsh_cipo, - eth1g_mm_rst => eth1g_mm_rst, - eth1g_tse_copi => eth1g_tse_copi, - eth1g_tse_cipo => eth1g_tse_cipo, - eth1g_reg_copi => eth1g_reg_copi, - eth1g_reg_cipo => eth1g_reg_cipo, - eth1g_reg_interrupt => eth1g_reg_interrupt, - eth1g_ram_copi => eth1g_ram_copi, - eth1g_ram_cipo => eth1g_ram_cipo, - reg_dpmm_data_copi => reg_dpmm_data_copi, - reg_dpmm_data_cipo => reg_dpmm_data_cipo, - reg_dpmm_ctrl_copi => reg_dpmm_ctrl_copi, - reg_dpmm_ctrl_cipo => reg_dpmm_ctrl_cipo, - reg_mmdp_data_copi => reg_mmdp_data_copi, - reg_mmdp_data_cipo => reg_mmdp_data_cipo, - reg_mmdp_ctrl_copi => reg_mmdp_ctrl_copi, - reg_mmdp_ctrl_cipo => reg_mmdp_ctrl_cipo, - reg_epcs_copi => reg_epcs_copi, - reg_epcs_cipo => reg_epcs_cipo, - reg_remu_copi => reg_remu_copi, - reg_remu_cipo => reg_remu_cipo, - - -- mm buses for signal flow blocks - -- Jesd ip status/control - jesd204b_copi => jesd204b_copi, - jesd204b_cipo => jesd204b_cipo, - jesd_ctrl_copi => jesd_ctrl_copi, - jesd_ctrl_cipo => jesd_ctrl_cipo, - reg_dp_shiftram_copi => reg_dp_shiftram_copi, - reg_dp_shiftram_cipo => reg_dp_shiftram_cipo, - reg_bsn_source_v2_copi => reg_bsn_source_v2_copi, - reg_bsn_source_v2_cipo => reg_bsn_source_v2_cipo, - reg_bsn_scheduler_copi => reg_bsn_scheduler_wg_copi, - reg_bsn_scheduler_cipo => reg_bsn_scheduler_wg_cipo, - reg_wg_copi => reg_wg_copi, - reg_wg_cipo => reg_wg_cipo, - ram_wg_copi => ram_wg_copi, - ram_wg_cipo => ram_wg_cipo, - reg_bsn_monitor_input_copi => reg_bsn_monitor_input_copi, - reg_bsn_monitor_input_cipo => reg_bsn_monitor_input_cipo, - ram_diag_data_buf_bsn_copi => ram_diag_data_buf_bsn_copi, - ram_diag_data_buf_bsn_cipo => ram_diag_data_buf_bsn_cipo, - reg_diag_data_buf_bsn_copi => reg_diag_data_buf_bsn_copi, - reg_diag_data_buf_bsn_cipo => reg_diag_data_buf_bsn_cipo, - ram_st_histogram_copi => ram_st_histogram_copi, - ram_st_histogram_cipo => ram_st_histogram_cipo, - reg_aduh_monitor_copi => reg_aduh_monitor_copi, - reg_aduh_monitor_cipo => reg_aduh_monitor_cipo, - ram_st_sst_copi => ram_st_sst_copi, - ram_st_sst_cipo => ram_st_sst_cipo, - ram_fil_coefs_copi => ram_fil_coefs_copi, - ram_fil_coefs_cipo => ram_fil_coefs_cipo, - reg_si_copi => reg_si_copi, - reg_si_cipo => reg_si_cipo, - ram_equalizer_gains_copi => ram_equalizer_gains_copi, - ram_equalizer_gains_cipo => ram_equalizer_gains_cipo, - reg_dp_selector_copi => reg_dp_selector_copi, - reg_dp_selector_cipo => reg_dp_selector_cipo, - reg_disturb_info_copi => reg_disturb_info_copi, - reg_disturb_info_cipo => reg_disturb_info_cipo, - reg_ring_info_copi => reg_ring_info_copi, - reg_ring_info_cipo => reg_ring_info_cipo, - ram_ss_ss_wide_copi => ram_ss_ss_wide_copi, - ram_ss_ss_wide_cipo => ram_ss_ss_wide_cipo, - ram_bf_weights_copi => ram_bf_weights_copi, - ram_bf_weights_cipo => ram_bf_weights_cipo, - reg_bf_scale_copi => reg_bf_scale_copi, - reg_bf_scale_cipo => reg_bf_scale_cipo, - reg_hdr_dat_copi => reg_hdr_dat_copi, - reg_hdr_dat_cipo => reg_hdr_dat_cipo, - reg_dp_xonoff_copi => reg_dp_xonoff_copi, - reg_dp_xonoff_cipo => reg_dp_xonoff_cipo, - ram_st_bst_copi => ram_st_bst_copi, - ram_st_bst_cipo => ram_st_bst_cipo, - reg_bsn_align_v2_bf_copi => reg_bsn_align_v2_bf_copi, - reg_bsn_align_v2_bf_cipo => reg_bsn_align_v2_bf_cipo, - reg_bsn_monitor_v2_rx_align_bf_copi => reg_bsn_monitor_v2_rx_align_bf_copi, - reg_bsn_monitor_v2_rx_align_bf_cipo => reg_bsn_monitor_v2_rx_align_bf_cipo, - reg_bsn_monitor_v2_aligned_bf_copi => reg_bsn_monitor_v2_aligned_bf_copi, - reg_bsn_monitor_v2_aligned_bf_cipo => reg_bsn_monitor_v2_aligned_bf_cipo, - reg_ring_lane_info_bf_copi => reg_ring_lane_info_bf_copi, - reg_ring_lane_info_bf_cipo => reg_ring_lane_info_bf_cipo, - reg_bsn_monitor_v2_ring_rx_bf_copi => reg_bsn_monitor_v2_ring_rx_bf_copi, - reg_bsn_monitor_v2_ring_rx_bf_cipo => reg_bsn_monitor_v2_ring_rx_bf_cipo, - reg_bsn_monitor_v2_ring_tx_bf_copi => reg_bsn_monitor_v2_ring_tx_bf_copi, - reg_bsn_monitor_v2_ring_tx_bf_cipo => reg_bsn_monitor_v2_ring_tx_bf_cipo, - reg_dp_block_validate_err_bf_copi => reg_dp_block_validate_err_bf_copi, - reg_dp_block_validate_err_bf_cipo => reg_dp_block_validate_err_bf_cipo, - reg_dp_block_validate_bsn_at_sync_bf_copi => reg_dp_block_validate_bsn_at_sync_bf_copi, - reg_dp_block_validate_bsn_at_sync_bf_cipo => reg_dp_block_validate_bsn_at_sync_bf_cipo, - reg_nw_10GbE_mac_copi => reg_nw_10GbE_mac_copi, - reg_nw_10GbE_mac_cipo => reg_nw_10GbE_mac_cipo, - reg_nw_10GbE_eth10g_copi => reg_nw_10GbE_eth10g_copi, - reg_nw_10GbE_eth10g_cipo => reg_nw_10GbE_eth10g_cipo, - ram_scrap_copi => ram_scrap_copi, - ram_scrap_cipo => ram_scrap_cipo, - reg_stat_enable_sst_copi => reg_stat_enable_sst_copi, - reg_stat_enable_sst_cipo => reg_stat_enable_sst_cipo, - reg_stat_hdr_dat_sst_copi => reg_stat_hdr_dat_sst_copi, - reg_stat_hdr_dat_sst_cipo => reg_stat_hdr_dat_sst_cipo, - reg_stat_enable_xst_copi => reg_stat_enable_xst_copi, - reg_stat_enable_xst_cipo => reg_stat_enable_xst_cipo, - reg_stat_hdr_dat_xst_copi => reg_stat_hdr_dat_xst_copi, - reg_stat_hdr_dat_xst_cipo => reg_stat_hdr_dat_xst_cipo, - reg_stat_enable_bst_copi => reg_stat_enable_bst_copi, - reg_stat_enable_bst_cipo => reg_stat_enable_bst_cipo, - reg_stat_hdr_dat_bst_copi => reg_stat_hdr_dat_bst_copi, - reg_stat_hdr_dat_bst_cipo => reg_stat_hdr_dat_bst_cipo, - reg_crosslets_info_copi => reg_crosslets_info_copi, - reg_crosslets_info_cipo => reg_crosslets_info_cipo, - reg_nof_crosslets_copi => reg_nof_crosslets_copi, - reg_nof_crosslets_cipo => reg_nof_crosslets_cipo, - reg_bsn_sync_scheduler_xsub_copi => reg_bsn_sync_scheduler_xsub_copi, - reg_bsn_sync_scheduler_xsub_cipo => reg_bsn_sync_scheduler_xsub_cipo, - reg_bsn_align_v2_xsub_copi => reg_bsn_align_v2_xsub_copi, - reg_bsn_align_v2_xsub_cipo => reg_bsn_align_v2_xsub_cipo, - reg_bsn_monitor_v2_rx_align_xsub_copi => reg_bsn_monitor_v2_rx_align_xsub_copi, - reg_bsn_monitor_v2_rx_align_xsub_cipo => reg_bsn_monitor_v2_rx_align_xsub_cipo, - reg_bsn_monitor_v2_aligned_xsub_copi => reg_bsn_monitor_v2_aligned_xsub_copi, - reg_bsn_monitor_v2_aligned_xsub_cipo => reg_bsn_monitor_v2_aligned_xsub_cipo, - reg_bsn_monitor_v2_xst_offload_copi => reg_bsn_monitor_v2_xst_offload_copi, - reg_bsn_monitor_v2_xst_offload_cipo => reg_bsn_monitor_v2_xst_offload_cipo, - reg_bsn_monitor_v2_bst_offload_copi => reg_bsn_monitor_v2_bst_offload_copi, - reg_bsn_monitor_v2_bst_offload_cipo => reg_bsn_monitor_v2_bst_offload_cipo, - reg_bsn_monitor_v2_beamlet_output_copi => reg_bsn_monitor_v2_beamlet_output_copi, - reg_bsn_monitor_v2_beamlet_output_cipo => reg_bsn_monitor_v2_beamlet_output_cipo, - reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi, - reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo, - reg_ring_lane_info_xst_copi => reg_ring_lane_info_xst_copi, - reg_ring_lane_info_xst_cipo => reg_ring_lane_info_xst_cipo, - reg_bsn_monitor_v2_ring_rx_xst_copi => reg_bsn_monitor_v2_ring_rx_xst_copi, - reg_bsn_monitor_v2_ring_rx_xst_cipo => reg_bsn_monitor_v2_ring_rx_xst_cipo, - reg_bsn_monitor_v2_ring_tx_xst_copi => reg_bsn_monitor_v2_ring_tx_xst_copi, - reg_bsn_monitor_v2_ring_tx_xst_cipo => reg_bsn_monitor_v2_ring_tx_xst_cipo, - reg_dp_block_validate_err_xst_copi => reg_dp_block_validate_err_xst_copi, - reg_dp_block_validate_err_xst_cipo => reg_dp_block_validate_err_xst_cipo, - reg_dp_block_validate_bsn_at_sync_xst_copi => reg_dp_block_validate_bsn_at_sync_xst_copi, - reg_dp_block_validate_bsn_at_sync_xst_cipo => reg_dp_block_validate_bsn_at_sync_xst_cipo, - reg_tr_10GbE_mac_copi => reg_tr_10GbE_mac_copi, - reg_tr_10GbE_mac_cipo => reg_tr_10GbE_mac_cipo, - reg_tr_10GbE_eth10g_copi => reg_tr_10GbE_eth10g_copi, - reg_tr_10GbE_eth10g_cipo => reg_tr_10GbE_eth10g_cipo, - ram_st_xsq_copi => ram_st_xsq_copi, - ram_st_xsq_cipo => ram_st_xsq_cipo - ); - - -- Use gn_id = ID MOD 32, so map ID to 0:31 range (c_disturb_W_gn_id = 5) - gn_id <= ID(c_disturb_W_gn_id-1 DOWNTO 0); - - ----------------------------------------------------------------------------- - -- disturb nodes - ----------------------------------------------------------------------------- - u_disturb_station : ENTITY disturb_lib.disturb_station - GENERIC MAP ( - g_sim => g_sim, - g_wpfb => g_wpfb, - g_bsn_nof_clk_per_sync => g_bsn_nof_clk_per_sync, - g_scope_selected_subband => g_scope_selected_subband, - g_no_jesd => c_revision_select.no_jesd, - g_use_fsub => c_revision_select.use_fsub, - g_use_xsub => c_revision_select.use_xsub, - g_use_bf => c_revision_select.use_bf, - g_use_ring => c_revision_select.use_ring, - g_P_sq => c_revision_select.P_sq - ) - PORT MAP ( - - mm_clk => mm_clk, - mm_rst => mm_rst, - - dp_pps => dp_pps, - dp_rst => dp_rst, - dp_clk => dp_clk, - - gn_id => gn_id, - this_bck_id => this_bck_id, - this_chip_id => this_chip_id, - - SA_CLK => SA_CLK, - - -- jesd204b - JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA, - JESD204B_REFCLK => JESD204B_REFCLK, - JESD204B_SYSREF => JESD204B_SYSREF, - JESD204B_SYNC_N => JESD204B_SYNC_N, - - -- UDP Offload - udp_tx_sosi_arr => udp_tx_sosi_arr, - udp_tx_siso_arr => udp_tx_siso_arr, - - -- 10 GbE - reg_nw_10GbE_mac_copi => reg_nw_10GbE_mac_copi, - reg_nw_10GbE_mac_cipo => reg_nw_10GbE_mac_cipo, - reg_nw_10GbE_eth10g_copi => reg_nw_10GbE_eth10g_copi, - reg_nw_10GbE_eth10g_cipo => reg_nw_10GbE_eth10g_cipo, - - -- AIT - jesd204b_copi => jesd204b_copi, - jesd204b_cipo => jesd204b_cipo, - jesd_ctrl_copi => jesd_ctrl_copi, - jesd_ctrl_cipo => jesd_ctrl_cipo, - reg_dp_shiftram_copi => reg_dp_shiftram_copi, - reg_dp_shiftram_cipo => reg_dp_shiftram_cipo, - reg_bsn_source_v2_copi => reg_bsn_source_v2_copi, - reg_bsn_source_v2_cipo => reg_bsn_source_v2_cipo, - reg_bsn_scheduler_wg_copi => reg_bsn_scheduler_wg_copi, - reg_bsn_scheduler_wg_cipo => reg_bsn_scheduler_wg_cipo, - reg_wg_copi => reg_wg_copi, - reg_wg_cipo => reg_wg_cipo, - ram_wg_copi => ram_wg_copi, - ram_wg_cipo => ram_wg_cipo, - reg_bsn_monitor_input_copi => reg_bsn_monitor_input_copi, - reg_bsn_monitor_input_cipo => reg_bsn_monitor_input_cipo, - ram_diag_data_buf_bsn_copi => ram_diag_data_buf_bsn_copi, - ram_diag_data_buf_bsn_cipo => ram_diag_data_buf_bsn_cipo, - reg_diag_data_buf_bsn_copi => reg_diag_data_buf_bsn_copi, - reg_diag_data_buf_bsn_cipo => reg_diag_data_buf_bsn_cipo, - ram_st_histogram_copi => ram_st_histogram_copi, - ram_st_histogram_cipo => ram_st_histogram_cipo, - reg_aduh_monitor_copi => reg_aduh_monitor_copi, - reg_aduh_monitor_cipo => reg_aduh_monitor_cipo, - - -- FSUB - ram_st_sst_copi => ram_st_sst_copi, - ram_st_sst_cipo => ram_st_sst_cipo, - reg_si_copi => reg_si_copi, - reg_si_cipo => reg_si_cipo, - ram_fil_coefs_copi => ram_fil_coefs_copi, - ram_fil_coefs_cipo => ram_fil_coefs_cipo, - ram_equalizer_gains_copi => ram_equalizer_gains_copi, - ram_equalizer_gains_cipo => ram_equalizer_gains_cipo, - reg_dp_selector_copi => reg_dp_selector_copi, - reg_dp_selector_cipo => reg_dp_selector_cipo, - - -- SDP Info - reg_disturb_info_copi => reg_disturb_info_copi, - reg_disturb_info_cipo => reg_disturb_info_cipo, - - -- RING Info - reg_ring_info_copi => reg_ring_info_copi, - reg_ring_info_cipo => reg_ring_info_cipo, - - -- XSUB - reg_crosslets_info_copi => reg_crosslets_info_copi, - reg_crosslets_info_cipo => reg_crosslets_info_cipo, - reg_nof_crosslets_copi => reg_nof_crosslets_copi, - reg_nof_crosslets_cipo => reg_nof_crosslets_cipo, - reg_bsn_sync_scheduler_xsub_copi => reg_bsn_sync_scheduler_xsub_copi, - reg_bsn_sync_scheduler_xsub_cipo => reg_bsn_sync_scheduler_xsub_cipo, - ram_st_xsq_copi => ram_st_xsq_copi, - ram_st_xsq_cipo => ram_st_xsq_cipo, - - -- BF - ram_ss_ss_wide_copi => ram_ss_ss_wide_copi, - ram_ss_ss_wide_cipo => ram_ss_ss_wide_cipo, - ram_bf_weights_copi => ram_bf_weights_copi, - ram_bf_weights_cipo => ram_bf_weights_cipo, - reg_bf_scale_copi => reg_bf_scale_copi, - reg_bf_scale_cipo => reg_bf_scale_cipo, - reg_hdr_dat_copi => reg_hdr_dat_copi, - reg_hdr_dat_cipo => reg_hdr_dat_cipo, - reg_dp_xonoff_copi => reg_dp_xonoff_copi, - reg_dp_xonoff_cipo => reg_dp_xonoff_cipo, - ram_st_bst_copi => ram_st_bst_copi, - ram_st_bst_cipo => ram_st_bst_cipo, - reg_bsn_align_v2_bf_copi => reg_bsn_align_v2_bf_copi, - reg_bsn_align_v2_bf_cipo => reg_bsn_align_v2_bf_cipo, - reg_bsn_monitor_v2_rx_align_bf_copi => reg_bsn_monitor_v2_rx_align_bf_copi, - reg_bsn_monitor_v2_rx_align_bf_cipo => reg_bsn_monitor_v2_rx_align_bf_cipo, - reg_bsn_monitor_v2_aligned_bf_copi => reg_bsn_monitor_v2_aligned_bf_copi, - reg_bsn_monitor_v2_aligned_bf_cipo => reg_bsn_monitor_v2_aligned_bf_cipo, - reg_ring_lane_info_bf_copi => reg_ring_lane_info_bf_copi, - reg_ring_lane_info_bf_cipo => reg_ring_lane_info_bf_cipo, - reg_bsn_monitor_v2_ring_rx_bf_copi => reg_bsn_monitor_v2_ring_rx_bf_copi, - reg_bsn_monitor_v2_ring_rx_bf_cipo => reg_bsn_monitor_v2_ring_rx_bf_cipo, - reg_bsn_monitor_v2_ring_tx_bf_copi => reg_bsn_monitor_v2_ring_tx_bf_copi, - reg_bsn_monitor_v2_ring_tx_bf_cipo => reg_bsn_monitor_v2_ring_tx_bf_cipo, - reg_dp_block_validate_err_bf_copi => reg_dp_block_validate_err_bf_copi, - reg_dp_block_validate_err_bf_cipo => reg_dp_block_validate_err_bf_cipo, - reg_dp_block_validate_bsn_at_sync_bf_copi => reg_dp_block_validate_bsn_at_sync_bf_copi, - reg_dp_block_validate_bsn_at_sync_bf_cipo => reg_dp_block_validate_bsn_at_sync_bf_cipo, - - -- SST - reg_stat_enable_sst_copi => reg_stat_enable_sst_copi, - reg_stat_enable_sst_cipo => reg_stat_enable_sst_cipo, - reg_stat_hdr_dat_sst_copi => reg_stat_hdr_dat_sst_copi, - reg_stat_hdr_dat_sst_cipo => reg_stat_hdr_dat_sst_cipo, - reg_bsn_monitor_v2_sst_offload_copi => reg_bsn_monitor_v2_sst_offload_copi, - reg_bsn_monitor_v2_sst_offload_cipo => reg_bsn_monitor_v2_sst_offload_cipo, - - -- XST - reg_stat_enable_xst_copi => reg_stat_enable_xst_copi, - reg_stat_enable_xst_cipo => reg_stat_enable_xst_cipo, - reg_stat_hdr_dat_xst_copi => reg_stat_hdr_dat_xst_copi, - reg_stat_hdr_dat_xst_cipo => reg_stat_hdr_dat_xst_cipo, - - reg_bsn_align_v2_xsub_copi => reg_bsn_align_v2_xsub_copi, - reg_bsn_align_v2_xsub_cipo => reg_bsn_align_v2_xsub_cipo, - reg_bsn_monitor_v2_rx_align_xsub_copi => reg_bsn_monitor_v2_rx_align_xsub_copi, - reg_bsn_monitor_v2_rx_align_xsub_cipo => reg_bsn_monitor_v2_rx_align_xsub_cipo, - reg_bsn_monitor_v2_aligned_xsub_copi => reg_bsn_monitor_v2_aligned_xsub_copi, - reg_bsn_monitor_v2_aligned_xsub_cipo => reg_bsn_monitor_v2_aligned_xsub_cipo, - reg_bsn_monitor_v2_xst_offload_copi => reg_bsn_monitor_v2_xst_offload_copi, - reg_bsn_monitor_v2_xst_offload_cipo => reg_bsn_monitor_v2_xst_offload_cipo, - reg_ring_lane_info_xst_copi => reg_ring_lane_info_xst_copi, - reg_ring_lane_info_xst_cipo => reg_ring_lane_info_xst_cipo, - reg_bsn_monitor_v2_ring_rx_xst_copi => reg_bsn_monitor_v2_ring_rx_xst_copi, - reg_bsn_monitor_v2_ring_rx_xst_cipo => reg_bsn_monitor_v2_ring_rx_xst_cipo, - reg_bsn_monitor_v2_ring_tx_xst_copi => reg_bsn_monitor_v2_ring_tx_xst_copi, - reg_bsn_monitor_v2_ring_tx_xst_cipo => reg_bsn_monitor_v2_ring_tx_xst_cipo, - reg_dp_block_validate_err_xst_copi => reg_dp_block_validate_err_xst_copi, - reg_dp_block_validate_err_xst_cipo => reg_dp_block_validate_err_xst_cipo, - reg_dp_block_validate_bsn_at_sync_xst_copi => reg_dp_block_validate_bsn_at_sync_xst_copi, - reg_dp_block_validate_bsn_at_sync_xst_cipo => reg_dp_block_validate_bsn_at_sync_xst_cipo, - reg_tr_10GbE_mac_copi => reg_tr_10GbE_mac_copi, - reg_tr_10GbE_mac_cipo => reg_tr_10GbE_mac_cipo, - reg_tr_10GbE_eth10g_copi => reg_tr_10GbE_eth10g_copi, - reg_tr_10GbE_eth10g_cipo => reg_tr_10GbE_eth10g_cipo, - - -- BST - reg_stat_enable_bst_copi => reg_stat_enable_bst_copi, - reg_stat_enable_bst_cipo => reg_stat_enable_bst_cipo, - reg_stat_hdr_dat_bst_copi => reg_stat_hdr_dat_bst_copi, - reg_stat_hdr_dat_bst_cipo => reg_stat_hdr_dat_bst_cipo, - reg_bsn_monitor_v2_bst_offload_copi => reg_bsn_monitor_v2_bst_offload_copi, - reg_bsn_monitor_v2_bst_offload_cipo => reg_bsn_monitor_v2_bst_offload_cipo, - reg_bsn_monitor_v2_beamlet_output_copi => reg_bsn_monitor_v2_beamlet_output_copi, - reg_bsn_monitor_v2_beamlet_output_cipo => reg_bsn_monitor_v2_beamlet_output_cipo, - - RING_0_TX => RING_0_TX, - RING_0_RX => RING_0_RX, - RING_1_TX => RING_1_TX, - RING_1_RX => RING_1_RX, - - -- QSFP serial - unb2_board_front_io_serial_tx_arr => unb2_board_front_io_serial_tx_arr, - unb2_board_front_io_serial_rx_arr => unb2_board_front_io_serial_rx_arr, - - -- QSFP LEDS - unb2_board_qsfp_leds_tx_sosi_arr => unb2_board_qsfp_leds_tx_sosi_arr, - unb2_board_qsfp_leds_tx_siso_arr => unb2_board_qsfp_leds_tx_siso_arr, - unb2_board_qsfp_leds_rx_sosi_arr => unb2_board_qsfp_leds_rx_sosi_arr - ); - - ----------------------------------------------------------------------------- - -- Interface : 10GbE - ----------------------------------------------------------------------------- - -- put the QSFP_TX/RX ports into arrays - i_QSFP_RX(0) <= QSFP_0_RX; - i_QSFP_RX(1) <= QSFP_1_RX; - QSFP_0_TX <= i_QSFP_TX(0); - QSFP_1_TX <= i_QSFP_TX(1); - ------------ - -- Front IO - ------------ - u_front_io : ENTITY unb2b_board_lib.unb2b_board_front_io - GENERIC MAP ( - g_nof_qsfp_bus => c_unb2b_board_tr_qsfp.nof_bus - ) - PORT MAP ( - serial_tx_arr => unb2_board_front_io_serial_tx_arr, - serial_rx_arr => unb2_board_front_io_serial_rx_arr, - - green_led_arr => qsfp_green_led_arr, - red_led_arr => qsfp_red_led_arr, - - QSFP_RX => i_QSFP_RX, - QSFP_TX => i_QSFP_TX, - - QSFP_LED => QSFP_LED - ); - - ------------ - -- LEDs - ------------ - u_front_led : ENTITY unb2b_board_lib.unb2b_board_qsfp_leds - GENERIC MAP ( - g_sim => g_sim, - g_factory_image => g_factory_image, - g_nof_qsfp => c_unb2b_board_tr_qsfp.nof_bus, - g_pulse_us => 1000 / (10**9 / c_mm_clk_freq) -- nof clk cycles to get us period - ) - PORT MAP ( - rst => mm_rst, - clk => mm_clk, - green_led_arr => qsfp_green_led_arr, - red_led_arr => qsfp_red_led_arr, - - tx_siso_arr => unb2_board_qsfp_leds_tx_siso_arr, - tx_sosi_arr => unb2_board_qsfp_leds_tx_sosi_arr, - rx_sosi_arr => unb2_board_qsfp_leds_rx_sosi_arr - ); - -END str; diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/disturb2_unb2b_station_pkg.vhd b/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/disturb2_unb2b_station_pkg.vhd deleted file mode 100644 index ba673af44a2d5a0a791a2e63fc6ccdbc80321aa6..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/disturb2_unb2b_station_pkg.vhd +++ /dev/null @@ -1,76 +0,0 @@ --------------------------------------------------------------------------------- --- --- Copyright (C) 2015 --- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> --- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands --- --- This program is free software: you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation, either version 3 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program. If not, see <http://www.gnu.org/licenses/>. --- --------------------------------------------------------------------------------- - -LIBRARY IEEE, common_lib, unb2b_board_lib; -USE IEEE.STD_LOGIC_1164.ALL; -USE IEEE.numeric_std.ALL; -USE common_lib.common_pkg.ALL; -USE unb2b_board_lib.unb2b_board_pkg.ALL; - -PACKAGE disturb2_unb2b_station_pkg IS - - ----------------------------------------------------------------------------- - -- Revision control - ----------------------------------------------------------------------------- - - TYPE t_disturb2_unb2b_station_config IS RECORD - no_jesd : BOOLEAN; - use_fsub : BOOLEAN; - use_bf : BOOLEAN; - use_xsub : BOOLEAN; - use_ring : BOOLEAN; - P_sq : NATURAL; - END RECORD; - - CONSTANT c_ait : t_disturb2_unb2b_station_config := (FALSE, FALSE, FALSE, FALSE, FALSE, 0); - CONSTANT c_fsub : t_disturb2_unb2b_station_config := (FALSE, TRUE, FALSE, FALSE, FALSE, 0); - CONSTANT c_bf : t_disturb2_unb2b_station_config := (FALSE, TRUE, TRUE, FALSE, FALSE, 0); - CONSTANT c_bf_ring : t_disturb2_unb2b_station_config := (FALSE, TRUE, TRUE, FALSE, TRUE, 0); - CONSTANT c_xsub_one : t_disturb2_unb2b_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, 1); - CONSTANT c_xsub_ring : t_disturb2_unb2b_station_config := (FALSE, TRUE, FALSE, TRUE, TRUE, 9); - CONSTANT c_full_wg : t_disturb2_unb2b_station_config := (TRUE, TRUE, TRUE, TRUE, TRUE, 9); - CONSTANT c_full : t_disturb2_unb2b_station_config := (FALSE, TRUE, TRUE, TRUE, TRUE, 9); - - -- Function to select the revision configuration. - FUNCTION func_sel_revision_rec(g_design_name : STRING) RETURN t_disturb2_unb2b_station_config; - - -END disturb2_unb2b_station_pkg; - - -PACKAGE BODY disturb2_unb2b_station_pkg IS - - FUNCTION func_sel_revision_rec(g_design_name : STRING) RETURN t_disturb2_unb2b_station_config IS - BEGIN - IF g_design_name = "disturb2_unb2b_station_adc" THEN RETURN c_ait; - ELSIF g_design_name = "disturb2_unb2b_station_fsub" THEN RETURN c_fsub; - ELSIF g_design_name = "disturb2_unb2b_station_bf" THEN RETURN c_bf; - ELSIF g_design_name = "disturb2_unb2b_station_bf_ring" THEN RETURN c_bf_ring; - ELSIF g_design_name = "disturb2_unb2b_station_xsub_one" THEN RETURN c_xsub_one; - ELSIF g_design_name = "disturb2_unb2b_station_xsub_ring" THEN RETURN c_xsub_ring; - ELSIF g_design_name = "disturb2_unb2b_station_full_wg" THEN RETURN c_full_wg; - ELSE RETURN c_full; - END IF; - END; - - -END disturb2_unb2b_station_pkg; - diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/mmm_disturb2_unb2b_station.vhd b/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/mmm_disturb2_unb2b_station.vhd deleted file mode 100644 index ba938717c799c8ded8982072c1667c681f9ca7a6..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/mmm_disturb2_unb2b_station.vhd +++ /dev/null @@ -1,1190 +0,0 @@ -------------------------------------------------------------------------------- --- --- Copyright 2020 --- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> --- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands --- --- Licensed under the Apache License, Version 2.0 (the "License"); --- you may not use this file except in compliance with the License. --- You may obtain a copy of the License at --- --- http://www.apache.org/licenses/LICENSE-2.0 --- --- Unless required by applicable law or agreed to in writing, software --- distributed under the License is distributed on an "AS IS" BASIS, --- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --- See the License for the specific language governing permissions and --- limitations under the License. --- -------------------------------------------------------------------------------- - -LIBRARY IEEE, common_lib, unb2b_board_lib, mm_lib, disturb_lib; -USE IEEE.STD_LOGIC_1164.ALL; -USE IEEE.NUMERIC_STD.ALL; -USE common_lib.common_pkg.ALL; -USE common_lib.common_mem_pkg.ALL; -USE unb2b_board_lib.unb2b_board_pkg.ALL; -USE unb2b_board_lib.unb2b_board_peripherals_pkg.ALL; -USE mm_lib.mm_file_pkg.ALL; -USE mm_lib.mm_file_unb_pkg.ALL; -USE work.qsys_disturb2_unb2b_station_pkg.ALL; -USE disturb_lib.disturb_pkg.ALL; - -ENTITY mmm_disturb2_unb2b_station IS - GENERIC ( - g_sim : BOOLEAN := FALSE; --FALSE: use QSYS; TRUE: use mm_file I/O - g_sim_unb_nr : NATURAL := 0; - g_sim_node_nr : NATURAL := 0 - ); - PORT ( - mm_rst : IN STD_LOGIC; - mm_clk : IN STD_LOGIC; - - pout_wdi : OUT STD_LOGIC; - - -- Manual WDI override - reg_wdi_copi : OUT t_mem_copi; - reg_wdi_cipo : IN t_mem_cipo; - - -- system_info - reg_unb_system_info_copi : OUT t_mem_copi; - reg_unb_system_info_cipo : IN t_mem_cipo; - rom_unb_system_info_copi : OUT t_mem_copi; - rom_unb_system_info_cipo : IN t_mem_cipo; - - -- UniBoard I2C sensors - reg_unb_sens_copi : OUT t_mem_copi; - reg_unb_sens_cipo : IN t_mem_cipo; - - reg_fpga_temp_sens_copi : OUT t_mem_copi; - reg_fpga_temp_sens_cipo : IN t_mem_cipo; - reg_fpga_voltage_sens_copi: OUT t_mem_copi; - reg_fpga_voltage_sens_cipo: IN t_mem_cipo; - - reg_unb_pmbus_copi : OUT t_mem_copi; - reg_unb_pmbus_cipo : IN t_mem_cipo; - - -- PPSH - reg_ppsh_copi : OUT t_mem_copi; - reg_ppsh_cipo : IN t_mem_cipo; - - -- eth1g - eth1g_mm_rst : OUT STD_LOGIC; - eth1g_tse_copi : OUT t_mem_copi; - eth1g_tse_cipo : IN t_mem_cipo; - eth1g_reg_copi : OUT t_mem_copi; - eth1g_reg_cipo : IN t_mem_cipo; - eth1g_reg_interrupt : IN STD_LOGIC; - eth1g_ram_copi : OUT t_mem_copi; - eth1g_ram_cipo : IN t_mem_cipo; - - -- EPCS read - reg_dpmm_data_copi : OUT t_mem_copi; - reg_dpmm_data_cipo : IN t_mem_cipo; - reg_dpmm_ctrl_copi : OUT t_mem_copi; - reg_dpmm_ctrl_cipo : IN t_mem_cipo; - - -- EPCS write - reg_mmdp_data_copi : OUT t_mem_copi; - reg_mmdp_data_cipo : IN t_mem_cipo; - reg_mmdp_ctrl_copi : OUT t_mem_copi; - reg_mmdp_ctrl_cipo : IN t_mem_cipo; - - -- EPCS status/control - reg_epcs_copi : OUT t_mem_copi; - reg_epcs_cipo : IN t_mem_cipo; - - -- Remote Update - reg_remu_copi : OUT t_mem_copi; - reg_remu_cipo : IN t_mem_cipo; - - -- Jesd control - jesd204b_copi : OUT t_mem_copi; - jesd204b_cipo : IN t_mem_cipo; - - -- Dp shiftram - reg_dp_shiftram_copi : OUT t_mem_copi; - reg_dp_shiftram_cipo : IN t_mem_cipo; - - -- Bsn source - reg_bsn_source_v2_copi : OUT t_mem_copi; - reg_bsn_source_v2_cipo : IN t_mem_cipo; - - -- bsn schduler for wg trigger - reg_bsn_scheduler_copi : OUT t_mem_copi; - reg_bsn_scheduler_cipo : IN t_mem_cipo; - - -- BSN Monitor - reg_bsn_monitor_input_copi : OUT t_mem_copi := c_mem_copi_rst; - reg_bsn_monitor_input_cipo : IN t_mem_cipo := c_mem_cipo_rst; - - -- MM wideband waveform generator registers [0,1,2,3] for signal paths [A,B,C,D] - reg_wg_copi : OUT t_mem_copi; - reg_wg_cipo : IN t_mem_cipo; - ram_wg_copi : OUT t_mem_copi; - ram_wg_cipo : IN t_mem_cipo; - - -- Bsn databuffer - ram_diag_data_buf_bsn_copi : OUT t_mem_copi; - ram_diag_data_buf_bsn_cipo : IN t_mem_cipo; - reg_diag_data_buf_bsn_copi : OUT t_mem_copi; - reg_diag_data_buf_bsn_cipo : IN t_mem_cipo; - - -- ST Histogram - ram_st_histogram_copi : OUT t_mem_copi; - ram_st_histogram_cipo : IN t_mem_cipo; - - -- Aduh - reg_aduh_monitor_copi : OUT t_mem_copi; - reg_aduh_monitor_cipo : IN t_mem_cipo; - - -- Subband statistics - ram_st_sst_copi : OUT t_mem_copi; - ram_st_sst_cipo : IN t_mem_cipo; - - -- Filter coefficients - ram_fil_coefs_copi : OUT t_mem_copi; - ram_fil_coefs_cipo : IN t_mem_cipo; - - -- Spectral Inversion - reg_si_copi : OUT t_mem_copi; - reg_si_cipo : IN t_mem_cipo; - - -- Equalizer gains - ram_equalizer_gains_copi : OUT t_mem_copi; - ram_equalizer_gains_cipo : IN t_mem_cipo; - - -- DP Selector - reg_dp_selector_copi : OUT t_mem_copi; - reg_dp_selector_cipo : IN t_mem_cipo; - - -- SDP Info - reg_disturb_info_copi : OUT t_mem_copi; - reg_disturb_info_cipo : IN t_mem_cipo; - - -- RING Info - reg_ring_info_copi : OUT t_mem_copi; - reg_ring_info_cipo : IN t_mem_cipo; - - -- Beamlet Subband Select - ram_ss_ss_wide_copi : OUT t_mem_copi; - ram_ss_ss_wide_cipo : IN t_mem_cipo; - - -- Local BF bf weights - ram_bf_weights_copi : OUT t_mem_copi; - ram_bf_weights_cipo : IN t_mem_cipo; - - -- BF bsn aligner_v2 - reg_bsn_align_v2_bf_copi : OUT t_mem_copi; - reg_bsn_align_v2_bf_cipo : IN t_mem_cipo; - - -- BF bsn aligner_v2 bsn monitors - reg_bsn_monitor_v2_rx_align_bf_copi : OUT t_mem_copi; - reg_bsn_monitor_v2_rx_align_bf_cipo : IN t_mem_cipo; - reg_bsn_monitor_v2_aligned_bf_copi : OUT t_mem_copi; - reg_bsn_monitor_v2_aligned_bf_cipo : IN t_mem_cipo; - - -- mms_dp_scale Scale Beamlets - reg_bf_scale_copi : OUT t_mem_copi; - reg_bf_scale_cipo : IN t_mem_cipo; - - -- Beamlet Data Output header fields - reg_hdr_dat_copi : OUT t_mem_copi; - reg_hdr_dat_cipo : IN t_mem_cipo; - - -- Beamlet Data Output xonoff - reg_dp_xonoff_copi : OUT t_mem_copi; - reg_dp_xonoff_cipo : IN t_mem_cipo; - - -- BF ring lane info - reg_ring_lane_info_bf_copi : OUT t_mem_copi; - reg_ring_lane_info_bf_cipo : IN t_mem_cipo; - - -- BF ring bsn monitor rx - reg_bsn_monitor_v2_ring_rx_bf_copi : OUT t_mem_copi; - reg_bsn_monitor_v2_ring_rx_bf_cipo : IN t_mem_cipo; - - -- BF ring bsn monitor tx - reg_bsn_monitor_v2_ring_tx_bf_copi : OUT t_mem_copi; - reg_bsn_monitor_v2_ring_tx_bf_cipo : IN t_mem_cipo; - - -- BF ring validate err - reg_dp_block_validate_err_bf_copi : OUT t_mem_copi; - reg_dp_block_validate_err_bf_cipo : IN t_mem_cipo; - - -- BF ring bsn at sync - reg_dp_block_validate_bsn_at_sync_bf_copi : OUT t_mem_copi; - reg_dp_block_validate_bsn_at_sync_bf_cipo : IN t_mem_cipo; - - -- Beamlet Statistics (BST) - ram_st_bst_copi : OUT t_mem_copi; - ram_st_bst_cipo : IN t_mem_cipo; - - -- Subband Statistics offload - reg_stat_enable_sst_copi : OUT t_mem_copi; - reg_stat_enable_sst_cipo : IN t_mem_cipo; - - -- Statistics header info - reg_stat_hdr_dat_sst_copi : OUT t_mem_copi; - reg_stat_hdr_dat_sst_cipo : IN t_mem_cipo; - - -- Crosslet Statistics offload - reg_stat_enable_xst_copi : OUT t_mem_copi; - reg_stat_enable_xst_cipo : IN t_mem_cipo; - - -- Crosslet Statistics header info - reg_stat_hdr_dat_xst_copi : OUT t_mem_copi; - reg_stat_hdr_dat_xst_cipo : IN t_mem_cipo; - - -- Beamlet Statistics offload - reg_stat_enable_bst_copi : OUT t_mem_copi; - reg_stat_enable_bst_cipo : IN t_mem_cipo; - - -- Beamlet Statistics header info - reg_stat_hdr_dat_bst_copi : OUT t_mem_copi; - reg_stat_hdr_dat_bst_cipo : IN t_mem_cipo; - - -- crosslets_info - reg_crosslets_info_copi : OUT t_mem_copi; - reg_crosslets_info_cipo : IN t_mem_cipo; - - -- crosslets_info - reg_nof_crosslets_copi : OUT t_mem_copi; - reg_nof_crosslets_cipo : IN t_mem_cipo; - - -- bsn_sync_scheduler_xsub - reg_bsn_sync_scheduler_xsub_copi : OUT t_mem_copi; - reg_bsn_sync_scheduler_xsub_cipo : IN t_mem_cipo; - - -- st_xsq (XST) - ram_st_xsq_copi : OUT t_mem_copi; - ram_st_xsq_cipo : IN t_mem_cipo; - - -- 10 GbE mac - reg_nw_10GbE_mac_copi : OUT t_mem_copi; - reg_nw_10GbE_mac_cipo : IN t_mem_cipo; - - -- 10 GbE eth - reg_nw_10GbE_eth10g_copi : OUT t_mem_copi; - reg_nw_10GbE_eth10g_cipo : IN t_mem_cipo; - - -- XST bsn aligner_v2 - reg_bsn_align_v2_xsub_copi : OUT t_mem_copi; - reg_bsn_align_v2_xsub_cipo : IN t_mem_cipo; - - -- XST bsn aligner_v2 bsn monitors - reg_bsn_monitor_v2_rx_align_xsub_copi : OUT t_mem_copi; - reg_bsn_monitor_v2_rx_align_xsub_cipo : IN t_mem_cipo; - reg_bsn_monitor_v2_aligned_xsub_copi : OUT t_mem_copi; - reg_bsn_monitor_v2_aligned_xsub_cipo : IN t_mem_cipo; - - -- XST UDP offload bsn monitor - reg_bsn_monitor_v2_xst_offload_copi : OUT t_mem_copi; - reg_bsn_monitor_v2_xst_offload_cipo : IN t_mem_cipo; - - -- BST UDP offload bsn monitor - reg_bsn_monitor_v2_bst_offload_copi : OUT t_mem_copi; - reg_bsn_monitor_v2_bst_offload_cipo : IN t_mem_cipo; - - -- Beamlet output bsn monitor - reg_bsn_monitor_v2_beamlet_output_copi : OUT t_mem_copi; - reg_bsn_monitor_v2_beamlet_output_cipo : IN t_mem_cipo; - - -- SST UDP offload bsn monitor - reg_bsn_monitor_v2_sst_offload_copi : OUT t_mem_copi; - reg_bsn_monitor_v2_sst_offload_cipo : IN t_mem_cipo; - - -- XST ring lane info - reg_ring_lane_info_xst_copi : OUT t_mem_copi; - reg_ring_lane_info_xst_cipo : IN t_mem_cipo; - - -- XST ring bsn monitor rx - reg_bsn_monitor_v2_ring_rx_xst_copi: OUT t_mem_copi; - reg_bsn_monitor_v2_ring_rx_xst_cipo: IN t_mem_cipo; - - -- XST ring bsn monitor tx - reg_bsn_monitor_v2_ring_tx_xst_copi : OUT t_mem_copi; - reg_bsn_monitor_v2_ring_tx_xst_cipo : IN t_mem_cipo; - - -- XST ring validate err - reg_dp_block_validate_err_xst_copi : OUT t_mem_copi; - reg_dp_block_validate_err_xst_cipo : IN t_mem_cipo; - - -- XST ring bsn at sync - reg_dp_block_validate_bsn_at_sync_xst_copi : OUT t_mem_copi; - reg_dp_block_validate_bsn_at_sync_xst_cipo : IN t_mem_cipo; - - -- XST ring MAC - reg_tr_10GbE_mac_copi : OUT t_mem_copi; - reg_tr_10GbE_mac_cipo : IN t_mem_cipo; - - -- XST ring ETH - reg_tr_10GbE_eth10g_copi : OUT t_mem_copi; - reg_tr_10GbE_eth10g_cipo : IN t_mem_cipo; - - -- Scrap ram - ram_scrap_copi : OUT t_mem_copi; - ram_scrap_cipo : IN t_mem_cipo; - - -- Jesd reset control - jesd_ctrl_copi : OUT t_mem_copi; - jesd_ctrl_cipo : IN t_mem_cipo - ); -END mmm_disturb2_unb2b_station; - -ARCHITECTURE str OF mmm_disturb2_unb2b_station IS - - CONSTANT c_sim_node_nr : NATURAL := g_sim_node_nr; - CONSTANT c_sim_node_type : STRING(1 TO 2):= "FN"; - - SIGNAL i_reset_n : STD_LOGIC; - - -BEGIN - - ---------------------------------------------------------------------------- - -- MM <-> file I/O for simulation. The files are created in $UPE/sim. - ---------------------------------------------------------------------------- - gen_mm_file_io : IF g_sim = TRUE GENERATE - - u_mm_file_reg_unb_system_info : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO") - PORT MAP(mm_rst, mm_clk, reg_unb_system_info_copi, reg_unb_system_info_cipo ); - - u_mm_file_rom_unb_system_info : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO") - PORT MAP(mm_rst, mm_clk, rom_unb_system_info_copi, rom_unb_system_info_cipo ); - - u_mm_file_reg_wdi : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI") - PORT MAP(mm_rst, mm_clk, reg_wdi_copi, reg_wdi_cipo ); - - u_mm_file_reg_unb_sens : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS") - PORT MAP(mm_rst, mm_clk, reg_unb_sens_copi, reg_unb_sens_cipo ); - - u_mm_file_reg_unb_pmbus : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS") - PORT MAP(mm_rst, mm_clk, reg_unb_pmbus_copi, reg_unb_pmbus_cipo ); - - u_mm_file_reg_fpga_temp_sens : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS") - PORT MAP(mm_rst, mm_clk, reg_fpga_temp_sens_copi, reg_fpga_temp_sens_cipo ); - - u_mm_file_reg_fpga_voltage_sens : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS") - PORT MAP(mm_rst, mm_clk, reg_fpga_voltage_sens_copi, reg_fpga_voltage_sens_cipo ); - - u_mm_file_reg_ppsh : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS") - PORT MAP(mm_rst, mm_clk, reg_ppsh_copi, reg_ppsh_cipo ); - - -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway. - u_mm_file_reg_eth : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG") - PORT MAP(mm_rst, mm_clk, eth1g_reg_copi, eth1g_reg_cipo ); - - u_mm_file_jesd204b : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "JESD204B") - PORT MAP(mm_rst, mm_clk, jesd204b_copi, jesd204b_cipo ); - - u_mm_file_reg_dp_shiftram : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SHIFTRAM") - PORT MAP(mm_rst, mm_clk, reg_dp_shiftram_copi, reg_dp_shiftram_cipo ); - - u_mm_file_reg_bsn_source_v2 : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SOURCE_V2") - PORT MAP(mm_rst, mm_clk, reg_bsn_source_v2_copi, reg_bsn_source_v2_cipo ); - - u_mm_file_reg_bsn_scheduler : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SCHEDULER") - PORT MAP(mm_rst, mm_clk, reg_bsn_scheduler_copi, reg_bsn_scheduler_cipo ); - - u_mm_file_reg_bsn_monitor_input : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_INPUT") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_input_copi, reg_bsn_monitor_input_cipo ); - - u_mm_file_reg_wg : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WG") - PORT MAP(mm_rst, mm_clk, reg_wg_copi, reg_wg_cipo ); - u_mm_file_ram_wg : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_WG") - PORT MAP(mm_rst, mm_clk, ram_wg_copi, ram_wg_cipo ); - - u_mm_file_ram_diag_data_buf_bsn : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_BSN") - PORT MAP(mm_rst, mm_clk, ram_diag_data_buf_bsn_copi, ram_diag_data_buf_bsn_cipo ); - u_mm_file_reg_diag_data_buf_bsn : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_BSN") - PORT MAP(mm_rst, mm_clk, reg_diag_data_buf_bsn_copi, reg_diag_data_buf_bsn_cipo ); - - u_mm_file_ram_st_histogram : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_HISTOGRAM") - PORT MAP(mm_rst, mm_clk, ram_st_histogram_copi, ram_st_histogram_cipo ); - - u_mm_file_reg_aduh_monitor : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ADUH_MONITOR") - PORT MAP(mm_rst, mm_clk, reg_aduh_monitor_copi, reg_aduh_monitor_cipo ); - - u_mm_file_ram_st_sst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_SST") - PORT MAP(mm_rst, mm_clk, ram_st_sst_copi, ram_st_sst_cipo ); - - u_mm_file_ram_fil_coefs : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_FIL_COEFS") - PORT MAP(mm_rst, mm_clk, ram_fil_coefs_copi, ram_fil_coefs_cipo ); - - u_mm_file_reg_si : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_SI") - PORT MAP(mm_rst, mm_clk, reg_si_copi, reg_si_cipo ); - - u_mm_file_ram_equalizer_gains : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_EQUALIZER_GAINS") - PORT MAP(mm_rst, mm_clk, ram_equalizer_gains_copi, ram_equalizer_gains_cipo ); - - u_mm_file_reg_dp_selector : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_SELECTOR") - PORT MAP(mm_rst, mm_clk, reg_dp_selector_copi, reg_dp_selector_cipo ); - - u_mm_file_reg_disturb_info : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_SDP_INFO") - PORT MAP(mm_rst, mm_clk, reg_disturb_info_copi, reg_disturb_info_cipo ); - - u_mm_file_reg_ring_info : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_INFO") - PORT MAP(mm_rst, mm_clk, reg_ring_info_copi, reg_ring_info_cipo ); - - u_mm_file_ram_ss_ss_wide : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SS_SS_WIDE") - PORT MAP(mm_rst, mm_clk, ram_ss_ss_wide_copi, ram_ss_ss_wide_cipo ); - - u_mm_file_ram_bf_weights : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_BF_WEIGHTS") - PORT MAP(mm_rst, mm_clk, ram_bf_weights_copi, ram_bf_weights_cipo ); - - u_mm_file_reg_bf_scale : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BF_SCALE") - PORT MAP(mm_rst, mm_clk, reg_bf_scale_copi, reg_bf_scale_cipo ); - - u_mm_file_reg_hdr_dat : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_HDR_DAT") - PORT MAP(mm_rst, mm_clk, reg_hdr_dat_copi, reg_hdr_dat_cipo ); - - u_mm_file_reg_dp_xonoff : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_XONOFF") - PORT MAP(mm_rst, mm_clk, reg_dp_xonoff_copi, reg_dp_xonoff_cipo ); - - u_mm_file_ram_st_bst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_BST") - PORT MAP(mm_rst, mm_clk, ram_st_bst_copi, ram_st_bst_cipo ); - - u_mm_file_reg_stat_enable_sst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_ENABLE_SST") - PORT MAP(mm_rst, mm_clk, reg_stat_enable_sst_copi, reg_stat_enable_sst_cipo ); - - u_mm_file_reg_stat_hdr_info_sst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_HDR_DAT_SST") - PORT MAP(mm_rst, mm_clk, reg_stat_hdr_dat_sst_copi, reg_stat_hdr_dat_sst_cipo); - - u_mm_file_reg_stat_enable_xst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_ENABLE_XST") - PORT MAP(mm_rst, mm_clk, reg_stat_enable_xst_copi, reg_stat_enable_xst_cipo ); - - u_mm_file_reg_stat_hdr_info_xst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_HDR_DAT_XST") - PORT MAP(mm_rst, mm_clk, reg_stat_hdr_dat_xst_copi, reg_stat_hdr_dat_xst_cipo); - - u_mm_file_reg_stat_enable_bst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_ENABLE_BST") - PORT MAP(mm_rst, mm_clk, reg_stat_enable_bst_copi, reg_stat_enable_bst_cipo ); - - u_mm_file_reg_stat_hdr_info_bst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STAT_HDR_DAT_BST") - PORT MAP(mm_rst, mm_clk, reg_stat_hdr_dat_bst_copi, reg_stat_hdr_dat_bst_cipo); - - u_mm_file_reg_crosslets_info : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_CROSSLETS_INFO") - PORT MAP(mm_rst, mm_clk, reg_crosslets_info_copi, reg_crosslets_info_cipo); - - u_mm_file_reg_nof_crosslets : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_NOF_CROSSLETS") - PORT MAP(mm_rst, mm_clk, reg_nof_crosslets_copi, reg_nof_crosslets_cipo); - - u_mm_file_reg_bsn_sync_scheduler_xsub : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_SYNC_SCHEDULER_XSUB") - PORT MAP(mm_rst, mm_clk, reg_bsn_sync_scheduler_xsub_copi, reg_bsn_sync_scheduler_xsub_cipo); - - u_mm_file_ram_st_xsq : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_ST_XSQ") - PORT MAP(mm_rst, mm_clk, ram_st_xsq_copi, ram_st_xsq_cipo); - - u_mm_file_reg_nw_10GbE_mac : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_NW_10GBE_MAC") - PORT MAP(mm_rst, mm_clk, reg_nw_10GbE_mac_copi, reg_nw_10GbE_mac_cipo ); - - u_mm_file_reg_nw_10GbE_eth10g : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_NW_10GBE_ETH10G") - PORT MAP(mm_rst, mm_clk, reg_nw_10GbE_eth10g_copi, reg_nw_10GbE_eth10g_cipo ); - - u_mm_file_reg_bsn_align_v2_bf : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_ALIGN_V2_BF") - PORT MAP(mm_rst, mm_clk, reg_bsn_align_v2_bf_copi, reg_bsn_align_v2_bf_cipo ); - - u_mm_file_reg_bsn_monitor_v2_rx_align_bf : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RX_ALIGN_BF") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_align_bf_copi, reg_bsn_monitor_v2_rx_align_bf_cipo ); - - u_mm_file_reg_bsn_monitor_v2_aligned_bf : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_ALIGNED_BF") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_aligned_bf_copi, reg_bsn_monitor_v2_aligned_bf_cipo ); - - u_mm_file_reg_ring_lane_info_bf : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_LANE_INFO_BF") - PORT MAP(mm_rst, mm_clk, reg_ring_lane_info_bf_copi, reg_ring_lane_info_bf_cipo ); - - u_mm_file_reg_bsn_monitor_v2_ring_rx_bf : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_RX_BF") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_bf_copi, reg_bsn_monitor_v2_ring_rx_bf_cipo ); - - u_mm_file_reg_bsn_monitor_v2_ring_tx_bf : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_TX_BF") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_bf_copi, reg_bsn_monitor_v2_ring_tx_bf_cipo ); - - u_mm_file_reg_dp_block_validate_err_bf : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_ERR_BF") - PORT MAP(mm_rst, mm_clk, reg_dp_block_validate_err_bf_copi, reg_dp_block_validate_err_bf_cipo ); - - u_mm_file_reg_dp_block_validate_bsn_at_sync_bf : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC_BF") - PORT MAP(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_bf_copi, reg_dp_block_validate_bsn_at_sync_bf_cipo ); - - u_mm_file_reg_bsn_align_v2_xsub : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_ALIGN_V2_XSUB") - PORT MAP(mm_rst, mm_clk, reg_bsn_align_v2_xsub_copi, reg_bsn_align_v2_xsub_cipo ); - - u_mm_file_reg_bsn_monitor_v2_rx_align_xsub : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RX_ALIGN_XSUB") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_align_xsub_copi, reg_bsn_monitor_v2_rx_align_xsub_cipo ); - - u_mm_file_reg_bsn_monitor_v2_aligned_xsub : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_ALIGNED_XSUB") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_aligned_xsub_copi, reg_bsn_monitor_v2_aligned_xsub_cipo ); - - u_mm_file_reg_bsn_monitor_v2_sst_offload : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_SST_OFFLOAD") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_sst_offload_copi, reg_bsn_monitor_v2_sst_offload_cipo ); - - u_mm_file_reg_bsn_monitor_v2_bst_offload : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_BST_OFFLOAD") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_bst_offload_copi, reg_bsn_monitor_v2_bst_offload_cipo ); - - u_mm_file_reg_bsn_monitor_v2_beamlet_output : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_BEAMLET_OUTPUT") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_beamlet_output_copi, reg_bsn_monitor_v2_beamlet_output_cipo ); - - u_mm_file_reg_bsn_monitor_v2_xst_offload : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_XST_OFFLOAD") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_xst_offload_copi, reg_bsn_monitor_v2_xst_offload_cipo ); - - u_mm_file_reg_ring_lane_info_xst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_RING_LANE_INFO_XST") - PORT MAP(mm_rst, mm_clk, reg_ring_lane_info_xst_copi, reg_ring_lane_info_xst_cipo ); - - u_mm_file_reg_bsn_monitor_v2_ring_rx_xst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_RX_XST") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_rx_xst_copi, reg_bsn_monitor_v2_ring_rx_xst_cipo ); - - u_mm_file_reg_bsn_monitor_v2_ring_tx_xst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RING_TX_XST") - PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_ring_tx_xst_copi, reg_bsn_monitor_v2_ring_tx_xst_cipo ); - - u_mm_file_reg_dp_block_validate_err_xst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_ERR_XST") - PORT MAP(mm_rst, mm_clk, reg_dp_block_validate_err_xst_copi, reg_dp_block_validate_err_xst_cipo ); - - u_mm_file_reg_dp_block_validate_bsn_at_sync_xst : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_BLOCK_VALIDATE_BSN_AT_SYNC_XST") - PORT MAP(mm_rst, mm_clk, reg_dp_block_validate_bsn_at_sync_xst_copi, reg_dp_block_validate_bsn_at_sync_xst_cipo ); - - u_mm_file_reg_tr_10GbE_mac : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_MAC") - PORT MAP(mm_rst, mm_clk, reg_tr_10GbE_mac_copi, reg_tr_10GbE_mac_cipo ); - - u_mm_file_reg_tr_10GbE_eth10g : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_ETH10G") - PORT MAP(mm_rst, mm_clk, reg_tr_10GbE_eth10g_copi, reg_tr_10GbE_eth10g_cipo ); - - u_mm_file_ram_scrap : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP") - PORT MAP(mm_rst, mm_clk, ram_scrap_copi, ram_scrap_cipo ); - ---------------------------------------------------------------------------- - -- Procedure that polls a sim control file that can be used to e.g. get - -- the simulation time in ns - ---------------------------------------------------------------------------- - mmf_poll_sim_ctrl_file(mm_clk, c_mmf_unb_file_path & "sim.ctrl", c_mmf_unb_file_path & "sim.stat"); - - END GENERATE; - - i_reset_n <= NOT mm_rst; - ---------------------------------------------------------------------------- - -- QSYS for synthesis - ---------------------------------------------------------------------------- - gen_qsys : IF g_sim = FALSE GENERATE - u_qsys : qsys_disturb2_unb2b_station - PORT MAP ( - - clk_clk => mm_clk, - reset_reset_n => i_reset_n, - - -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board. - pio_wdi_external_connection_export => pout_wdi, - - avs_eth_0_reset_export => eth1g_mm_rst, - avs_eth_0_clk_export => OPEN, - avs_eth_0_tse_address_export => eth1g_tse_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w-1 DOWNTO 0), - avs_eth_0_tse_write_export => eth1g_tse_copi.wr, - avs_eth_0_tse_read_export => eth1g_tse_copi.rd, - avs_eth_0_tse_writedata_export => eth1g_tse_copi.wrdata(c_word_w-1 DOWNTO 0), - avs_eth_0_tse_readdata_export => eth1g_tse_cipo.rddata(c_word_w-1 DOWNTO 0), - avs_eth_0_tse_waitrequest_export => eth1g_tse_cipo.waitrequest, - avs_eth_0_reg_address_export => eth1g_reg_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w-1 DOWNTO 0), - avs_eth_0_reg_write_export => eth1g_reg_copi.wr, - avs_eth_0_reg_read_export => eth1g_reg_copi.rd, - avs_eth_0_reg_writedata_export => eth1g_reg_copi.wrdata(c_word_w-1 DOWNTO 0), - avs_eth_0_reg_readdata_export => eth1g_reg_cipo.rddata(c_word_w-1 DOWNTO 0), - avs_eth_0_ram_address_export => eth1g_ram_copi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w-1 DOWNTO 0), - avs_eth_0_ram_write_export => eth1g_ram_copi.wr, - avs_eth_0_ram_read_export => eth1g_ram_copi.rd, - avs_eth_0_ram_writedata_export => eth1g_ram_copi.wrdata(c_word_w-1 DOWNTO 0), - avs_eth_0_ram_readdata_export => eth1g_ram_cipo.rddata(c_word_w-1 DOWNTO 0), - avs_eth_0_irq_export => eth1g_reg_interrupt, - - reg_unb_sens_reset_export => OPEN, - reg_unb_sens_clk_export => OPEN, - reg_unb_sens_address_export => reg_unb_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w-1 DOWNTO 0), - reg_unb_sens_write_export => reg_unb_sens_copi.wr, - reg_unb_sens_writedata_export => reg_unb_sens_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_unb_sens_read_export => reg_unb_sens_copi.rd, - reg_unb_sens_readdata_export => reg_unb_sens_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_unb_pmbus_reset_export => OPEN, - reg_unb_pmbus_clk_export => OPEN, - reg_unb_pmbus_address_export => reg_unb_pmbus_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w-1 DOWNTO 0), - reg_unb_pmbus_write_export => reg_unb_pmbus_copi.wr, - reg_unb_pmbus_writedata_export => reg_unb_pmbus_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_unb_pmbus_read_export => reg_unb_pmbus_copi.rd, - reg_unb_pmbus_readdata_export => reg_unb_pmbus_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_fpga_temp_sens_reset_export => OPEN, - reg_fpga_temp_sens_clk_export => OPEN, - reg_fpga_temp_sens_address_export => reg_fpga_temp_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w-1 DOWNTO 0), - reg_fpga_temp_sens_write_export => reg_fpga_temp_sens_copi.wr, - reg_fpga_temp_sens_writedata_export => reg_fpga_temp_sens_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_fpga_temp_sens_read_export => reg_fpga_temp_sens_copi.rd, - reg_fpga_temp_sens_readdata_export => reg_fpga_temp_sens_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_fpga_voltage_sens_reset_export => OPEN, - reg_fpga_voltage_sens_clk_export => OPEN, - reg_fpga_voltage_sens_address_export => reg_fpga_voltage_sens_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w-1 DOWNTO 0), - reg_fpga_voltage_sens_write_export => reg_fpga_voltage_sens_copi.wr, - reg_fpga_voltage_sens_writedata_export => reg_fpga_voltage_sens_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_fpga_voltage_sens_read_export => reg_fpga_voltage_sens_copi.rd, - reg_fpga_voltage_sens_readdata_export => reg_fpga_voltage_sens_cipo.rddata(c_word_w-1 DOWNTO 0), - - rom_system_info_reset_export => OPEN, - rom_system_info_clk_export => OPEN, --- ToDo: This has changed in the peripherals package --- rom_system_info_address_export => rom_unb_system_info_copi.address(9 DOWNTO 0), - rom_system_info_address_export => rom_unb_system_info_copi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w-1 DOWNTO 0), - rom_system_info_write_export => rom_unb_system_info_copi.wr, - rom_system_info_writedata_export => rom_unb_system_info_copi.wrdata(c_word_w-1 DOWNTO 0), - rom_system_info_read_export => rom_unb_system_info_copi.rd, - rom_system_info_readdata_export => rom_unb_system_info_cipo.rddata(c_word_w-1 DOWNTO 0), - - pio_system_info_reset_export => OPEN, - pio_system_info_clk_export => OPEN, - pio_system_info_address_export => reg_unb_system_info_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w-1 DOWNTO 0), - pio_system_info_write_export => reg_unb_system_info_copi.wr, - pio_system_info_writedata_export => reg_unb_system_info_copi.wrdata(c_word_w-1 DOWNTO 0), - pio_system_info_read_export => reg_unb_system_info_copi.rd, - pio_system_info_readdata_export => reg_unb_system_info_cipo.rddata(c_word_w-1 DOWNTO 0), - - pio_pps_reset_export => OPEN, - pio_pps_clk_export => OPEN, - pio_pps_address_export => reg_ppsh_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w-1 DOWNTO 0), - pio_pps_write_export => reg_ppsh_copi.wr, - pio_pps_writedata_export => reg_ppsh_copi.wrdata(c_word_w-1 DOWNTO 0), - pio_pps_read_export => reg_ppsh_copi.rd, - pio_pps_readdata_export => reg_ppsh_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_wdi_reset_export => OPEN, - reg_wdi_clk_export => OPEN, - reg_wdi_address_export => reg_wdi_copi.address(0 DOWNTO 0), - reg_wdi_write_export => reg_wdi_copi.wr, - reg_wdi_writedata_export => reg_wdi_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_wdi_read_export => reg_wdi_copi.rd, - reg_wdi_readdata_export => reg_wdi_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_remu_reset_export => OPEN, - reg_remu_clk_export => OPEN, - reg_remu_address_export => reg_remu_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w-1 DOWNTO 0), - reg_remu_write_export => reg_remu_copi.wr, - reg_remu_writedata_export => reg_remu_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_remu_read_export => reg_remu_copi.rd, - reg_remu_readdata_export => reg_remu_cipo.rddata(c_word_w-1 DOWNTO 0), - - jesd204b_reset_export => OPEN, - jesd204b_clk_export => OPEN, - jesd204b_address_export => jesd204b_copi.address(c_disturb_jesd204b_addr_w-1 DOWNTO 0), - jesd204b_write_export => jesd204b_copi.wr, - jesd204b_writedata_export => jesd204b_copi.wrdata(c_word_w-1 DOWNTO 0), - jesd204b_read_export => jesd204b_copi.rd, - jesd204b_readdata_export => jesd204b_cipo.rddata(c_word_w-1 DOWNTO 0), - - pio_jesd_ctrl_reset_export => OPEN, - pio_jesd_ctrl_clk_export => OPEN, - pio_jesd_ctrl_address_export => jesd_ctrl_copi.address(c_disturb_jesd_ctrl_addr_w-1 DOWNTO 0), - pio_jesd_ctrl_write_export => jesd_ctrl_copi.wr, - pio_jesd_ctrl_writedata_export => jesd_ctrl_copi.wrdata(c_word_w-1 DOWNTO 0), - pio_jesd_ctrl_read_export => jesd_ctrl_copi.rd, - pio_jesd_ctrl_readdata_export => jesd_ctrl_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_input_address_export => reg_bsn_monitor_input_copi.address(c_disturb_reg_bsn_monitor_input_addr_w-1 DOWNTO 0), - reg_bsn_monitor_input_clk_export => OPEN, - reg_bsn_monitor_input_read_export => reg_bsn_monitor_input_copi.rd, - reg_bsn_monitor_input_readdata_export => reg_bsn_monitor_input_cipo.rddata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_input_reset_export => OPEN, - reg_bsn_monitor_input_write_export => reg_bsn_monitor_input_copi.wr, - reg_bsn_monitor_input_writedata_export => reg_bsn_monitor_input_copi.wrdata(c_word_w-1 DOWNTO 0), - - -- waveform generators (multiplexed) - reg_wg_clk_export => OPEN, - reg_wg_reset_export => OPEN, - reg_wg_address_export => reg_wg_copi.address(c_disturb_reg_wg_addr_w-1 DOWNTO 0), - reg_wg_read_export => reg_wg_copi.rd, - reg_wg_readdata_export => reg_wg_cipo.rddata(c_word_w-1 DOWNTO 0), - reg_wg_write_export => reg_wg_copi.wr, - reg_wg_writedata_export => reg_wg_copi.wrdata(c_word_w-1 DOWNTO 0), - - ram_wg_clk_export => OPEN, - ram_wg_reset_export => OPEN, - ram_wg_address_export => ram_wg_copi.address(c_disturb_ram_wg_addr_w-1 DOWNTO 0), - ram_wg_read_export => ram_wg_copi.rd, - ram_wg_readdata_export => ram_wg_cipo.rddata(c_word_w-1 DOWNTO 0), - ram_wg_write_export => ram_wg_copi.wr, - ram_wg_writedata_export => ram_wg_copi.wrdata(c_word_w-1 DOWNTO 0), - - reg_dp_shiftram_clk_export => OPEN, - reg_dp_shiftram_reset_export => OPEN, - reg_dp_shiftram_address_export => reg_dp_shiftram_copi.address(c_disturb_reg_dp_shiftram_addr_w-1 DOWNTO 0), - reg_dp_shiftram_read_export => reg_dp_shiftram_copi.rd, - reg_dp_shiftram_readdata_export => reg_dp_shiftram_cipo.rddata(c_word_w-1 DOWNTO 0), - reg_dp_shiftram_write_export => reg_dp_shiftram_copi.wr, - reg_dp_shiftram_writedata_export => reg_dp_shiftram_copi.wrdata(c_word_w-1 DOWNTO 0), - - reg_bsn_source_v2_clk_export => OPEN, - reg_bsn_source_v2_reset_export => OPEN, - reg_bsn_source_v2_address_export => reg_bsn_source_v2_copi.address(c_disturb_reg_bsn_source_v2_addr_w-1 DOWNTO 0), - reg_bsn_source_v2_read_export => reg_bsn_source_v2_copi.rd, - reg_bsn_source_v2_readdata_export => reg_bsn_source_v2_cipo.rddata(c_word_w-1 DOWNTO 0), - reg_bsn_source_v2_write_export => reg_bsn_source_v2_copi.wr, - reg_bsn_source_v2_writedata_export => reg_bsn_source_v2_copi.wrdata(c_word_w-1 DOWNTO 0), - - reg_bsn_scheduler_clk_export => OPEN, - reg_bsn_scheduler_reset_export => OPEN, - reg_bsn_scheduler_address_export => reg_bsn_scheduler_copi.address(c_disturb_reg_bsn_scheduler_addr_w-1 DOWNTO 0), - reg_bsn_scheduler_read_export => reg_bsn_scheduler_copi.rd, - reg_bsn_scheduler_readdata_export => reg_bsn_scheduler_cipo.rddata(c_word_w-1 DOWNTO 0), - reg_bsn_scheduler_write_export => reg_bsn_scheduler_copi.wr, - reg_bsn_scheduler_writedata_export => reg_bsn_scheduler_copi.wrdata(c_word_w-1 DOWNTO 0), - - reg_epcs_reset_export => OPEN, - reg_epcs_clk_export => OPEN, - reg_epcs_address_export => reg_epcs_copi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w-1 DOWNTO 0), - reg_epcs_write_export => reg_epcs_copi.wr, - reg_epcs_writedata_export => reg_epcs_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_epcs_read_export => reg_epcs_copi.rd, - reg_epcs_readdata_export => reg_epcs_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_dpmm_ctrl_reset_export => OPEN, - reg_dpmm_ctrl_clk_export => OPEN, - reg_dpmm_ctrl_address_export => reg_dpmm_ctrl_copi.address(0 DOWNTO 0), - reg_dpmm_ctrl_write_export => reg_dpmm_ctrl_copi.wr, - reg_dpmm_ctrl_writedata_export => reg_dpmm_ctrl_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_dpmm_ctrl_read_export => reg_dpmm_ctrl_copi.rd, - reg_dpmm_ctrl_readdata_export => reg_dpmm_ctrl_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_mmdp_data_reset_export => OPEN, - reg_mmdp_data_clk_export => OPEN, - reg_mmdp_data_address_export => reg_mmdp_data_copi.address(0 DOWNTO 0), - reg_mmdp_data_write_export => reg_mmdp_data_copi.wr, - reg_mmdp_data_writedata_export => reg_mmdp_data_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_mmdp_data_read_export => reg_mmdp_data_copi.rd, - reg_mmdp_data_readdata_export => reg_mmdp_data_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_dpmm_data_reset_export => OPEN, - reg_dpmm_data_clk_export => OPEN, - reg_dpmm_data_address_export => reg_dpmm_data_copi.address(0 DOWNTO 0), - reg_dpmm_data_read_export => reg_dpmm_data_copi.rd, - reg_dpmm_data_readdata_export => reg_dpmm_data_cipo.rddata(c_word_w-1 DOWNTO 0), - reg_dpmm_data_write_export => reg_dpmm_data_copi.wr, - reg_dpmm_data_writedata_export => reg_dpmm_data_copi.wrdata(c_word_w-1 DOWNTO 0), - - reg_mmdp_ctrl_reset_export => OPEN, - reg_mmdp_ctrl_clk_export => OPEN, - reg_mmdp_ctrl_address_export => reg_mmdp_ctrl_copi.address(0 DOWNTO 0), - reg_mmdp_ctrl_read_export => reg_mmdp_ctrl_copi.rd, - reg_mmdp_ctrl_readdata_export => reg_mmdp_ctrl_cipo.rddata(c_word_w-1 DOWNTO 0), - reg_mmdp_ctrl_write_export => reg_mmdp_ctrl_copi.wr, - reg_mmdp_ctrl_writedata_export => reg_mmdp_ctrl_copi.wrdata(c_word_w-1 DOWNTO 0), - - ram_diag_data_buffer_bsn_clk_export => OPEN, - ram_diag_data_buffer_bsn_reset_export => OPEN, - ram_diag_data_buffer_bsn_address_export => ram_diag_data_buf_bsn_copi.address(c_disturb_ram_diag_data_buf_bsn_addr_w-1 DOWNTO 0), - ram_diag_data_buffer_bsn_write_export => ram_diag_data_buf_bsn_copi.wr, - ram_diag_data_buffer_bsn_writedata_export => ram_diag_data_buf_bsn_copi.wrdata(c_word_w-1 DOWNTO 0), - ram_diag_data_buffer_bsn_read_export => ram_diag_data_buf_bsn_copi.rd, - ram_diag_data_buffer_bsn_readdata_export => ram_diag_data_buf_bsn_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_diag_data_buffer_bsn_reset_export => OPEN, - reg_diag_data_buffer_bsn_clk_export => OPEN, - reg_diag_data_buffer_bsn_address_export => reg_diag_data_buf_bsn_copi.address(c_disturb_reg_diag_data_buf_bsn_addr_w-1 DOWNTO 0), - reg_diag_data_buffer_bsn_write_export => reg_diag_data_buf_bsn_copi.wr, - reg_diag_data_buffer_bsn_writedata_export => reg_diag_data_buf_bsn_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_diag_data_buffer_bsn_read_export => reg_diag_data_buf_bsn_copi.rd, - reg_diag_data_buffer_bsn_readdata_export => reg_diag_data_buf_bsn_cipo.rddata(c_word_w-1 DOWNTO 0), - - ram_st_histogram_clk_export => OPEN, - ram_st_histogram_reset_export => OPEN, - ram_st_histogram_address_export => ram_st_histogram_copi.address(c_disturb_ram_st_histogram_addr_w-1 DOWNTO 0), - ram_st_histogram_write_export => ram_st_histogram_copi.wr, - ram_st_histogram_writedata_export => ram_st_histogram_copi.wrdata(c_word_w-1 DOWNTO 0), - ram_st_histogram_read_export => ram_st_histogram_copi.rd, - ram_st_histogram_readdata_export => ram_st_histogram_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_aduh_monitor_reset_export => OPEN, - reg_aduh_monitor_clk_export => OPEN, - reg_aduh_monitor_address_export => reg_aduh_monitor_copi.address(c_disturb_reg_aduh_monitor_addr_w-1 DOWNTO 0), - reg_aduh_monitor_write_export => reg_aduh_monitor_copi.wr, - reg_aduh_monitor_writedata_export => reg_aduh_monitor_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_aduh_monitor_read_export => reg_aduh_monitor_copi.rd, - reg_aduh_monitor_readdata_export => reg_aduh_monitor_cipo.rddata(c_word_w-1 DOWNTO 0), - - ram_fil_coefs_clk_export => OPEN, - ram_fil_coefs_reset_export => OPEN, - ram_fil_coefs_address_export => ram_fil_coefs_copi.address(c_disturb_ram_fil_coefs_addr_w-1 DOWNTO 0), - ram_fil_coefs_write_export => ram_fil_coefs_copi.wr, - ram_fil_coefs_writedata_export => ram_fil_coefs_copi.wrdata(c_word_w-1 DOWNTO 0), - ram_fil_coefs_read_export => ram_fil_coefs_copi.rd, - ram_fil_coefs_readdata_export => ram_fil_coefs_cipo.rddata(c_word_w-1 DOWNTO 0), - - ram_st_sst_clk_export => OPEN, - ram_st_sst_reset_export => OPEN, - ram_st_sst_address_export => ram_st_sst_copi.address(c_disturb_ram_st_sst_addr_w-1 DOWNTO 0), - ram_st_sst_write_export => ram_st_sst_copi.wr, - ram_st_sst_writedata_export => ram_st_sst_copi.wrdata(c_word_w-1 DOWNTO 0), - ram_st_sst_read_export => ram_st_sst_copi.rd, - ram_st_sst_readdata_export => ram_st_sst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_si_clk_export => OPEN, - reg_si_reset_export => OPEN, - reg_si_address_export => reg_si_copi.address(c_disturb_reg_si_addr_w-1 DOWNTO 0), - reg_si_write_export => reg_si_copi.wr, - reg_si_writedata_export => reg_si_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_si_read_export => reg_si_copi.rd, - reg_si_readdata_export => reg_si_cipo.rddata(c_word_w-1 DOWNTO 0), - - ram_equalizer_gains_clk_export => OPEN, - ram_equalizer_gains_reset_export => OPEN, - ram_equalizer_gains_address_export => ram_equalizer_gains_copi.address(c_disturb_ram_equalizer_gains_addr_w-1 DOWNTO 0), - ram_equalizer_gains_write_export => ram_equalizer_gains_copi.wr, - ram_equalizer_gains_writedata_export => ram_equalizer_gains_copi.wrdata(c_word_w-1 DOWNTO 0), - ram_equalizer_gains_read_export => ram_equalizer_gains_copi.rd, - ram_equalizer_gains_readdata_export => ram_equalizer_gains_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_dp_selector_clk_export => OPEN, - reg_dp_selector_reset_export => OPEN, - reg_dp_selector_address_export => reg_dp_selector_copi.address(c_disturb_reg_dp_selector_addr_w-1 DOWNTO 0), - reg_dp_selector_write_export => reg_dp_selector_copi.wr, - reg_dp_selector_writedata_export => reg_dp_selector_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_dp_selector_read_export => reg_dp_selector_copi.rd, - reg_dp_selector_readdata_export => reg_dp_selector_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_sdp_info_clk_export => OPEN, - reg_sdp_info_reset_export => OPEN, - reg_sdp_info_address_export => reg_disturb_info_copi.address(c_disturb_reg_disturb_info_addr_w-1 DOWNTO 0), - reg_sdp_info_write_export => reg_disturb_info_copi.wr, - reg_sdp_info_writedata_export => reg_disturb_info_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_sdp_info_read_export => reg_disturb_info_copi.rd, - reg_sdp_info_readdata_export => reg_disturb_info_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_ring_info_clk_export => OPEN, - reg_ring_info_reset_export => OPEN, - reg_ring_info_address_export => reg_ring_info_copi.address(c_disturb_reg_ring_info_addr_w-1 DOWNTO 0), - reg_ring_info_write_export => reg_ring_info_copi.wr, - reg_ring_info_writedata_export => reg_ring_info_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_ring_info_read_export => reg_ring_info_copi.rd, - reg_ring_info_readdata_export => reg_ring_info_cipo.rddata(c_word_w-1 DOWNTO 0), - - ram_ss_ss_wide_clk_export => OPEN, - ram_ss_ss_wide_reset_export => OPEN, - ram_ss_ss_wide_address_export => ram_ss_ss_wide_copi.address(c_disturb_ram_ss_ss_wide_addr_w-1 DOWNTO 0), - ram_ss_ss_wide_write_export => ram_ss_ss_wide_copi.wr, - ram_ss_ss_wide_writedata_export => ram_ss_ss_wide_copi.wrdata(c_word_w-1 DOWNTO 0), - ram_ss_ss_wide_read_export => ram_ss_ss_wide_copi.rd, - ram_ss_ss_wide_readdata_export => ram_ss_ss_wide_cipo.rddata(c_word_w-1 DOWNTO 0), - - ram_bf_weights_clk_export => OPEN, - ram_bf_weights_reset_export => OPEN, - ram_bf_weights_address_export => ram_bf_weights_copi.address(c_disturb_ram_bf_weights_addr_w-1 DOWNTO 0), - ram_bf_weights_write_export => ram_bf_weights_copi.wr, - ram_bf_weights_writedata_export => ram_bf_weights_copi.wrdata(c_word_w-1 DOWNTO 0), - ram_bf_weights_read_export => ram_bf_weights_copi.rd, - ram_bf_weights_readdata_export => ram_bf_weights_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bf_scale_clk_export => OPEN, - reg_bf_scale_reset_export => OPEN, - reg_bf_scale_address_export => reg_bf_scale_copi.address(c_disturb_reg_bf_scale_addr_w-1 DOWNTO 0), - reg_bf_scale_write_export => reg_bf_scale_copi.wr, - reg_bf_scale_writedata_export => reg_bf_scale_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bf_scale_read_export => reg_bf_scale_copi.rd, - reg_bf_scale_readdata_export => reg_bf_scale_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_hdr_dat_clk_export => OPEN, - reg_hdr_dat_reset_export => OPEN, - reg_hdr_dat_address_export => reg_hdr_dat_copi.address(c_disturb_reg_bf_hdr_dat_addr_w-1 DOWNTO 0), - reg_hdr_dat_write_export => reg_hdr_dat_copi.wr, - reg_hdr_dat_writedata_export => reg_hdr_dat_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_hdr_dat_read_export => reg_hdr_dat_copi.rd, - reg_hdr_dat_readdata_export => reg_hdr_dat_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_dp_xonoff_clk_export => OPEN, - reg_dp_xonoff_reset_export => OPEN, - reg_dp_xonoff_address_export => reg_dp_xonoff_copi.address(c_disturb_reg_dp_xonoff_addr_w-1 DOWNTO 0), - reg_dp_xonoff_write_export => reg_dp_xonoff_copi.wr, - reg_dp_xonoff_writedata_export => reg_dp_xonoff_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_dp_xonoff_read_export => reg_dp_xonoff_copi.rd, - reg_dp_xonoff_readdata_export => reg_dp_xonoff_cipo.rddata(c_word_w-1 DOWNTO 0), - - ram_st_bst_clk_export => OPEN, - ram_st_bst_reset_export => OPEN, - ram_st_bst_address_export => ram_st_bst_copi.address(c_disturb_ram_st_bst_addr_w-1 DOWNTO 0), - ram_st_bst_write_export => ram_st_bst_copi.wr, - ram_st_bst_writedata_export => ram_st_bst_copi.wrdata(c_word_w-1 DOWNTO 0), - ram_st_bst_read_export => ram_st_bst_copi.rd, - ram_st_bst_readdata_export => ram_st_bst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_stat_enable_sst_clk_export => OPEN, - reg_stat_enable_sst_reset_export => OPEN, - reg_stat_enable_sst_address_export => reg_stat_enable_sst_copi.address(c_disturb_reg_stat_enable_addr_w-1 DOWNTO 0), - reg_stat_enable_sst_write_export => reg_stat_enable_sst_copi.wr, - reg_stat_enable_sst_writedata_export => reg_stat_enable_sst_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_stat_enable_sst_read_export => reg_stat_enable_sst_copi.rd, - reg_stat_enable_sst_readdata_export => reg_stat_enable_sst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_stat_hdr_dat_sst_clk_export => OPEN, - reg_stat_hdr_dat_sst_reset_export => OPEN, - reg_stat_hdr_dat_sst_address_export => reg_stat_hdr_dat_sst_copi.address(c_disturb_reg_stat_hdr_dat_addr_w-1 DOWNTO 0), - reg_stat_hdr_dat_sst_write_export => reg_stat_hdr_dat_sst_copi.wr, - reg_stat_hdr_dat_sst_writedata_export => reg_stat_hdr_dat_sst_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_stat_hdr_dat_sst_read_export => reg_stat_hdr_dat_sst_copi.rd, - reg_stat_hdr_dat_sst_readdata_export => reg_stat_hdr_dat_sst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_stat_enable_xst_clk_export => OPEN, - reg_stat_enable_xst_reset_export => OPEN, - reg_stat_enable_xst_address_export => reg_stat_enable_xst_copi.address(c_disturb_reg_stat_enable_addr_w-1 DOWNTO 0), - reg_stat_enable_xst_write_export => reg_stat_enable_xst_copi.wr, - reg_stat_enable_xst_writedata_export => reg_stat_enable_xst_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_stat_enable_xst_read_export => reg_stat_enable_xst_copi.rd, - reg_stat_enable_xst_readdata_export => reg_stat_enable_xst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_stat_hdr_dat_xst_clk_export => OPEN, - reg_stat_hdr_dat_xst_reset_export => OPEN, - reg_stat_hdr_dat_xst_address_export => reg_stat_hdr_dat_xst_copi.address(c_disturb_reg_stat_hdr_dat_addr_w-1 DOWNTO 0), - reg_stat_hdr_dat_xst_write_export => reg_stat_hdr_dat_xst_copi.wr, - reg_stat_hdr_dat_xst_writedata_export => reg_stat_hdr_dat_xst_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_stat_hdr_dat_xst_read_export => reg_stat_hdr_dat_xst_copi.rd, - reg_stat_hdr_dat_xst_readdata_export => reg_stat_hdr_dat_xst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_stat_enable_bst_clk_export => OPEN, - reg_stat_enable_bst_reset_export => OPEN, - reg_stat_enable_bst_address_export => reg_stat_enable_bst_copi.address(c_disturb_reg_stat_enable_bst_addr_w-1 DOWNTO 0), - reg_stat_enable_bst_write_export => reg_stat_enable_bst_copi.wr, - reg_stat_enable_bst_writedata_export => reg_stat_enable_bst_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_stat_enable_bst_read_export => reg_stat_enable_bst_copi.rd, - reg_stat_enable_bst_readdata_export => reg_stat_enable_bst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_stat_hdr_dat_bst_clk_export => OPEN, - reg_stat_hdr_dat_bst_reset_export => OPEN, - reg_stat_hdr_dat_bst_address_export => reg_stat_hdr_dat_bst_copi.address(c_disturb_reg_stat_hdr_dat_bst_addr_w-1 DOWNTO 0), - reg_stat_hdr_dat_bst_write_export => reg_stat_hdr_dat_bst_copi.wr, - reg_stat_hdr_dat_bst_writedata_export => reg_stat_hdr_dat_bst_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_stat_hdr_dat_bst_read_export => reg_stat_hdr_dat_bst_copi.rd, - reg_stat_hdr_dat_bst_readdata_export => reg_stat_hdr_dat_bst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_crosslets_info_clk_export => OPEN, - reg_crosslets_info_reset_export => OPEN, - reg_crosslets_info_address_export => reg_crosslets_info_copi.address(c_disturb_reg_crosslets_info_addr_w-1 DOWNTO 0), - reg_crosslets_info_write_export => reg_crosslets_info_copi.wr, - reg_crosslets_info_writedata_export => reg_crosslets_info_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_crosslets_info_read_export => reg_crosslets_info_copi.rd, - reg_crosslets_info_readdata_export => reg_crosslets_info_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_nof_crosslets_clk_export => OPEN, - reg_nof_crosslets_reset_export => OPEN, - reg_nof_crosslets_address_export => reg_nof_crosslets_copi.address(c_disturb_reg_nof_crosslets_addr_w-1 DOWNTO 0), - reg_nof_crosslets_write_export => reg_nof_crosslets_copi.wr, - reg_nof_crosslets_writedata_export => reg_nof_crosslets_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_nof_crosslets_read_export => reg_nof_crosslets_copi.rd, - reg_nof_crosslets_readdata_export => reg_nof_crosslets_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_sync_scheduler_xsub_clk_export => OPEN, - reg_bsn_sync_scheduler_xsub_reset_export => OPEN, - reg_bsn_sync_scheduler_xsub_address_export => reg_bsn_sync_scheduler_xsub_copi.address(c_disturb_reg_bsn_sync_scheduler_xsub_addr_w-1 DOWNTO 0), - reg_bsn_sync_scheduler_xsub_write_export => reg_bsn_sync_scheduler_xsub_copi.wr, - reg_bsn_sync_scheduler_xsub_writedata_export => reg_bsn_sync_scheduler_xsub_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_sync_scheduler_xsub_read_export => reg_bsn_sync_scheduler_xsub_copi.rd, - reg_bsn_sync_scheduler_xsub_readdata_export => reg_bsn_sync_scheduler_xsub_cipo.rddata(c_word_w-1 DOWNTO 0), - - ram_st_xsq_clk_export => OPEN, - ram_st_xsq_reset_export => OPEN, - ram_st_xsq_address_export => ram_st_xsq_copi.address(c_disturb_ram_st_xsq_arr_addr_w-1 DOWNTO 0), - ram_st_xsq_write_export => ram_st_xsq_copi.wr, - ram_st_xsq_writedata_export => ram_st_xsq_copi.wrdata(c_word_w-1 DOWNTO 0), - ram_st_xsq_read_export => ram_st_xsq_copi.rd, - ram_st_xsq_readdata_export => ram_st_xsq_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_nw_10GbE_mac_clk_export => OPEN, - reg_nw_10GbE_mac_reset_export => OPEN, - reg_nw_10GbE_mac_address_export => reg_nw_10GbE_mac_copi.address(c_disturb_reg_nw_10GbE_mac_addr_w-1 DOWNTO 0), - reg_nw_10GbE_mac_write_export => reg_nw_10GbE_mac_copi.wr, - reg_nw_10GbE_mac_writedata_export => reg_nw_10GbE_mac_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_nw_10GbE_mac_read_export => reg_nw_10GbE_mac_copi.rd, - reg_nw_10GbE_mac_readdata_export => reg_nw_10GbE_mac_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_nw_10GbE_eth10g_clk_export => OPEN, - reg_nw_10GbE_eth10g_reset_export => OPEN, - reg_nw_10GbE_eth10g_address_export => reg_nw_10GbE_eth10g_copi.address(c_disturb_reg_nw_10GbE_eth10g_addr_w-1 DOWNTO 0), - reg_nw_10GbE_eth10g_write_export => reg_nw_10GbE_eth10g_copi.wr, - reg_nw_10GbE_eth10g_writedata_export => reg_nw_10GbE_eth10g_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_nw_10GbE_eth10g_read_export => reg_nw_10GbE_eth10g_copi.rd, - reg_nw_10GbE_eth10g_readdata_export => reg_nw_10GbE_eth10g_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_align_v2_bf_clk_export => OPEN, - reg_bsn_align_v2_bf_reset_export => OPEN, - reg_bsn_align_v2_bf_address_export => reg_bsn_align_v2_bf_copi.address(c_disturb_reg_bsn_align_v2_bf_addr_w-1 DOWNTO 0), - reg_bsn_align_v2_bf_write_export => reg_bsn_align_v2_bf_copi.wr, - reg_bsn_align_v2_bf_writedata_export => reg_bsn_align_v2_bf_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_align_v2_bf_read_export => reg_bsn_align_v2_bf_copi.rd, - reg_bsn_align_v2_bf_readdata_export => reg_bsn_align_v2_bf_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_v2_rx_align_bf_clk_export => OPEN, - reg_bsn_monitor_v2_rx_align_bf_reset_export => OPEN, - reg_bsn_monitor_v2_rx_align_bf_address_export => reg_bsn_monitor_v2_rx_align_bf_copi.address(c_disturb_reg_bsn_monitor_v2_rx_align_bf_addr_w-1 DOWNTO 0), - reg_bsn_monitor_v2_rx_align_bf_write_export => reg_bsn_monitor_v2_rx_align_bf_copi.wr, - reg_bsn_monitor_v2_rx_align_bf_writedata_export => reg_bsn_monitor_v2_rx_align_bf_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_v2_rx_align_bf_read_export => reg_bsn_monitor_v2_rx_align_bf_copi.rd, - reg_bsn_monitor_v2_rx_align_bf_readdata_export => reg_bsn_monitor_v2_rx_align_bf_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_v2_aligned_bf_clk_export => OPEN, - reg_bsn_monitor_v2_aligned_bf_reset_export => OPEN, - reg_bsn_monitor_v2_aligned_bf_address_export => reg_bsn_monitor_v2_aligned_bf_copi.address(c_disturb_reg_bsn_monitor_v2_aligned_bf_addr_w-1 DOWNTO 0), - reg_bsn_monitor_v2_aligned_bf_write_export => reg_bsn_monitor_v2_aligned_bf_copi.wr, - reg_bsn_monitor_v2_aligned_bf_writedata_export => reg_bsn_monitor_v2_aligned_bf_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_v2_aligned_bf_read_export => reg_bsn_monitor_v2_aligned_bf_copi.rd, - reg_bsn_monitor_v2_aligned_bf_readdata_export => reg_bsn_monitor_v2_aligned_bf_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_align_v2_xsub_clk_export => OPEN, - reg_bsn_align_v2_xsub_reset_export => OPEN, - reg_bsn_align_v2_xsub_address_export => reg_bsn_align_v2_xsub_copi.address(c_disturb_reg_bsn_align_v2_xsub_addr_w-1 DOWNTO 0), - reg_bsn_align_v2_xsub_write_export => reg_bsn_align_v2_xsub_copi.wr, - reg_bsn_align_v2_xsub_writedata_export => reg_bsn_align_v2_xsub_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_align_v2_xsub_read_export => reg_bsn_align_v2_xsub_copi.rd, - reg_bsn_align_v2_xsub_readdata_export => reg_bsn_align_v2_xsub_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_v2_rx_align_xsub_clk_export => OPEN, - reg_bsn_monitor_v2_rx_align_xsub_reset_export => OPEN, - reg_bsn_monitor_v2_rx_align_xsub_address_export => reg_bsn_monitor_v2_rx_align_xsub_copi.address(c_disturb_reg_bsn_monitor_v2_rx_align_xsub_addr_w-1 DOWNTO 0), - reg_bsn_monitor_v2_rx_align_xsub_write_export => reg_bsn_monitor_v2_rx_align_xsub_copi.wr, - reg_bsn_monitor_v2_rx_align_xsub_writedata_export => reg_bsn_monitor_v2_rx_align_xsub_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_v2_rx_align_xsub_read_export => reg_bsn_monitor_v2_rx_align_xsub_copi.rd, - reg_bsn_monitor_v2_rx_align_xsub_readdata_export => reg_bsn_monitor_v2_rx_align_xsub_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_v2_aligned_xsub_clk_export => OPEN, - reg_bsn_monitor_v2_aligned_xsub_reset_export => OPEN, - reg_bsn_monitor_v2_aligned_xsub_address_export => reg_bsn_monitor_v2_aligned_xsub_copi.address(c_disturb_reg_bsn_monitor_v2_aligned_xsub_addr_w-1 DOWNTO 0), - reg_bsn_monitor_v2_aligned_xsub_write_export => reg_bsn_monitor_v2_aligned_xsub_copi.wr, - reg_bsn_monitor_v2_aligned_xsub_writedata_export => reg_bsn_monitor_v2_aligned_xsub_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_v2_aligned_xsub_read_export => reg_bsn_monitor_v2_aligned_xsub_copi.rd, - reg_bsn_monitor_v2_aligned_xsub_readdata_export => reg_bsn_monitor_v2_aligned_xsub_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_v2_sst_offload_clk_export => OPEN, - reg_bsn_monitor_v2_sst_offload_reset_export => OPEN, - reg_bsn_monitor_v2_sst_offload_address_export => reg_bsn_monitor_v2_sst_offload_copi.address(c_disturb_reg_bsn_monitor_v2_sst_offload_addr_w-1 DOWNTO 0), - reg_bsn_monitor_v2_sst_offload_write_export => reg_bsn_monitor_v2_sst_offload_copi.wr, - reg_bsn_monitor_v2_sst_offload_writedata_export => reg_bsn_monitor_v2_sst_offload_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_v2_sst_offload_read_export => reg_bsn_monitor_v2_sst_offload_copi.rd, - reg_bsn_monitor_v2_sst_offload_readdata_export => reg_bsn_monitor_v2_sst_offload_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_v2_bst_offload_clk_export => OPEN, - reg_bsn_monitor_v2_bst_offload_reset_export => OPEN, - reg_bsn_monitor_v2_bst_offload_address_export => reg_bsn_monitor_v2_bst_offload_copi.address(c_disturb_reg_bsn_monitor_v2_bst_offload_addr_w-1 DOWNTO 0), - reg_bsn_monitor_v2_bst_offload_write_export => reg_bsn_monitor_v2_bst_offload_copi.wr, - reg_bsn_monitor_v2_bst_offload_writedata_export => reg_bsn_monitor_v2_bst_offload_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_v2_bst_offload_read_export => reg_bsn_monitor_v2_bst_offload_copi.rd, - reg_bsn_monitor_v2_bst_offload_readdata_export => reg_bsn_monitor_v2_bst_offload_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_v2_beamlet_output_clk_export => OPEN, - reg_bsn_monitor_v2_beamlet_output_reset_export => OPEN, - reg_bsn_monitor_v2_beamlet_output_address_export => reg_bsn_monitor_v2_beamlet_output_copi.address(c_disturb_reg_bsn_monitor_v2_beamlet_output_addr_w-1 DOWNTO 0), - reg_bsn_monitor_v2_beamlet_output_write_export => reg_bsn_monitor_v2_beamlet_output_copi.wr, - reg_bsn_monitor_v2_beamlet_output_writedata_export => reg_bsn_monitor_v2_beamlet_output_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_v2_beamlet_output_read_export => reg_bsn_monitor_v2_beamlet_output_copi.rd, - reg_bsn_monitor_v2_beamlet_output_readdata_export => reg_bsn_monitor_v2_beamlet_output_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_v2_xst_offload_clk_export => OPEN, - reg_bsn_monitor_v2_xst_offload_reset_export => OPEN, - reg_bsn_monitor_v2_xst_offload_address_export => reg_bsn_monitor_v2_xst_offload_copi.address(c_disturb_reg_bsn_monitor_v2_xst_offload_addr_w-1 DOWNTO 0), - reg_bsn_monitor_v2_xst_offload_write_export => reg_bsn_monitor_v2_xst_offload_copi.wr, - reg_bsn_monitor_v2_xst_offload_writedata_export => reg_bsn_monitor_v2_xst_offload_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_v2_xst_offload_read_export => reg_bsn_monitor_v2_xst_offload_copi.rd, - reg_bsn_monitor_v2_xst_offload_readdata_export => reg_bsn_monitor_v2_xst_offload_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_ring_lane_info_bf_clk_export => OPEN, - reg_ring_lane_info_bf_reset_export => OPEN, - reg_ring_lane_info_bf_address_export => reg_ring_lane_info_bf_copi.address(c_disturb_reg_ring_lane_info_bf_addr_w-1 DOWNTO 0), - reg_ring_lane_info_bf_write_export => reg_ring_lane_info_bf_copi.wr, - reg_ring_lane_info_bf_writedata_export => reg_ring_lane_info_bf_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_ring_lane_info_bf_read_export => reg_ring_lane_info_bf_copi.rd, - reg_ring_lane_info_bf_readdata_export => reg_ring_lane_info_bf_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_v2_ring_rx_bf_clk_export => OPEN, - reg_bsn_monitor_v2_ring_rx_bf_reset_export => OPEN, - reg_bsn_monitor_v2_ring_rx_bf_address_export => reg_bsn_monitor_v2_ring_rx_bf_copi.address(c_disturb_reg_bsn_monitor_v2_ring_rx_bf_addr_w-1 DOWNTO 0), - reg_bsn_monitor_v2_ring_rx_bf_write_export => reg_bsn_monitor_v2_ring_rx_bf_copi.wr, - reg_bsn_monitor_v2_ring_rx_bf_writedata_export => reg_bsn_monitor_v2_ring_rx_bf_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_v2_ring_rx_bf_read_export => reg_bsn_monitor_v2_ring_rx_bf_copi.rd, - reg_bsn_monitor_v2_ring_rx_bf_readdata_export => reg_bsn_monitor_v2_ring_rx_bf_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_v2_ring_tx_bf_clk_export => OPEN, - reg_bsn_monitor_v2_ring_tx_bf_reset_export => OPEN, - reg_bsn_monitor_v2_ring_tx_bf_address_export => reg_bsn_monitor_v2_ring_tx_bf_copi.address(c_disturb_reg_bsn_monitor_v2_ring_tx_bf_addr_w-1 DOWNTO 0), - reg_bsn_monitor_v2_ring_tx_bf_write_export => reg_bsn_monitor_v2_ring_tx_bf_copi.wr, - reg_bsn_monitor_v2_ring_tx_bf_writedata_export => reg_bsn_monitor_v2_ring_tx_bf_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_v2_ring_tx_bf_read_export => reg_bsn_monitor_v2_ring_tx_bf_copi.rd, - reg_bsn_monitor_v2_ring_tx_bf_readdata_export => reg_bsn_monitor_v2_ring_tx_bf_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_dp_block_validate_err_bf_clk_export => OPEN, - reg_dp_block_validate_err_bf_reset_export => OPEN, - reg_dp_block_validate_err_bf_address_export => reg_dp_block_validate_err_bf_copi.address(c_disturb_reg_dp_block_validate_err_bf_addr_w-1 DOWNTO 0), - reg_dp_block_validate_err_bf_write_export => reg_dp_block_validate_err_bf_copi.wr, - reg_dp_block_validate_err_bf_writedata_export => reg_dp_block_validate_err_bf_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_dp_block_validate_err_bf_read_export => reg_dp_block_validate_err_bf_copi.rd, - reg_dp_block_validate_err_bf_readdata_export => reg_dp_block_validate_err_bf_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_dp_block_validate_bsn_at_sync_bf_clk_export => OPEN, - reg_dp_block_validate_bsn_at_sync_bf_reset_export => OPEN, - reg_dp_block_validate_bsn_at_sync_bf_address_export => reg_dp_block_validate_bsn_at_sync_bf_copi.address(c_disturb_reg_dp_block_validate_bsn_at_sync_bf_addr_w-1 DOWNTO 0), - reg_dp_block_validate_bsn_at_sync_bf_write_export => reg_dp_block_validate_bsn_at_sync_bf_copi.wr, - reg_dp_block_validate_bsn_at_sync_bf_writedata_export => reg_dp_block_validate_bsn_at_sync_bf_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_dp_block_validate_bsn_at_sync_bf_read_export => reg_dp_block_validate_bsn_at_sync_bf_copi.rd, - reg_dp_block_validate_bsn_at_sync_bf_readdata_export => reg_dp_block_validate_bsn_at_sync_bf_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_ring_lane_info_xst_clk_export => OPEN, - reg_ring_lane_info_xst_reset_export => OPEN, - reg_ring_lane_info_xst_address_export => reg_ring_lane_info_xst_copi.address(c_disturb_reg_ring_lane_info_xst_addr_w-1 DOWNTO 0), - reg_ring_lane_info_xst_write_export => reg_ring_lane_info_xst_copi.wr, - reg_ring_lane_info_xst_writedata_export => reg_ring_lane_info_xst_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_ring_lane_info_xst_read_export => reg_ring_lane_info_xst_copi.rd, - reg_ring_lane_info_xst_readdata_export => reg_ring_lane_info_xst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_v2_ring_rx_xst_clk_export => OPEN, - reg_bsn_monitor_v2_ring_rx_xst_reset_export => OPEN, - reg_bsn_monitor_v2_ring_rx_xst_address_export => reg_bsn_monitor_v2_ring_rx_xst_copi.address(c_disturb_reg_bsn_monitor_v2_ring_rx_xst_addr_w-1 DOWNTO 0), - reg_bsn_monitor_v2_ring_rx_xst_write_export => reg_bsn_monitor_v2_ring_rx_xst_copi.wr, - reg_bsn_monitor_v2_ring_rx_xst_writedata_export => reg_bsn_monitor_v2_ring_rx_xst_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_v2_ring_rx_xst_read_export => reg_bsn_monitor_v2_ring_rx_xst_copi.rd, - reg_bsn_monitor_v2_ring_rx_xst_readdata_export => reg_bsn_monitor_v2_ring_rx_xst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_bsn_monitor_v2_ring_tx_xst_clk_export => OPEN, - reg_bsn_monitor_v2_ring_tx_xst_reset_export => OPEN, - reg_bsn_monitor_v2_ring_tx_xst_address_export => reg_bsn_monitor_v2_ring_tx_xst_copi.address(c_disturb_reg_bsn_monitor_v2_ring_tx_xst_addr_w-1 DOWNTO 0), - reg_bsn_monitor_v2_ring_tx_xst_write_export => reg_bsn_monitor_v2_ring_tx_xst_copi.wr, - reg_bsn_monitor_v2_ring_tx_xst_writedata_export => reg_bsn_monitor_v2_ring_tx_xst_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_bsn_monitor_v2_ring_tx_xst_read_export => reg_bsn_monitor_v2_ring_tx_xst_copi.rd, - reg_bsn_monitor_v2_ring_tx_xst_readdata_export => reg_bsn_monitor_v2_ring_tx_xst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_dp_block_validate_err_xst_clk_export => OPEN, - reg_dp_block_validate_err_xst_reset_export => OPEN, - reg_dp_block_validate_err_xst_address_export => reg_dp_block_validate_err_xst_copi.address(c_disturb_reg_dp_block_validate_err_xst_addr_w-1 DOWNTO 0), - reg_dp_block_validate_err_xst_write_export => reg_dp_block_validate_err_xst_copi.wr, - reg_dp_block_validate_err_xst_writedata_export => reg_dp_block_validate_err_xst_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_dp_block_validate_err_xst_read_export => reg_dp_block_validate_err_xst_copi.rd, - reg_dp_block_validate_err_xst_readdata_export => reg_dp_block_validate_err_xst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_dp_block_validate_bsn_at_sync_xst_clk_export => OPEN, - reg_dp_block_validate_bsn_at_sync_xst_reset_export => OPEN, - reg_dp_block_validate_bsn_at_sync_xst_address_export => reg_dp_block_validate_bsn_at_sync_xst_copi.address(c_disturb_reg_dp_block_validate_bsn_at_sync_xst_addr_w-1 DOWNTO 0), - reg_dp_block_validate_bsn_at_sync_xst_write_export => reg_dp_block_validate_bsn_at_sync_xst_copi.wr, - reg_dp_block_validate_bsn_at_sync_xst_writedata_export => reg_dp_block_validate_bsn_at_sync_xst_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_dp_block_validate_bsn_at_sync_xst_read_export => reg_dp_block_validate_bsn_at_sync_xst_copi.rd, - reg_dp_block_validate_bsn_at_sync_xst_readdata_export => reg_dp_block_validate_bsn_at_sync_xst_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_tr_10GbE_mac_clk_export => OPEN, - reg_tr_10GbE_mac_reset_export => OPEN, - reg_tr_10GbE_mac_address_export => reg_tr_10GbE_mac_copi.address(c_disturb_reg_tr_10GbE_mac_addr_w-1 DOWNTO 0), - reg_tr_10GbE_mac_write_export => reg_tr_10GbE_mac_copi.wr, - reg_tr_10GbE_mac_writedata_export => reg_tr_10GbE_mac_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_tr_10GbE_mac_read_export => reg_tr_10GbE_mac_copi.rd, - reg_tr_10GbE_mac_readdata_export => reg_tr_10GbE_mac_cipo.rddata(c_word_w-1 DOWNTO 0), - - reg_tr_10GbE_eth10g_clk_export => OPEN, - reg_tr_10GbE_eth10g_reset_export => OPEN, - reg_tr_10GbE_eth10g_address_export => reg_tr_10GbE_eth10g_copi.address(c_disturb_reg_tr_10GbE_eth10g_addr_w-1 DOWNTO 0), - reg_tr_10GbE_eth10g_write_export => reg_tr_10GbE_eth10g_copi.wr, - reg_tr_10GbE_eth10g_writedata_export => reg_tr_10GbE_eth10g_copi.wrdata(c_word_w-1 DOWNTO 0), - reg_tr_10GbE_eth10g_read_export => reg_tr_10GbE_eth10g_copi.rd, - reg_tr_10GbE_eth10g_readdata_export => reg_tr_10GbE_eth10g_cipo.rddata(c_word_w-1 DOWNTO 0), - - ram_scrap_clk_export => OPEN, - ram_scrap_reset_export => OPEN, - ram_scrap_address_export => ram_scrap_copi.address(9-1 DOWNTO 0), - ram_scrap_write_export => ram_scrap_copi.wr, - ram_scrap_writedata_export => ram_scrap_copi.wrdata(c_word_w-1 DOWNTO 0), - ram_scrap_read_export => ram_scrap_copi.rd, - ram_scrap_readdata_export => ram_scrap_cipo.rddata(c_word_w-1 DOWNTO 0) - ); - END GENERATE; -END str; diff --git a/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/qsys_disturb2_unb2b_station_pkg.vhd b/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/qsys_disturb2_unb2b_station_pkg.vhd deleted file mode 100644 index fc29b21585d15c9adf3406713b54dd0097a07d12..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/src/vhdl/qsys_disturb2_unb2b_station_pkg.vhd +++ /dev/null @@ -1,575 +0,0 @@ -------------------------------------------------------------------------------- --- --- Copyright 2022 --- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> --- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands --- --- Licensed under the Apache License, Version 2.0 (the "License"); --- you may not use this file except in compliance with the License. --- You may obtain a copy of the License at --- --- http://www.apache.org/licenses/LICENSE-2.0 --- --- Unless required by applicable law or agreed to in writing, software --- distributed under the License is distributed on an "AS IS" BASIS, --- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --- See the License for the specific language governing permissions and --- limitations under the License. --- -------------------------------------------------------------------------------- - -LIBRARY IEEE; -USE IEEE.STD_LOGIC_1164.ALL; - -PACKAGE qsys_disturb2_unb2b_station_pkg IS - - ----------------------------------------------------------------------------- - -- this component declaration is copy-pasted from Quartus platform designer: - ----------------------------------------------------------------------------- - component qsys_disturb2_unb2b_station is - port ( - avs_eth_0_clk_export : out std_logic; -- export - avs_eth_0_irq_export : in std_logic := 'X'; -- export - avs_eth_0_ram_address_export : out std_logic_vector(9 downto 0); -- export - avs_eth_0_ram_read_export : out std_logic; -- export - avs_eth_0_ram_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - avs_eth_0_ram_write_export : out std_logic; -- export - avs_eth_0_ram_writedata_export : out std_logic_vector(31 downto 0); -- export - avs_eth_0_reg_address_export : out std_logic_vector(3 downto 0); -- export - avs_eth_0_reg_read_export : out std_logic; -- export - avs_eth_0_reg_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - avs_eth_0_reg_write_export : out std_logic; -- export - avs_eth_0_reg_writedata_export : out std_logic_vector(31 downto 0); -- export - avs_eth_0_reset_export : out std_logic; -- export - avs_eth_0_tse_address_export : out std_logic_vector(9 downto 0); -- export - avs_eth_0_tse_read_export : out std_logic; -- export - avs_eth_0_tse_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - avs_eth_0_tse_waitrequest_export : in std_logic := 'X'; -- export - avs_eth_0_tse_write_export : out std_logic; -- export - avs_eth_0_tse_writedata_export : out std_logic_vector(31 downto 0); -- export - clk_clk : in std_logic := 'X'; -- clk - jesd204b_address_export : out std_logic_vector(11 downto 0); -- export - jesd204b_clk_export : out std_logic; -- export - jesd204b_read_export : out std_logic; -- export - jesd204b_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - jesd204b_reset_export : out std_logic; -- export - jesd204b_write_export : out std_logic; -- export - jesd204b_writedata_export : out std_logic_vector(31 downto 0); -- export - pio_jesd_ctrl_address_export : out std_logic_vector(0 downto 0); -- export - pio_jesd_ctrl_clk_export : out std_logic; -- export - pio_jesd_ctrl_read_export : out std_logic; -- export - pio_jesd_ctrl_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - pio_jesd_ctrl_reset_export : out std_logic; -- export - pio_jesd_ctrl_write_export : out std_logic; -- export - pio_jesd_ctrl_writedata_export : out std_logic_vector(31 downto 0); -- export - pio_pps_address_export : out std_logic_vector(1 downto 0); -- export - pio_pps_clk_export : out std_logic; -- export - pio_pps_read_export : out std_logic; -- export - pio_pps_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - pio_pps_reset_export : out std_logic; -- export - pio_pps_write_export : out std_logic; -- export - pio_pps_writedata_export : out std_logic_vector(31 downto 0); -- export - pio_system_info_address_export : out std_logic_vector(4 downto 0); -- export - pio_system_info_clk_export : out std_logic; -- export - pio_system_info_read_export : out std_logic; -- export - pio_system_info_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - pio_system_info_reset_export : out std_logic; -- export - pio_system_info_write_export : out std_logic; -- export - pio_system_info_writedata_export : out std_logic_vector(31 downto 0); -- export - pio_wdi_external_connection_export : out std_logic; -- export - ram_bf_weights_address_export : out std_logic_vector(14 downto 0); -- export - ram_bf_weights_clk_export : out std_logic; -- export - ram_bf_weights_read_export : out std_logic; -- export - ram_bf_weights_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_bf_weights_reset_export : out std_logic; -- export - ram_bf_weights_write_export : out std_logic; -- export - ram_bf_weights_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_diag_data_buffer_bsn_address_export : out std_logic_vector(20 downto 0); -- export - ram_diag_data_buffer_bsn_clk_export : out std_logic; -- export - ram_diag_data_buffer_bsn_read_export : out std_logic; -- export - ram_diag_data_buffer_bsn_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_diag_data_buffer_bsn_reset_export : out std_logic; -- export - ram_diag_data_buffer_bsn_write_export : out std_logic; -- export - ram_diag_data_buffer_bsn_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_equalizer_gains_address_export : out std_logic_vector(13 downto 0); -- export - ram_equalizer_gains_clk_export : out std_logic; -- export - ram_equalizer_gains_read_export : out std_logic; -- export - ram_equalizer_gains_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_equalizer_gains_reset_export : out std_logic; -- export - ram_equalizer_gains_write_export : out std_logic; -- export - ram_equalizer_gains_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_fil_coefs_address_export : out std_logic_vector(14 downto 0); -- export - ram_fil_coefs_clk_export : out std_logic; -- export - ram_fil_coefs_read_export : out std_logic; -- export - ram_fil_coefs_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_fil_coefs_reset_export : out std_logic; -- export - ram_fil_coefs_write_export : out std_logic; -- export - ram_fil_coefs_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_scrap_address_export : out std_logic_vector(8 downto 0); -- export - ram_scrap_clk_export : out std_logic; -- export - ram_scrap_read_export : out std_logic; -- export - ram_scrap_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_scrap_reset_export : out std_logic; -- export - ram_scrap_write_export : out std_logic; -- export - ram_scrap_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_ss_ss_wide_address_export : out std_logic_vector(13 downto 0); -- export - ram_ss_ss_wide_clk_export : out std_logic; -- export - ram_ss_ss_wide_read_export : out std_logic; -- export - ram_ss_ss_wide_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_ss_ss_wide_reset_export : out std_logic; -- export - ram_ss_ss_wide_write_export : out std_logic; -- export - ram_ss_ss_wide_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_st_bst_address_export : out std_logic_vector(11 downto 0); -- export - ram_st_bst_clk_export : out std_logic; -- export - ram_st_bst_read_export : out std_logic; -- export - ram_st_bst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_st_bst_reset_export : out std_logic; -- export - ram_st_bst_write_export : out std_logic; -- export - ram_st_bst_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_st_histogram_address_export : out std_logic_vector(12 downto 0); -- export - ram_st_histogram_clk_export : out std_logic; -- export - ram_st_histogram_read_export : out std_logic; -- export - ram_st_histogram_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_st_histogram_reset_export : out std_logic; -- export - ram_st_histogram_write_export : out std_logic; -- export - ram_st_histogram_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_st_sst_address_export : out std_logic_vector(14 downto 0); -- export - ram_st_sst_clk_export : out std_logic; -- export - ram_st_sst_read_export : out std_logic; -- export - ram_st_sst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_st_sst_reset_export : out std_logic; -- export - ram_st_sst_write_export : out std_logic; -- export - ram_st_sst_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_st_xsq_address_export : out std_logic_vector(15 downto 0); -- export - ram_st_xsq_clk_export : out std_logic; -- export - ram_st_xsq_read_export : out std_logic; -- export - ram_st_xsq_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_st_xsq_reset_export : out std_logic; -- export - ram_st_xsq_write_export : out std_logic; -- export - ram_st_xsq_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_wg_address_export : out std_logic_vector(13 downto 0); -- export - ram_wg_clk_export : out std_logic; -- export - ram_wg_read_export : out std_logic; -- export - ram_wg_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_wg_reset_export : out std_logic; -- export - ram_wg_write_export : out std_logic; -- export - ram_wg_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_aduh_monitor_address_export : out std_logic_vector(5 downto 0); -- export - reg_aduh_monitor_clk_export : out std_logic; -- export - reg_aduh_monitor_read_export : out std_logic; -- export - reg_aduh_monitor_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_aduh_monitor_reset_export : out std_logic; -- export - reg_aduh_monitor_write_export : out std_logic; -- export - reg_aduh_monitor_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bf_scale_address_export : out std_logic_vector(1 downto 0); -- export - reg_bf_scale_clk_export : out std_logic; -- export - reg_bf_scale_read_export : out std_logic; -- export - reg_bf_scale_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bf_scale_reset_export : out std_logic; -- export - reg_bf_scale_write_export : out std_logic; -- export - reg_bf_scale_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_align_v2_bf_address_export : out std_logic_vector(2 downto 0); -- export - reg_bsn_align_v2_bf_clk_export : out std_logic; -- export - reg_bsn_align_v2_bf_read_export : out std_logic; -- export - reg_bsn_align_v2_bf_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_align_v2_bf_reset_export : out std_logic; -- export - reg_bsn_align_v2_bf_write_export : out std_logic; -- export - reg_bsn_align_v2_bf_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_align_v2_xsub_address_export : out std_logic_vector(4 downto 0); -- export - reg_bsn_align_v2_xsub_clk_export : out std_logic; -- export - reg_bsn_align_v2_xsub_read_export : out std_logic; -- export - reg_bsn_align_v2_xsub_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_align_v2_xsub_reset_export : out std_logic; -- export - reg_bsn_align_v2_xsub_write_export : out std_logic; -- export - reg_bsn_align_v2_xsub_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_input_address_export : out std_logic_vector(7 downto 0); -- export - reg_bsn_monitor_input_clk_export : out std_logic; -- export - reg_bsn_monitor_input_read_export : out std_logic; -- export - reg_bsn_monitor_input_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_input_reset_export : out std_logic; -- export - reg_bsn_monitor_input_write_export : out std_logic; -- export - reg_bsn_monitor_input_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_aligned_bf_address_export : out std_logic_vector(3 downto 0); -- export - reg_bsn_monitor_v2_aligned_bf_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_aligned_bf_read_export : out std_logic; -- export - reg_bsn_monitor_v2_aligned_bf_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_v2_aligned_bf_reset_export : out std_logic; -- export - reg_bsn_monitor_v2_aligned_bf_write_export : out std_logic; -- export - reg_bsn_monitor_v2_aligned_bf_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_aligned_xsub_address_export : out std_logic_vector(2 downto 0); -- export - reg_bsn_monitor_v2_aligned_xsub_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_aligned_xsub_read_export : out std_logic; -- export - reg_bsn_monitor_v2_aligned_xsub_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_v2_aligned_xsub_reset_export : out std_logic; -- export - reg_bsn_monitor_v2_aligned_xsub_write_export : out std_logic; -- export - reg_bsn_monitor_v2_aligned_xsub_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_beamlet_output_address_export : out std_logic_vector(3 downto 0); -- export - reg_bsn_monitor_v2_beamlet_output_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_beamlet_output_read_export : out std_logic; -- export - reg_bsn_monitor_v2_beamlet_output_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_v2_beamlet_output_reset_export : out std_logic; -- export - reg_bsn_monitor_v2_beamlet_output_write_export : out std_logic; -- export - reg_bsn_monitor_v2_beamlet_output_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_bst_offload_address_export : out std_logic_vector(3 downto 0); -- export - reg_bsn_monitor_v2_bst_offload_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_bst_offload_read_export : out std_logic; -- export - reg_bsn_monitor_v2_bst_offload_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_v2_bst_offload_reset_export : out std_logic; -- export - reg_bsn_monitor_v2_bst_offload_write_export : out std_logic; -- export - reg_bsn_monitor_v2_bst_offload_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_ring_rx_bf_address_export : out std_logic_vector(7 downto 0); -- export - reg_bsn_monitor_v2_ring_rx_bf_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_rx_bf_read_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_rx_bf_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_v2_ring_rx_bf_reset_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_rx_bf_write_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_rx_bf_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_ring_rx_xst_address_export : out std_logic_vector(6 downto 0); -- export - reg_bsn_monitor_v2_ring_rx_xst_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_rx_xst_read_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_rx_xst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_v2_ring_rx_xst_reset_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_rx_xst_write_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_rx_xst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_ring_tx_bf_address_export : out std_logic_vector(7 downto 0); -- export - reg_bsn_monitor_v2_ring_tx_bf_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_tx_bf_read_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_tx_bf_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_v2_ring_tx_bf_reset_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_tx_bf_write_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_tx_bf_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_ring_tx_xst_address_export : out std_logic_vector(6 downto 0); -- export - reg_bsn_monitor_v2_ring_tx_xst_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_tx_xst_read_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_tx_xst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_v2_ring_tx_xst_reset_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_tx_xst_write_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_tx_xst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_rx_align_bf_address_export : out std_logic_vector(4 downto 0); -- export - reg_bsn_monitor_v2_rx_align_bf_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_rx_align_bf_read_export : out std_logic; -- export - reg_bsn_monitor_v2_rx_align_bf_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_v2_rx_align_bf_reset_export : out std_logic; -- export - reg_bsn_monitor_v2_rx_align_bf_write_export : out std_logic; -- export - reg_bsn_monitor_v2_rx_align_bf_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_rx_align_xsub_address_export : out std_logic_vector(6 downto 0); -- export - reg_bsn_monitor_v2_rx_align_xsub_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_rx_align_xsub_read_export : out std_logic; -- export - reg_bsn_monitor_v2_rx_align_xsub_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_v2_rx_align_xsub_reset_export : out std_logic; -- export - reg_bsn_monitor_v2_rx_align_xsub_write_export : out std_logic; -- export - reg_bsn_monitor_v2_rx_align_xsub_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_sst_offload_address_export : out std_logic_vector(2 downto 0); -- export - reg_bsn_monitor_v2_sst_offload_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_sst_offload_read_export : out std_logic; -- export - reg_bsn_monitor_v2_sst_offload_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_v2_sst_offload_reset_export : out std_logic; -- export - reg_bsn_monitor_v2_sst_offload_write_export : out std_logic; -- export - reg_bsn_monitor_v2_sst_offload_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_xst_offload_address_export : out std_logic_vector(2 downto 0); -- export - reg_bsn_monitor_v2_xst_offload_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_xst_offload_read_export : out std_logic; -- export - reg_bsn_monitor_v2_xst_offload_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_monitor_v2_xst_offload_reset_export : out std_logic; -- export - reg_bsn_monitor_v2_xst_offload_write_export : out std_logic; -- export - reg_bsn_monitor_v2_xst_offload_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_scheduler_address_export : out std_logic_vector(0 downto 0); -- export - reg_bsn_scheduler_clk_export : out std_logic; -- export - reg_bsn_scheduler_read_export : out std_logic; -- export - reg_bsn_scheduler_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_scheduler_reset_export : out std_logic; -- export - reg_bsn_scheduler_write_export : out std_logic; -- export - reg_bsn_scheduler_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_source_v2_address_export : out std_logic_vector(2 downto 0); -- export - reg_bsn_source_v2_clk_export : out std_logic; -- export - reg_bsn_source_v2_read_export : out std_logic; -- export - reg_bsn_source_v2_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_source_v2_reset_export : out std_logic; -- export - reg_bsn_source_v2_write_export : out std_logic; -- export - reg_bsn_source_v2_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_sync_scheduler_xsub_address_export : out std_logic_vector(3 downto 0); -- export - reg_bsn_sync_scheduler_xsub_clk_export : out std_logic; -- export - reg_bsn_sync_scheduler_xsub_read_export : out std_logic; -- export - reg_bsn_sync_scheduler_xsub_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_bsn_sync_scheduler_xsub_reset_export : out std_logic; -- export - reg_bsn_sync_scheduler_xsub_write_export : out std_logic; -- export - reg_bsn_sync_scheduler_xsub_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_crosslets_info_address_export : out std_logic_vector(3 downto 0); -- export - reg_crosslets_info_clk_export : out std_logic; -- export - reg_crosslets_info_read_export : out std_logic; -- export - reg_crosslets_info_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_crosslets_info_reset_export : out std_logic; -- export - reg_crosslets_info_write_export : out std_logic; -- export - reg_crosslets_info_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_diag_data_buffer_bsn_address_export : out std_logic_vector(4 downto 0); -- export - reg_diag_data_buffer_bsn_clk_export : out std_logic; -- export - reg_diag_data_buffer_bsn_read_export : out std_logic; -- export - reg_diag_data_buffer_bsn_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_diag_data_buffer_bsn_reset_export : out std_logic; -- export - reg_diag_data_buffer_bsn_write_export : out std_logic; -- export - reg_diag_data_buffer_bsn_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_dp_block_validate_bsn_at_sync_bf_address_export : out std_logic_vector(2 downto 0); -- export - reg_dp_block_validate_bsn_at_sync_bf_clk_export : out std_logic; -- export - reg_dp_block_validate_bsn_at_sync_bf_read_export : out std_logic; -- export - reg_dp_block_validate_bsn_at_sync_bf_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_dp_block_validate_bsn_at_sync_bf_reset_export : out std_logic; -- export - reg_dp_block_validate_bsn_at_sync_bf_write_export : out std_logic; -- export - reg_dp_block_validate_bsn_at_sync_bf_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_dp_block_validate_bsn_at_sync_xst_address_export : out std_logic_vector(1 downto 0); -- export - reg_dp_block_validate_bsn_at_sync_xst_clk_export : out std_logic; -- export - reg_dp_block_validate_bsn_at_sync_xst_read_export : out std_logic; -- export - reg_dp_block_validate_bsn_at_sync_xst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_dp_block_validate_bsn_at_sync_xst_reset_export : out std_logic; -- export - reg_dp_block_validate_bsn_at_sync_xst_write_export : out std_logic; -- export - reg_dp_block_validate_bsn_at_sync_xst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_dp_block_validate_err_bf_address_export : out std_logic_vector(4 downto 0); -- export - reg_dp_block_validate_err_bf_clk_export : out std_logic; -- export - reg_dp_block_validate_err_bf_read_export : out std_logic; -- export - reg_dp_block_validate_err_bf_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_dp_block_validate_err_bf_reset_export : out std_logic; -- export - reg_dp_block_validate_err_bf_write_export : out std_logic; -- export - reg_dp_block_validate_err_bf_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_dp_block_validate_err_xst_address_export : out std_logic_vector(3 downto 0); -- export - reg_dp_block_validate_err_xst_clk_export : out std_logic; -- export - reg_dp_block_validate_err_xst_read_export : out std_logic; -- export - reg_dp_block_validate_err_xst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_dp_block_validate_err_xst_reset_export : out std_logic; -- export - reg_dp_block_validate_err_xst_write_export : out std_logic; -- export - reg_dp_block_validate_err_xst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_dp_selector_address_export : out std_logic_vector(0 downto 0); -- export - reg_dp_selector_clk_export : out std_logic; -- export - reg_dp_selector_read_export : out std_logic; -- export - reg_dp_selector_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_dp_selector_reset_export : out std_logic; -- export - reg_dp_selector_write_export : out std_logic; -- export - reg_dp_selector_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_dp_shiftram_address_export : out std_logic_vector(4 downto 0); -- export - reg_dp_shiftram_clk_export : out std_logic; -- export - reg_dp_shiftram_read_export : out std_logic; -- export - reg_dp_shiftram_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_dp_shiftram_reset_export : out std_logic; -- export - reg_dp_shiftram_write_export : out std_logic; -- export - reg_dp_shiftram_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_dp_xonoff_address_export : out std_logic_vector(1 downto 0); -- export - reg_dp_xonoff_clk_export : out std_logic; -- export - reg_dp_xonoff_read_export : out std_logic; -- export - reg_dp_xonoff_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_dp_xonoff_reset_export : out std_logic; -- export - reg_dp_xonoff_write_export : out std_logic; -- export - reg_dp_xonoff_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_dpmm_ctrl_address_export : out std_logic_vector(0 downto 0); -- export - reg_dpmm_ctrl_clk_export : out std_logic; -- export - reg_dpmm_ctrl_read_export : out std_logic; -- export - reg_dpmm_ctrl_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_dpmm_ctrl_reset_export : out std_logic; -- export - reg_dpmm_ctrl_write_export : out std_logic; -- export - reg_dpmm_ctrl_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_dpmm_data_address_export : out std_logic_vector(0 downto 0); -- export - reg_dpmm_data_clk_export : out std_logic; -- export - reg_dpmm_data_read_export : out std_logic; -- export - reg_dpmm_data_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_dpmm_data_reset_export : out std_logic; -- export - reg_dpmm_data_write_export : out std_logic; -- export - reg_dpmm_data_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_epcs_address_export : out std_logic_vector(2 downto 0); -- export - reg_epcs_clk_export : out std_logic; -- export - reg_epcs_read_export : out std_logic; -- export - reg_epcs_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_epcs_reset_export : out std_logic; -- export - reg_epcs_write_export : out std_logic; -- export - reg_epcs_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_fpga_temp_sens_address_export : out std_logic_vector(2 downto 0); -- export - reg_fpga_temp_sens_clk_export : out std_logic; -- export - reg_fpga_temp_sens_read_export : out std_logic; -- export - reg_fpga_temp_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_fpga_temp_sens_reset_export : out std_logic; -- export - reg_fpga_temp_sens_write_export : out std_logic; -- export - reg_fpga_temp_sens_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_fpga_voltage_sens_address_export : out std_logic_vector(3 downto 0); -- export - reg_fpga_voltage_sens_clk_export : out std_logic; -- export - reg_fpga_voltage_sens_read_export : out std_logic; -- export - reg_fpga_voltage_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_fpga_voltage_sens_reset_export : out std_logic; -- export - reg_fpga_voltage_sens_write_export : out std_logic; -- export - reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_hdr_dat_address_export : out std_logic_vector(6 downto 0); -- export - reg_hdr_dat_clk_export : out std_logic; -- export - reg_hdr_dat_read_export : out std_logic; -- export - reg_hdr_dat_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_hdr_dat_reset_export : out std_logic; -- export - reg_hdr_dat_write_export : out std_logic; -- export - reg_hdr_dat_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_mmdp_ctrl_address_export : out std_logic_vector(0 downto 0); -- export - reg_mmdp_ctrl_clk_export : out std_logic; -- export - reg_mmdp_ctrl_read_export : out std_logic; -- export - reg_mmdp_ctrl_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_mmdp_ctrl_reset_export : out std_logic; -- export - reg_mmdp_ctrl_write_export : out std_logic; -- export - reg_mmdp_ctrl_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_mmdp_data_address_export : out std_logic_vector(0 downto 0); -- export - reg_mmdp_data_clk_export : out std_logic; -- export - reg_mmdp_data_read_export : out std_logic; -- export - reg_mmdp_data_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_mmdp_data_reset_export : out std_logic; -- export - reg_mmdp_data_write_export : out std_logic; -- export - reg_mmdp_data_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_nof_crosslets_address_export : out std_logic_vector(0 downto 0); -- export - reg_nof_crosslets_clk_export : out std_logic; -- export - reg_nof_crosslets_read_export : out std_logic; -- export - reg_nof_crosslets_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_nof_crosslets_reset_export : out std_logic; -- export - reg_nof_crosslets_write_export : out std_logic; -- export - reg_nof_crosslets_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_nw_10gbe_eth10g_address_export : out std_logic_vector(0 downto 0); -- export - reg_nw_10gbe_eth10g_clk_export : out std_logic; -- export - reg_nw_10gbe_eth10g_read_export : out std_logic; -- export - reg_nw_10gbe_eth10g_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_nw_10gbe_eth10g_reset_export : out std_logic; -- export - reg_nw_10gbe_eth10g_write_export : out std_logic; -- export - reg_nw_10gbe_eth10g_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_nw_10gbe_mac_address_export : out std_logic_vector(12 downto 0); -- export - reg_nw_10gbe_mac_clk_export : out std_logic; -- export - reg_nw_10gbe_mac_read_export : out std_logic; -- export - reg_nw_10gbe_mac_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_nw_10gbe_mac_reset_export : out std_logic; -- export - reg_nw_10gbe_mac_write_export : out std_logic; -- export - reg_nw_10gbe_mac_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_remu_address_export : out std_logic_vector(2 downto 0); -- export - reg_remu_clk_export : out std_logic; -- export - reg_remu_read_export : out std_logic; -- export - reg_remu_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_remu_reset_export : out std_logic; -- export - reg_remu_write_export : out std_logic; -- export - reg_remu_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_ring_info_address_export : out std_logic_vector(1 downto 0); -- export - reg_ring_info_clk_export : out std_logic; -- export - reg_ring_info_read_export : out std_logic; -- export - reg_ring_info_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_ring_info_reset_export : out std_logic; -- export - reg_ring_info_write_export : out std_logic; -- export - reg_ring_info_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_ring_lane_info_bf_address_export : out std_logic_vector(1 downto 0); -- export - reg_ring_lane_info_bf_clk_export : out std_logic; -- export - reg_ring_lane_info_bf_read_export : out std_logic; -- export - reg_ring_lane_info_bf_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_ring_lane_info_bf_reset_export : out std_logic; -- export - reg_ring_lane_info_bf_write_export : out std_logic; -- export - reg_ring_lane_info_bf_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_ring_lane_info_xst_address_export : out std_logic_vector(0 downto 0); -- export - reg_ring_lane_info_xst_clk_export : out std_logic; -- export - reg_ring_lane_info_xst_read_export : out std_logic; -- export - reg_ring_lane_info_xst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_ring_lane_info_xst_reset_export : out std_logic; -- export - reg_ring_lane_info_xst_write_export : out std_logic; -- export - reg_ring_lane_info_xst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_sdp_info_address_export : out std_logic_vector(3 downto 0); -- export - reg_sdp_info_clk_export : out std_logic; -- export - reg_sdp_info_read_export : out std_logic; -- export - reg_sdp_info_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_sdp_info_reset_export : out std_logic; -- export - reg_sdp_info_write_export : out std_logic; -- export - reg_sdp_info_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_si_address_export : out std_logic_vector(0 downto 0); -- export - reg_si_clk_export : out std_logic; -- export - reg_si_read_export : out std_logic; -- export - reg_si_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_si_reset_export : out std_logic; -- export - reg_si_write_export : out std_logic; -- export - reg_si_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_stat_enable_bst_address_export : out std_logic_vector(1 downto 0); -- export - reg_stat_enable_bst_clk_export : out std_logic; -- export - reg_stat_enable_bst_read_export : out std_logic; -- export - reg_stat_enable_bst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_stat_enable_bst_reset_export : out std_logic; -- export - reg_stat_enable_bst_write_export : out std_logic; -- export - reg_stat_enable_bst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_stat_enable_sst_address_export : out std_logic_vector(0 downto 0); -- export - reg_stat_enable_sst_clk_export : out std_logic; -- export - reg_stat_enable_sst_read_export : out std_logic; -- export - reg_stat_enable_sst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_stat_enable_sst_reset_export : out std_logic; -- export - reg_stat_enable_sst_write_export : out std_logic; -- export - reg_stat_enable_sst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_stat_enable_xst_address_export : out std_logic_vector(0 downto 0); -- export - reg_stat_enable_xst_clk_export : out std_logic; -- export - reg_stat_enable_xst_read_export : out std_logic; -- export - reg_stat_enable_xst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_stat_enable_xst_reset_export : out std_logic; -- export - reg_stat_enable_xst_write_export : out std_logic; -- export - reg_stat_enable_xst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_stat_hdr_dat_bst_address_export : out std_logic_vector(6 downto 0); -- export - reg_stat_hdr_dat_bst_clk_export : out std_logic; -- export - reg_stat_hdr_dat_bst_read_export : out std_logic; -- export - reg_stat_hdr_dat_bst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_stat_hdr_dat_bst_reset_export : out std_logic; -- export - reg_stat_hdr_dat_bst_write_export : out std_logic; -- export - reg_stat_hdr_dat_bst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_stat_hdr_dat_sst_address_export : out std_logic_vector(5 downto 0); -- export - reg_stat_hdr_dat_sst_clk_export : out std_logic; -- export - reg_stat_hdr_dat_sst_read_export : out std_logic; -- export - reg_stat_hdr_dat_sst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_stat_hdr_dat_sst_reset_export : out std_logic; -- export - reg_stat_hdr_dat_sst_write_export : out std_logic; -- export - reg_stat_hdr_dat_sst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_stat_hdr_dat_xst_address_export : out std_logic_vector(5 downto 0); -- export - reg_stat_hdr_dat_xst_clk_export : out std_logic; -- export - reg_stat_hdr_dat_xst_read_export : out std_logic; -- export - reg_stat_hdr_dat_xst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_stat_hdr_dat_xst_reset_export : out std_logic; -- export - reg_stat_hdr_dat_xst_write_export : out std_logic; -- export - reg_stat_hdr_dat_xst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_tr_10gbe_eth10g_address_export : out std_logic_vector(2 downto 0); -- export - reg_tr_10gbe_eth10g_clk_export : out std_logic; -- export - reg_tr_10gbe_eth10g_read_export : out std_logic; -- export - reg_tr_10gbe_eth10g_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_tr_10gbe_eth10g_reset_export : out std_logic; -- export - reg_tr_10gbe_eth10g_write_export : out std_logic; -- export - reg_tr_10gbe_eth10g_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_tr_10gbe_mac_address_export : out std_logic_vector(14 downto 0); -- export - reg_tr_10gbe_mac_clk_export : out std_logic; -- export - reg_tr_10gbe_mac_read_export : out std_logic; -- export - reg_tr_10gbe_mac_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_tr_10gbe_mac_reset_export : out std_logic; -- export - reg_tr_10gbe_mac_write_export : out std_logic; -- export - reg_tr_10gbe_mac_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_unb_pmbus_address_export : out std_logic_vector(5 downto 0); -- export - reg_unb_pmbus_clk_export : out std_logic; -- export - reg_unb_pmbus_read_export : out std_logic; -- export - reg_unb_pmbus_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_unb_pmbus_reset_export : out std_logic; -- export - reg_unb_pmbus_write_export : out std_logic; -- export - reg_unb_pmbus_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_unb_sens_address_export : out std_logic_vector(5 downto 0); -- export - reg_unb_sens_clk_export : out std_logic; -- export - reg_unb_sens_read_export : out std_logic; -- export - reg_unb_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_unb_sens_reset_export : out std_logic; -- export - reg_unb_sens_write_export : out std_logic; -- export - reg_unb_sens_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_wdi_address_export : out std_logic_vector(0 downto 0); -- export - reg_wdi_clk_export : out std_logic; -- export - reg_wdi_read_export : out std_logic; -- export - reg_wdi_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_wdi_reset_export : out std_logic; -- export - reg_wdi_write_export : out std_logic; -- export - reg_wdi_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_wg_address_export : out std_logic_vector(5 downto 0); -- export - reg_wg_clk_export : out std_logic; -- export - reg_wg_read_export : out std_logic; -- export - reg_wg_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_wg_reset_export : out std_logic; -- export - reg_wg_write_export : out std_logic; -- export - reg_wg_writedata_export : out std_logic_vector(31 downto 0); -- export - reset_reset_n : in std_logic := 'X'; -- reset_n - rom_system_info_address_export : out std_logic_vector(12 downto 0); -- export - rom_system_info_clk_export : out std_logic; -- export - rom_system_info_read_export : out std_logic; -- export - rom_system_info_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - rom_system_info_reset_export : out std_logic; -- export - rom_system_info_write_export : out std_logic; -- export - rom_system_info_writedata_export : out std_logic_vector(31 downto 0) -- export - ); - end component qsys_disturb2_unb2b_station; - -END qsys_disturb2_unb2b_station_pkg; - diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_0.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_0.hex deleted file mode 100644 index 74be129757c1bfce5a599e04d5554091e0c6dccf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_0.hex +++ /dev/null @@ -1,977 +0,0 @@ -:020000000000FE -:020002000001FB -:020004000002F8 -:020006000003F5 -:020008000004F2 -:02000A000005EF -:02000C000006EC -:02000E000007E9 -:020010000008E6 -:020012000009E3 -:02001400000AE0 -:02001600000BDD -:02001800000CDA -:02001A00000DD7 -:02001C00000ED4 -:02001E00000FD1 -:020020000010CE -:020022000011CB -:020024000012C8 -:020026000013C5 -:020028000014C2 -:02002A000015BF -:02002C000016BC -:02002E000017B9 -:020030000018B6 -:020032000019B3 -:02003400001AB0 -:02003600001BAD -:02003800001CAA -:02003A00001DA7 -:02003C00001EA4 -:02003E00001FA1 -:0200400000209E -:0200420000219B -:02004400002298 -:02004600002395 -:02004800002492 -:02004A0000258F -:02004C0000268C -:02004E00002789 -:02005000002886 -:02005200002983 -:02005400002A80 -:02005600002B7D -:02005800002C7A -:02005A00002D77 -:02005C00002E74 -:02005E00002F71 -:0200600000306E -:0200620000316B -:02006400003268 -:02006600003365 -:02006800003462 -:02006A0000355F -:02006C0000365C -:02006E00003759 -:02007000003856 -:02007200003953 -:02007400003A50 -:02007600003B4D -:02007800003C4A -:02007A00003D47 -:02007C00003E44 -:02007E00003F41 -:0200800000403E -:0200820000413B -:02008400004238 -:02008600004335 -:02008800004432 -:02008A0000452F -:02008C0000462C -:02008E00004729 -:02009000004826 -:02009200004923 -:02009400004A20 -:02009600004B1D -:02009800004C1A -:02009A00004D17 -:02009C00004E14 -:02009E00004F11 -:0200A00000500E -:0200A20000510B -:0200A400005208 -:0200A600005305 -:0200A800005402 -:0200AA000055FF -:0200AC000056FC -:0200AE000057F9 -:0200B0000058F6 -:0200B2000059F3 -:0200B400005AF0 -:0200B600005BED -:0200B800005CEA -:0200BA00005DE7 -:0200BC00005EE4 -:0200BE00005FE1 -:0200C0000060DE -:0200C2000061DB -:0200C4000062D8 -:0200C6000063D5 -:0200C8000064D2 -:0200CA000065CF -:0200CC000066CC -:0200CE000067C9 -:0200D0000068C6 -:0200D2000069C3 -:0200D400006AC0 -:0200D600006BBD -:0200D800006CBA -:0200DA00006DB7 -:0200DC00006EB4 -:0200DE00006FB1 -:0200E0000070AE -:0200E2000071AB -:0200E4000072A8 -:0200E6000073A5 -:0200E8000074A2 -:0200EA0000759F -:0200EC0000769C -:0200EE00007799 -:0200F000007896 -:0200F200007993 -:0200F400007A90 -:0200F600007B8D -:0200F800007C8A -:0200FA00007D87 -:0200FC00007E84 -:0200FE00007F81 -:0201000000807D -:0201020000817A -:02010400008277 -:02010600008374 -:02010800008471 -:02010A0000856E -:02010C0000866B -:02010E00008768 -:02011000008865 -:02011200008962 -:02011400008A5F -:02011600008B5C -:02011800008C59 -:02011A00008D56 -:02011C00008E53 -:02011E00008F50 -:0201200000904D -:0201220000914A -:02012400009247 -:02012600009344 -:02012800009441 -:02012A0000953E -:02012C0000963B -:02012E00009738 -:02013000009835 -:02013200009932 -:02013400009A2F -:02013600009B2C -:02013800009C29 -:02013A00009D26 -:02013C00009E23 -:02013E00009F20 -:0201400000A01D -:0201420000A11A -:0201440000A217 -:0201460000A314 -:0201480000A411 -:02014A0000A50E -:02014C0000A60B -:02014E0000A708 -:0201500000A805 -:0201520000A902 -:0201540000AAFF -:0201560000ABFC -:0201580000ACF9 -:02015A0000ADF6 -:02015C0000AEF3 -:02015E0000AFF0 -:0201600000B0ED -:0201620000B1EA -:0201640000B2E7 -:0201660000B3E4 -:0201680000B4E1 -:02016A0000B5DE -:02016C0000B6DB -:02016E0000B7D8 -:0201700000B8D5 -:0201720000B9D2 -:0201740000BACF -:0201760000BBCC -:0201780000BCC9 -:02017A0000BDC6 -:02017C0000BEC3 -:02017E0000BFC0 -:0201800000C0BD -:0201820000C1BA -:0201840000C2B7 -:0201860000C3B4 -:0201880000C4B1 -:02018A0000C5AE -:02018C0000C6AB -:02018E0000C7A8 -:0201900000C8A5 -:0201920000C9A2 -:0201940000CA9F -:0201960000CB9C -:0201980000CC99 -:02019A0000CD96 -:02019C0000CE93 -:02019E0000CF90 -:0201A00000D08D -:0201A20000D18A -:0201A40000D287 -:0201A60000D384 -:0201A80000D481 -:0201AA0000D57E -:0201AC0000D67B -:0201AE0000D778 -:0201B00000D875 -:0201B20000D972 -:0201B40000DA6F -:0201B60000DB6C -:0201B80000DC69 -:0201BA0000DD66 -:0201BC0000DE63 -:0201BE0000DF60 -:0201C00000E05D -:0201C20000E15A -:0201C40000E257 -:0201C60000E354 -:0201C80000E451 -:0201CA0000E54E -:0201CC0000E64B -:0201CE0000E748 -:0201D00000E845 -:0201D20000E942 -:0201D40000EA3F -:0201D60000EB3C -:0201D80000EC39 -:0201DA0000ED36 -:0201DC0000EE33 -:0201DE0000EF30 -:0201E00000F02D -:0201E20000F12A -:0201E40000F227 -:0201E60000F324 -:0201E80000F421 -:0201EA0000F51E -:0201EC0000F61B -:0201EE0000F718 -:0201F00000F815 -:0201F20000F912 -:0201F40000FA0F -:0201F60000FB0C -:0201F80000FC09 -:0201FA0000FD06 -:0201FC0000FE03 -:0201FE0000FF00 -:020200000100FB -:020202000101F8 -:020204000102F5 -:020206000103F2 -:020208000104EF -:02020A000105EC -:02020C000106E9 -:02020E000107E6 -:020210000108E3 -:020212000109E0 -:02021400010ADD -:02021600010BDA -:02021800010CD7 -:02021A00010DD4 -:02021C00010ED1 -:02021E00010FCE -:020220000110CB -:020222000111C8 -:020224000112C5 -:020226000113C2 -:020228000114BF -:02022A000115BC -:02022C000116B9 -:02022E000117B6 -:020230000118B3 -:020232000119B0 -:02023400011AAD -:02023600011BAA -:02023800011CA7 -:02023A00011DA4 -:02023C00011EA1 -:02023E00011F9E -:0202400001209B -:02024200012198 -:02024400012295 -:02024600012392 -:0202480001248F -:02024A0001258C -:02024C00012689 -:02024E00012786 -:02025000012883 -:02025200012980 -:02025400012A7D -:02025600012B7A -:02025800012C77 -:02025A00012D74 -:02025C00012E71 -:02025E00012F6E -:0202600001306B -:02026200013168 -:02026400013265 -:02026600013362 -:0202680001345F -:02026A0001355C -:02026C00013659 -:02026E00013756 -:02027000013853 -:02027200013950 -:02027400013A4D -:02027600013B4A -:02027800013C47 -:02027A00013D44 -:02027C00013E41 -:02027E00013F3E -:0202800001403B -:02028200014138 -:02028400014235 -:02028600014332 -:0202880001442F -:02028A0001452C -:02028C00014629 -:02028E00014726 -:02029000014823 -:02029200014920 -:02029400014A1D -:02029600014B1A -:02029800014C17 -:02029A00014D14 -:02029C00014E11 -:02029E00014F0E -:0202A00001500B -:0202A200015108 -:0202A400015205 -:0202A600015302 -:0202A8000154FF -:0202AA000155FC -:0202AC000156F9 -:0202AE000157F6 -:0202B0000158F3 -:0202B2000159F0 -:0202B400015AED -:0202B600015BEA -:0202B800015CE7 -:0202BA00015DE4 -:0202BC00015EE1 -:0202BE00015FDE -:0202C0000160DB -:0202C2000161D8 -:0202C4000162D5 -:0202C6000163D2 -:0202C8000164CF -:0202CA000165CC -:0202CC000166C9 -:0202CE000167C6 -:0202D0000168C3 -:0202D2000169C0 -:0202D400016ABD -:0202D600016BBA -:0202D800016CB7 -:0202DA00016DB4 -:0202DC00016EB1 -:0202DE00016FAE -:0202E0000170AB -:0202E2000171A8 -:0202E4000172A5 -:0202E6000173A2 -:0202E80001749F -:0202EA0001759C -:0202EC00017699 -:0202EE00017796 -:0202F000017893 -:0202F200017990 -:0202F400017A8D -:0202F600017B8A -:0202F800017C87 -:0202FA00017D84 -:0202FC00017E81 -:0202FE00017F7E -:0203000001807A -:02030200018177 -:02030400018274 -:02030600018371 -:0203080001846E -:02030A0001856B -:02030C00018668 -:02030E00018765 -:02031000018862 -:0203120001895F -:02031400018A5C -:02031600018B59 -:02031800018C56 -:02031A00018D53 -:02031C00018E50 -:02031E00018F4D -:0203200001904A -:02032200019147 -:02032400019244 -:02032600019341 -:0203280001943E -:02032A0001953B -:02032C00019638 -:02032E00019735 -:02033000019832 -:0203320001992F -:02033400019A2C -:02033600019B29 -:02033800019C26 -:02033A00019D23 -:02033C00019E20 -:02033E00019F1D -:0203400001A01A -:0203420001A117 -:0203440001A214 -:0203460001A311 -:0203480001A40E -:02034A0001A50B -:02034C0001A608 -:02034E0001A705 -:0203500001A802 -:0203520001A9FF -:0203540001AAFC -:0203560001ABF9 -:0203580001ACF6 -:02035A0001ADF3 -:02035C0001AEF0 -:02035E0001AFED -:0203600001B0EA -:0203620001B1E7 -:0203640001B2E4 -:0203660001B3E1 -:0203680001B4DE -:02036A0001B5DB -:02036C0001B6D8 -:02036E0001B7D5 -:0203700001B8D2 -:0203720001B9CF -:0203740001BACC -:0203760001BBC9 -:0203780001BCC6 -:02037A0001BDC3 -:02037C0001BEC0 -:02037E0001BFBD -:0203800001C0BA -:0203820001C1B7 -:0203840001C2B4 -:0203860001C3B1 -:0203880001C4AE -:02038A0001C5AB -:02038C0001C6A8 -:02038E0001C7A5 -:0203900001C8A2 -:0203920001C99F -:0203940001CA9C -:0203960001CB99 -:0203980001CC96 -:02039A0001CD93 -:02039C0001CE90 -:02039E0001CF8D -:0203A00001D08A -:0203A20001D187 -:0203A40001D284 -:0203A60001D381 -:0203A80001D47E -:0203AA0001D57B -:0203AC0001D678 -:0203AE0001D775 -:0203B00001D872 -:0203B20001D96F -:0203B40001DA6C -:0203B60001DB69 -:0203B80001DC66 -:0203BA0001DD63 -:0203BC0001DE60 -:0203BE0001DF5D -:0203C00001E05A -:0203C20001E157 -:0203C40001E254 -:0203C60001E351 -:0203C80001E44E -:0203CA0001E54B -:0203CC0001E648 -:0203CE0001E745 -:0203D00001E842 -:0203D20001E93F -:0203D40001EA3C -:0203D60001EB39 -:0203D80001EC36 -:0203DA0001ED33 -:0203DC0001EE30 -:0203DE0001EF2D -:0203E00001F02A -:0203E20001F127 -:0203E40001F224 -:0203E60001F321 -:0203E80001F41E -:0203EA0001F51B -:0203EC0001F618 -:0203EE0001F715 -:0203F00001F812 -:0203F20001F90F -:0203F40001FA0C -:0203F60001FB09 -:0203F80001FC06 -:0203FA0001FD03 -:0203FC0001FE00 -:0203FE0001FFFD -:020400000200F8 -:020402000201F5 -:020404000202F2 -:020406000203EF -:020408000204EC -:02040A000205E9 -:02040C000206E6 -:02040E000207E3 -:020410000208E0 -:020412000209DD -:02041400020ADA -:02041600020BD7 -:02041800020CD4 -:02041A00020DD1 -:02041C00020ECE -:02041E00020FCB -:020420000210C8 -:020422000211C5 -:020424000212C2 -:020426000213BF -:020428000214BC -:02042A000215B9 -:02042C000216B6 -:02042E000217B3 -:020430000218B0 -:020432000219AD -:02043400021AAA -:02043600021BA7 -:02043800021CA4 -:02043A00021DA1 -:02043C00021E9E -:02043E00021F9B -:02044000022098 -:02044200022195 -:02044400022292 -:0204460002238F -:0204480002248C -:02044A00022589 -:02044C00022686 -:02044E00022783 -:02045000022880 -:0204520002297D -:02045400022A7A -:02045600022B77 -:02045800022C74 -:02045A00022D71 -:02045C00022E6E -:02045E00022F6B -:02046000023068 -:02046200023165 -:02046400023262 -:0204660002335F -:0204680002345C -:02046A00023559 -:02046C00023656 -:02046E00023753 -:02047000023850 -:0204720002394D -:02047400023A4A -:02047600023B47 -:02047800023C44 -:02047A00023D41 -:02047C00023E3E -:02047E00023F3B -:02048000024038 -:02048200024135 -:02048400024232 -:0204860002432F -:0204880002442C -:02048A00024529 -:02048C00024626 -:02048E00024723 -:02049000024820 -:0204920002491D -:02049400024A1A -:02049600024B17 -:02049800024C14 -:02049A00024D11 -:02049C00024E0E -:02049E00024F0B -:0204A000025008 -:0204A200025105 -:0204A400025202 -:0204A6000253FF -:0204A8000254FC -:0204AA000255F9 -:0204AC000256F6 -:0204AE000257F3 -:0204B0000258F0 -:0204B2000259ED -:0204B400025AEA -:0204B600025BE7 -:0204B800025CE4 -:0204BA00025DE1 -:0204BC00025EDE -:0204BE00025FDB -:0204C0000260D8 -:0204C2000261D5 -:0204C4000262D2 -:0204C6000263CF -:0204C8000264CC -:0204CA000265C9 -:0204CC000266C6 -:0204CE000267C3 -:0204D0000268C0 -:0204D2000269BD -:0204D400026ABA -:0204D600026BB7 -:0204D800026CB4 -:0204DA00026DB1 -:0204DC00026EAE -:0204DE00026FAB -:0204E0000270A8 -:0204E2000271A5 -:0204E4000272A2 -:0204E60002739F -:0204E80002749C -:0204EA00027599 -:0204EC00027696 -:0204EE00027793 -:0204F000027890 -:0204F20002798D -:0204F400027A8A -:0204F600027B87 -:0204F800027C84 -:0204FA00027D81 -:0204FC00027E7E -:0204FE00027F7B -:02050000028077 -:02050200028174 -:02050400028271 -:0205060002836E -:0205080002846B -:02050A00028568 -:02050C00028665 -:02050E00028762 -:0205100002885F -:0205120002895C -:02051400028A59 -:02051600028B56 -:02051800028C53 -:02051A00028D50 -:02051C00028E4D -:02051E00028F4A -:02052000029047 -:02052200029144 -:02052400029241 -:0205260002933E -:0205280002943B -:02052A00029538 -:02052C00029635 -:02052E00029732 -:0205300002982F -:0205320002992C -:02053400029A29 -:02053600029B26 -:02053800029C23 -:02053A00029D20 -:02053C00029E1D -:02053E00029F1A -:0205400002A017 -:0205420002A114 -:0205440002A211 -:0205460002A30E -:0205480002A40B -:02054A0002A508 -:02054C0002A605 -:02054E0002A702 -:0205500002A8FF -:0205520002A9FC -:0205540002AAF9 -:0205560002ABF6 -:0205580002ACF3 -:02055A0002ADF0 -:02055C0002AEED -:02055E0002AFEA -:0205600002B0E7 -:0205620002B1E4 -:0205640002B2E1 -:0205660002B3DE -:0205680002B4DB -:02056A0002B5D8 -:02056C0002B6D5 -:02056E0002B7D2 -:0205700002B8CF -:0205720002B9CC -:0205740002BAC9 -:0205760002BBC6 -:0205780002BCC3 -:02057A0002BDC0 -:02057C0002BEBD -:02057E0002BFBA -:0205800002C0B7 -:0205820002C1B4 -:0205840002C2B1 -:0205860002C3AE -:0205880002C4AB -:02058A0002C5A8 -:02058C0002C6A5 -:02058E0002C7A2 -:0205900002C89F -:0205920002C99C -:0205940002CA99 -:0205960002CB96 -:0205980002CC93 -:02059A0002CD90 -:02059C0002CE8D -:02059E0002CF8A -:0205A00002D087 -:0205A20002D184 -:0205A40002D281 -:0205A60002D37E -:0205A80002D47B -:0205AA0002D578 -:0205AC0002D675 -:0205AE0002D772 -:0205B00002D86F -:0205B20002D96C -:0205B40002DA69 -:0205B60002DB66 -:0205B80002DC63 -:0205BA0002DD60 -:0205BC0002DE5D -:0205BE0002DF5A -:0205C00002E057 -:0205C20002E154 -:0205C40002E251 -:0205C60002E34E -:0205C80002E44B -:0205CA0002E548 -:0205CC0002E645 -:0205CE0002E742 -:0205D00002E83F -:0205D20002E93C -:0205D40002EA39 -:0205D60002EB36 -:0205D80002EC33 -:0205DA0002ED30 -:0205DC0002EE2D -:0205DE0002EF2A -:0205E00002F027 -:0205E20002F124 -:0205E40002F221 -:0205E60002F31E -:0205E80002F41B -:0205EA0002F518 -:0205EC0002F615 -:0205EE0002F712 -:0205F00002F80F -:0205F20002F90C -:0205F40002FA09 -:0205F60002FB06 -:0205F80002FC03 -:0205FA0002FD00 -:0205FC0002FEFD -:0205FE0002FFFA -:020600000300F5 -:020602000301F2 -:020604000302EF -:020606000303EC -:020608000304E9 -:02060A000305E6 -:02060C000306E3 -:02060E000307E0 -:020610000308DD -:020612000309DA -:02061400030AD7 -:02061600030BD4 -:02061800030CD1 -:02061A00030DCE -:02061C00030ECB -:02061E00030FC8 -:020620000310C5 -:020622000311C2 -:020624000312BF -:020626000313BC -:020628000314B9 -:02062A000315B6 -:02062C000316B3 -:02062E000317B0 -:020630000318AD -:020632000319AA -:02063400031AA7 -:02063600031BA4 -:02063800031CA1 -:02063A00031D9E -:02063C00031E9B -:02063E00031F98 -:02064000032095 -:02064200032192 -:0206440003228F -:0206460003238C -:02064800032489 -:02064A00032586 -:02064C00032683 -:02064E00032780 -:0206500003287D -:0206520003297A -:02065400032A77 -:02065600032B74 -:02065800032C71 -:02065A00032D6E -:02065C00032E6B -:02065E00032F68 -:02066000033065 -:02066200033162 -:0206640003325F -:0206660003335C -:02066800033459 -:02066A00033556 -:02066C00033653 -:02066E00033750 -:0206700003384D -:0206720003394A -:02067400033A47 -:02067600033B44 -:02067800033C41 -:02067A00033D3E -:02067C00033E3B -:02067E00033F38 -:02068000034035 -:02068200034132 -:0206840003422F -:0206860003432C -:02068800034429 -:02068A00034526 -:02068C00034623 -:02068E00034720 -:0206900003481D -:0206920003491A -:02069400034A17 -:02069600034B14 -:02069800034C11 -:02069A00034D0E -:02069C00034E0B -:02069E00034F08 -:0206A000035005 -:0206A200035102 -:0206A4000352FF -:0206A6000353FC -:0206A8000354F9 -:0206AA000355F6 -:0206AC000356F3 -:0206AE000357F0 -:0206B0000358ED -:0206B2000359EA -:0206B400035AE7 -:0206B600035BE4 -:0206B800035CE1 -:0206BA00035DDE -:0206BC00035EDB -:0206BE00035FD8 -:0206C0000360D5 -:0206C2000361D2 -:0206C4000362CF -:0206C6000363CC -:0206C8000364C9 -:0206CA000365C6 -:0206CC000366C3 -:0206CE000367C0 -:0206D0000368BD -:0206D2000369BA -:0206D400036AB7 -:0206D600036BB4 -:0206D800036CB1 -:0206DA00036DAE -:0206DC00036EAB -:0206DE00036FA8 -:0206E0000370A5 -:0206E2000371A2 -:0206E40003729F -:0206E60003739C -:0206E800037499 -:0206EA00037596 -:0206EC00037693 -:0206EE00037790 -:0206F00003788D -:0206F20003798A -:0206F400037A87 -:0206F600037B84 -:0206F800037C81 -:0206FA00037D7E -:0206FC00037E7B -:0206FE00037F78 -:02070000038074 -:02070200038171 -:0207040003826E -:0207060003836B -:02070800038468 -:02070A00038565 -:02070C00038662 -:02070E0003875F -:0207100003885C -:02071200038959 -:02071400038A56 -:02071600038B53 -:02071800038C50 -:02071A00038D4D -:02071C00038E4A -:02071E00038F47 -:02072000039044 -:02072200039141 -:0207240003923E -:0207260003933B -:02072800039438 -:02072A00039535 -:02072C00039632 -:02072E0003972F -:0207300003982C -:02073200039929 -:02073400039A26 -:02073600039B23 -:02073800039C20 -:02073A00039D1D -:02073C00039E1A -:02073E00039F17 -:0207400003A014 -:0207420003A111 -:0207440003A20E -:0207460003A30B -:0207480003A408 -:02074A0003A505 -:02074C0003A602 -:02074E0003A7FF -:0207500003A8FC -:0207520003A9F9 -:0207540003AAF6 -:0207560003ABF3 -:0207580003ACF0 -:02075A0003ADED -:02075C0003AEEA -:02075E0003AFE7 -:0207600003B0E4 -:0207620003B1E1 -:0207640003B2DE -:0207660003B3DB -:0207680003B4D8 -:02076A0003B5D5 -:02076C0003B6D2 -:02076E0003B7CF -:0207700003B8CC -:0207720003B9C9 -:0207740003BAC6 -:0207760003BBC3 -:0207780003BCC0 -:02077A0003BDBD -:02077C0003BEBA -:02077E0003BFB7 -:0207800003C0B4 -:0207820003C1B1 -:0207840003C2AE -:0207860003C3AB -:0207880003C4A8 -:02078A0003C5A5 -:02078C0003C6A2 -:02078E0003C79F -:0207900003C89C -:0207920003C999 -:0207940003CA96 -:0207960003CB93 -:0207980003CC90 -:02079A0003CD8D -:02079C0003CE8A -:02079E0003CF87 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_1.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_1.hex deleted file mode 100644 index 74be129757c1bfce5a599e04d5554091e0c6dccf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_1.hex +++ /dev/null @@ -1,977 +0,0 @@ -:020000000000FE -:020002000001FB -:020004000002F8 -:020006000003F5 -:020008000004F2 -:02000A000005EF -:02000C000006EC -:02000E000007E9 -:020010000008E6 -:020012000009E3 -:02001400000AE0 -:02001600000BDD -:02001800000CDA -:02001A00000DD7 -:02001C00000ED4 -:02001E00000FD1 -:020020000010CE -:020022000011CB -:020024000012C8 -:020026000013C5 -:020028000014C2 -:02002A000015BF -:02002C000016BC -:02002E000017B9 -:020030000018B6 -:020032000019B3 -:02003400001AB0 -:02003600001BAD -:02003800001CAA -:02003A00001DA7 -:02003C00001EA4 -:02003E00001FA1 -:0200400000209E -:0200420000219B -:02004400002298 -:02004600002395 -:02004800002492 -:02004A0000258F -:02004C0000268C -:02004E00002789 -:02005000002886 -:02005200002983 -:02005400002A80 -:02005600002B7D -:02005800002C7A -:02005A00002D77 -:02005C00002E74 -:02005E00002F71 -:0200600000306E -:0200620000316B -:02006400003268 -:02006600003365 -:02006800003462 -:02006A0000355F -:02006C0000365C -:02006E00003759 -:02007000003856 -:02007200003953 -:02007400003A50 -:02007600003B4D -:02007800003C4A -:02007A00003D47 -:02007C00003E44 -:02007E00003F41 -:0200800000403E -:0200820000413B -:02008400004238 -:02008600004335 -:02008800004432 -:02008A0000452F -:02008C0000462C -:02008E00004729 -:02009000004826 -:02009200004923 -:02009400004A20 -:02009600004B1D -:02009800004C1A -:02009A00004D17 -:02009C00004E14 -:02009E00004F11 -:0200A00000500E -:0200A20000510B -:0200A400005208 -:0200A600005305 -:0200A800005402 -:0200AA000055FF -:0200AC000056FC -:0200AE000057F9 -:0200B0000058F6 -:0200B2000059F3 -:0200B400005AF0 -:0200B600005BED -:0200B800005CEA -:0200BA00005DE7 -:0200BC00005EE4 -:0200BE00005FE1 -:0200C0000060DE -:0200C2000061DB -:0200C4000062D8 -:0200C6000063D5 -:0200C8000064D2 -:0200CA000065CF -:0200CC000066CC -:0200CE000067C9 -:0200D0000068C6 -:0200D2000069C3 -:0200D400006AC0 -:0200D600006BBD -:0200D800006CBA -:0200DA00006DB7 -:0200DC00006EB4 -:0200DE00006FB1 -:0200E0000070AE -:0200E2000071AB -:0200E4000072A8 -:0200E6000073A5 -:0200E8000074A2 -:0200EA0000759F -:0200EC0000769C -:0200EE00007799 -:0200F000007896 -:0200F200007993 -:0200F400007A90 -:0200F600007B8D -:0200F800007C8A -:0200FA00007D87 -:0200FC00007E84 -:0200FE00007F81 -:0201000000807D -:0201020000817A -:02010400008277 -:02010600008374 -:02010800008471 -:02010A0000856E -:02010C0000866B -:02010E00008768 -:02011000008865 -:02011200008962 -:02011400008A5F -:02011600008B5C -:02011800008C59 -:02011A00008D56 -:02011C00008E53 -:02011E00008F50 -:0201200000904D -:0201220000914A -:02012400009247 -:02012600009344 -:02012800009441 -:02012A0000953E -:02012C0000963B -:02012E00009738 -:02013000009835 -:02013200009932 -:02013400009A2F -:02013600009B2C -:02013800009C29 -:02013A00009D26 -:02013C00009E23 -:02013E00009F20 -:0201400000A01D -:0201420000A11A -:0201440000A217 -:0201460000A314 -:0201480000A411 -:02014A0000A50E -:02014C0000A60B -:02014E0000A708 -:0201500000A805 -:0201520000A902 -:0201540000AAFF -:0201560000ABFC -:0201580000ACF9 -:02015A0000ADF6 -:02015C0000AEF3 -:02015E0000AFF0 -:0201600000B0ED -:0201620000B1EA -:0201640000B2E7 -:0201660000B3E4 -:0201680000B4E1 -:02016A0000B5DE -:02016C0000B6DB -:02016E0000B7D8 -:0201700000B8D5 -:0201720000B9D2 -:0201740000BACF -:0201760000BBCC -:0201780000BCC9 -:02017A0000BDC6 -:02017C0000BEC3 -:02017E0000BFC0 -:0201800000C0BD -:0201820000C1BA -:0201840000C2B7 -:0201860000C3B4 -:0201880000C4B1 -:02018A0000C5AE -:02018C0000C6AB -:02018E0000C7A8 -:0201900000C8A5 -:0201920000C9A2 -:0201940000CA9F -:0201960000CB9C -:0201980000CC99 -:02019A0000CD96 -:02019C0000CE93 -:02019E0000CF90 -:0201A00000D08D -:0201A20000D18A -:0201A40000D287 -:0201A60000D384 -:0201A80000D481 -:0201AA0000D57E -:0201AC0000D67B -:0201AE0000D778 -:0201B00000D875 -:0201B20000D972 -:0201B40000DA6F -:0201B60000DB6C -:0201B80000DC69 -:0201BA0000DD66 -:0201BC0000DE63 -:0201BE0000DF60 -:0201C00000E05D -:0201C20000E15A -:0201C40000E257 -:0201C60000E354 -:0201C80000E451 -:0201CA0000E54E -:0201CC0000E64B -:0201CE0000E748 -:0201D00000E845 -:0201D20000E942 -:0201D40000EA3F -:0201D60000EB3C -:0201D80000EC39 -:0201DA0000ED36 -:0201DC0000EE33 -:0201DE0000EF30 -:0201E00000F02D -:0201E20000F12A -:0201E40000F227 -:0201E60000F324 -:0201E80000F421 -:0201EA0000F51E -:0201EC0000F61B -:0201EE0000F718 -:0201F00000F815 -:0201F20000F912 -:0201F40000FA0F -:0201F60000FB0C -:0201F80000FC09 -:0201FA0000FD06 -:0201FC0000FE03 -:0201FE0000FF00 -:020200000100FB -:020202000101F8 -:020204000102F5 -:020206000103F2 -:020208000104EF -:02020A000105EC -:02020C000106E9 -:02020E000107E6 -:020210000108E3 -:020212000109E0 -:02021400010ADD -:02021600010BDA -:02021800010CD7 -:02021A00010DD4 -:02021C00010ED1 -:02021E00010FCE -:020220000110CB -:020222000111C8 -:020224000112C5 -:020226000113C2 -:020228000114BF -:02022A000115BC -:02022C000116B9 -:02022E000117B6 -:020230000118B3 -:020232000119B0 -:02023400011AAD -:02023600011BAA -:02023800011CA7 -:02023A00011DA4 -:02023C00011EA1 -:02023E00011F9E -:0202400001209B -:02024200012198 -:02024400012295 -:02024600012392 -:0202480001248F -:02024A0001258C -:02024C00012689 -:02024E00012786 -:02025000012883 -:02025200012980 -:02025400012A7D -:02025600012B7A -:02025800012C77 -:02025A00012D74 -:02025C00012E71 -:02025E00012F6E -:0202600001306B -:02026200013168 -:02026400013265 -:02026600013362 -:0202680001345F -:02026A0001355C -:02026C00013659 -:02026E00013756 -:02027000013853 -:02027200013950 -:02027400013A4D -:02027600013B4A -:02027800013C47 -:02027A00013D44 -:02027C00013E41 -:02027E00013F3E -:0202800001403B -:02028200014138 -:02028400014235 -:02028600014332 -:0202880001442F -:02028A0001452C -:02028C00014629 -:02028E00014726 -:02029000014823 -:02029200014920 -:02029400014A1D -:02029600014B1A -:02029800014C17 -:02029A00014D14 -:02029C00014E11 -:02029E00014F0E -:0202A00001500B -:0202A200015108 -:0202A400015205 -:0202A600015302 -:0202A8000154FF -:0202AA000155FC -:0202AC000156F9 -:0202AE000157F6 -:0202B0000158F3 -:0202B2000159F0 -:0202B400015AED -:0202B600015BEA -:0202B800015CE7 -:0202BA00015DE4 -:0202BC00015EE1 -:0202BE00015FDE -:0202C0000160DB -:0202C2000161D8 -:0202C4000162D5 -:0202C6000163D2 -:0202C8000164CF -:0202CA000165CC -:0202CC000166C9 -:0202CE000167C6 -:0202D0000168C3 -:0202D2000169C0 -:0202D400016ABD -:0202D600016BBA -:0202D800016CB7 -:0202DA00016DB4 -:0202DC00016EB1 -:0202DE00016FAE -:0202E0000170AB -:0202E2000171A8 -:0202E4000172A5 -:0202E6000173A2 -:0202E80001749F -:0202EA0001759C -:0202EC00017699 -:0202EE00017796 -:0202F000017893 -:0202F200017990 -:0202F400017A8D -:0202F600017B8A -:0202F800017C87 -:0202FA00017D84 -:0202FC00017E81 -:0202FE00017F7E -:0203000001807A -:02030200018177 -:02030400018274 -:02030600018371 -:0203080001846E -:02030A0001856B -:02030C00018668 -:02030E00018765 -:02031000018862 -:0203120001895F -:02031400018A5C -:02031600018B59 -:02031800018C56 -:02031A00018D53 -:02031C00018E50 -:02031E00018F4D -:0203200001904A -:02032200019147 -:02032400019244 -:02032600019341 -:0203280001943E -:02032A0001953B -:02032C00019638 -:02032E00019735 -:02033000019832 -:0203320001992F -:02033400019A2C -:02033600019B29 -:02033800019C26 -:02033A00019D23 -:02033C00019E20 -:02033E00019F1D -:0203400001A01A -:0203420001A117 -:0203440001A214 -:0203460001A311 -:0203480001A40E -:02034A0001A50B -:02034C0001A608 -:02034E0001A705 -:0203500001A802 -:0203520001A9FF -:0203540001AAFC -:0203560001ABF9 -:0203580001ACF6 -:02035A0001ADF3 -:02035C0001AEF0 -:02035E0001AFED -:0203600001B0EA -:0203620001B1E7 -:0203640001B2E4 -:0203660001B3E1 -:0203680001B4DE -:02036A0001B5DB -:02036C0001B6D8 -:02036E0001B7D5 -:0203700001B8D2 -:0203720001B9CF -:0203740001BACC -:0203760001BBC9 -:0203780001BCC6 -:02037A0001BDC3 -:02037C0001BEC0 -:02037E0001BFBD -:0203800001C0BA -:0203820001C1B7 -:0203840001C2B4 -:0203860001C3B1 -:0203880001C4AE -:02038A0001C5AB -:02038C0001C6A8 -:02038E0001C7A5 -:0203900001C8A2 -:0203920001C99F -:0203940001CA9C -:0203960001CB99 -:0203980001CC96 -:02039A0001CD93 -:02039C0001CE90 -:02039E0001CF8D -:0203A00001D08A -:0203A20001D187 -:0203A40001D284 -:0203A60001D381 -:0203A80001D47E -:0203AA0001D57B -:0203AC0001D678 -:0203AE0001D775 -:0203B00001D872 -:0203B20001D96F -:0203B40001DA6C -:0203B60001DB69 -:0203B80001DC66 -:0203BA0001DD63 -:0203BC0001DE60 -:0203BE0001DF5D -:0203C00001E05A -:0203C20001E157 -:0203C40001E254 -:0203C60001E351 -:0203C80001E44E -:0203CA0001E54B -:0203CC0001E648 -:0203CE0001E745 -:0203D00001E842 -:0203D20001E93F -:0203D40001EA3C -:0203D60001EB39 -:0203D80001EC36 -:0203DA0001ED33 -:0203DC0001EE30 -:0203DE0001EF2D -:0203E00001F02A -:0203E20001F127 -:0203E40001F224 -:0203E60001F321 -:0203E80001F41E -:0203EA0001F51B -:0203EC0001F618 -:0203EE0001F715 -:0203F00001F812 -:0203F20001F90F -:0203F40001FA0C -:0203F60001FB09 -:0203F80001FC06 -:0203FA0001FD03 -:0203FC0001FE00 -:0203FE0001FFFD -:020400000200F8 -:020402000201F5 -:020404000202F2 -:020406000203EF -:020408000204EC -:02040A000205E9 -:02040C000206E6 -:02040E000207E3 -:020410000208E0 -:020412000209DD -:02041400020ADA -:02041600020BD7 -:02041800020CD4 -:02041A00020DD1 -:02041C00020ECE -:02041E00020FCB -:020420000210C8 -:020422000211C5 -:020424000212C2 -:020426000213BF -:020428000214BC -:02042A000215B9 -:02042C000216B6 -:02042E000217B3 -:020430000218B0 -:020432000219AD -:02043400021AAA -:02043600021BA7 -:02043800021CA4 -:02043A00021DA1 -:02043C00021E9E -:02043E00021F9B -:02044000022098 -:02044200022195 -:02044400022292 -:0204460002238F -:0204480002248C -:02044A00022589 -:02044C00022686 -:02044E00022783 -:02045000022880 -:0204520002297D -:02045400022A7A -:02045600022B77 -:02045800022C74 -:02045A00022D71 -:02045C00022E6E -:02045E00022F6B -:02046000023068 -:02046200023165 -:02046400023262 -:0204660002335F -:0204680002345C -:02046A00023559 -:02046C00023656 -:02046E00023753 -:02047000023850 -:0204720002394D -:02047400023A4A -:02047600023B47 -:02047800023C44 -:02047A00023D41 -:02047C00023E3E -:02047E00023F3B -:02048000024038 -:02048200024135 -:02048400024232 -:0204860002432F -:0204880002442C -:02048A00024529 -:02048C00024626 -:02048E00024723 -:02049000024820 -:0204920002491D -:02049400024A1A -:02049600024B17 -:02049800024C14 -:02049A00024D11 -:02049C00024E0E -:02049E00024F0B -:0204A000025008 -:0204A200025105 -:0204A400025202 -:0204A6000253FF -:0204A8000254FC -:0204AA000255F9 -:0204AC000256F6 -:0204AE000257F3 -:0204B0000258F0 -:0204B2000259ED -:0204B400025AEA -:0204B600025BE7 -:0204B800025CE4 -:0204BA00025DE1 -:0204BC00025EDE -:0204BE00025FDB -:0204C0000260D8 -:0204C2000261D5 -:0204C4000262D2 -:0204C6000263CF -:0204C8000264CC -:0204CA000265C9 -:0204CC000266C6 -:0204CE000267C3 -:0204D0000268C0 -:0204D2000269BD -:0204D400026ABA -:0204D600026BB7 -:0204D800026CB4 -:0204DA00026DB1 -:0204DC00026EAE -:0204DE00026FAB -:0204E0000270A8 -:0204E2000271A5 -:0204E4000272A2 -:0204E60002739F -:0204E80002749C -:0204EA00027599 -:0204EC00027696 -:0204EE00027793 -:0204F000027890 -:0204F20002798D -:0204F400027A8A -:0204F600027B87 -:0204F800027C84 -:0204FA00027D81 -:0204FC00027E7E -:0204FE00027F7B -:02050000028077 -:02050200028174 -:02050400028271 -:0205060002836E -:0205080002846B -:02050A00028568 -:02050C00028665 -:02050E00028762 -:0205100002885F -:0205120002895C -:02051400028A59 -:02051600028B56 -:02051800028C53 -:02051A00028D50 -:02051C00028E4D -:02051E00028F4A -:02052000029047 -:02052200029144 -:02052400029241 -:0205260002933E -:0205280002943B -:02052A00029538 -:02052C00029635 -:02052E00029732 -:0205300002982F -:0205320002992C -:02053400029A29 -:02053600029B26 -:02053800029C23 -:02053A00029D20 -:02053C00029E1D -:02053E00029F1A -:0205400002A017 -:0205420002A114 -:0205440002A211 -:0205460002A30E -:0205480002A40B -:02054A0002A508 -:02054C0002A605 -:02054E0002A702 -:0205500002A8FF -:0205520002A9FC -:0205540002AAF9 -:0205560002ABF6 -:0205580002ACF3 -:02055A0002ADF0 -:02055C0002AEED -:02055E0002AFEA -:0205600002B0E7 -:0205620002B1E4 -:0205640002B2E1 -:0205660002B3DE -:0205680002B4DB -:02056A0002B5D8 -:02056C0002B6D5 -:02056E0002B7D2 -:0205700002B8CF -:0205720002B9CC -:0205740002BAC9 -:0205760002BBC6 -:0205780002BCC3 -:02057A0002BDC0 -:02057C0002BEBD -:02057E0002BFBA -:0205800002C0B7 -:0205820002C1B4 -:0205840002C2B1 -:0205860002C3AE -:0205880002C4AB -:02058A0002C5A8 -:02058C0002C6A5 -:02058E0002C7A2 -:0205900002C89F -:0205920002C99C -:0205940002CA99 -:0205960002CB96 -:0205980002CC93 -:02059A0002CD90 -:02059C0002CE8D -:02059E0002CF8A -:0205A00002D087 -:0205A20002D184 -:0205A40002D281 -:0205A60002D37E -:0205A80002D47B -:0205AA0002D578 -:0205AC0002D675 -:0205AE0002D772 -:0205B00002D86F -:0205B20002D96C -:0205B40002DA69 -:0205B60002DB66 -:0205B80002DC63 -:0205BA0002DD60 -:0205BC0002DE5D -:0205BE0002DF5A -:0205C00002E057 -:0205C20002E154 -:0205C40002E251 -:0205C60002E34E -:0205C80002E44B -:0205CA0002E548 -:0205CC0002E645 -:0205CE0002E742 -:0205D00002E83F -:0205D20002E93C -:0205D40002EA39 -:0205D60002EB36 -:0205D80002EC33 -:0205DA0002ED30 -:0205DC0002EE2D -:0205DE0002EF2A -:0205E00002F027 -:0205E20002F124 -:0205E40002F221 -:0205E60002F31E -:0205E80002F41B -:0205EA0002F518 -:0205EC0002F615 -:0205EE0002F712 -:0205F00002F80F -:0205F20002F90C -:0205F40002FA09 -:0205F60002FB06 -:0205F80002FC03 -:0205FA0002FD00 -:0205FC0002FEFD -:0205FE0002FFFA -:020600000300F5 -:020602000301F2 -:020604000302EF -:020606000303EC -:020608000304E9 -:02060A000305E6 -:02060C000306E3 -:02060E000307E0 -:020610000308DD -:020612000309DA -:02061400030AD7 -:02061600030BD4 -:02061800030CD1 -:02061A00030DCE -:02061C00030ECB -:02061E00030FC8 -:020620000310C5 -:020622000311C2 -:020624000312BF -:020626000313BC -:020628000314B9 -:02062A000315B6 -:02062C000316B3 -:02062E000317B0 -:020630000318AD -:020632000319AA -:02063400031AA7 -:02063600031BA4 -:02063800031CA1 -:02063A00031D9E -:02063C00031E9B -:02063E00031F98 -:02064000032095 -:02064200032192 -:0206440003228F -:0206460003238C -:02064800032489 -:02064A00032586 -:02064C00032683 -:02064E00032780 -:0206500003287D -:0206520003297A -:02065400032A77 -:02065600032B74 -:02065800032C71 -:02065A00032D6E -:02065C00032E6B -:02065E00032F68 -:02066000033065 -:02066200033162 -:0206640003325F -:0206660003335C -:02066800033459 -:02066A00033556 -:02066C00033653 -:02066E00033750 -:0206700003384D -:0206720003394A -:02067400033A47 -:02067600033B44 -:02067800033C41 -:02067A00033D3E -:02067C00033E3B -:02067E00033F38 -:02068000034035 -:02068200034132 -:0206840003422F -:0206860003432C -:02068800034429 -:02068A00034526 -:02068C00034623 -:02068E00034720 -:0206900003481D -:0206920003491A -:02069400034A17 -:02069600034B14 -:02069800034C11 -:02069A00034D0E -:02069C00034E0B -:02069E00034F08 -:0206A000035005 -:0206A200035102 -:0206A4000352FF -:0206A6000353FC -:0206A8000354F9 -:0206AA000355F6 -:0206AC000356F3 -:0206AE000357F0 -:0206B0000358ED -:0206B2000359EA -:0206B400035AE7 -:0206B600035BE4 -:0206B800035CE1 -:0206BA00035DDE -:0206BC00035EDB -:0206BE00035FD8 -:0206C0000360D5 -:0206C2000361D2 -:0206C4000362CF -:0206C6000363CC -:0206C8000364C9 -:0206CA000365C6 -:0206CC000366C3 -:0206CE000367C0 -:0206D0000368BD -:0206D2000369BA -:0206D400036AB7 -:0206D600036BB4 -:0206D800036CB1 -:0206DA00036DAE -:0206DC00036EAB -:0206DE00036FA8 -:0206E0000370A5 -:0206E2000371A2 -:0206E40003729F -:0206E60003739C -:0206E800037499 -:0206EA00037596 -:0206EC00037693 -:0206EE00037790 -:0206F00003788D -:0206F20003798A -:0206F400037A87 -:0206F600037B84 -:0206F800037C81 -:0206FA00037D7E -:0206FC00037E7B -:0206FE00037F78 -:02070000038074 -:02070200038171 -:0207040003826E -:0207060003836B -:02070800038468 -:02070A00038565 -:02070C00038662 -:02070E0003875F -:0207100003885C -:02071200038959 -:02071400038A56 -:02071600038B53 -:02071800038C50 -:02071A00038D4D -:02071C00038E4A -:02071E00038F47 -:02072000039044 -:02072200039141 -:0207240003923E -:0207260003933B -:02072800039438 -:02072A00039535 -:02072C00039632 -:02072E0003972F -:0207300003982C -:02073200039929 -:02073400039A26 -:02073600039B23 -:02073800039C20 -:02073A00039D1D -:02073C00039E1A -:02073E00039F17 -:0207400003A014 -:0207420003A111 -:0207440003A20E -:0207460003A30B -:0207480003A408 -:02074A0003A505 -:02074C0003A602 -:02074E0003A7FF -:0207500003A8FC -:0207520003A9F9 -:0207540003AAF6 -:0207560003ABF3 -:0207580003ACF0 -:02075A0003ADED -:02075C0003AEEA -:02075E0003AFE7 -:0207600003B0E4 -:0207620003B1E1 -:0207640003B2DE -:0207660003B3DB -:0207680003B4D8 -:02076A0003B5D5 -:02076C0003B6D2 -:02076E0003B7CF -:0207700003B8CC -:0207720003B9C9 -:0207740003BAC6 -:0207760003BBC3 -:0207780003BCC0 -:02077A0003BDBD -:02077C0003BEBA -:02077E0003BFB7 -:0207800003C0B4 -:0207820003C1B1 -:0207840003C2AE -:0207860003C3AB -:0207880003C4A8 -:02078A0003C5A5 -:02078C0003C6A2 -:02078E0003C79F -:0207900003C89C -:0207920003C999 -:0207940003CA96 -:0207960003CB93 -:0207980003CC90 -:02079A0003CD8D -:02079C0003CE8A -:02079E0003CF87 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_2.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_2.hex deleted file mode 100644 index 74be129757c1bfce5a599e04d5554091e0c6dccf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_2.hex +++ /dev/null @@ -1,977 +0,0 @@ -:020000000000FE -:020002000001FB -:020004000002F8 -:020006000003F5 -:020008000004F2 -:02000A000005EF -:02000C000006EC -:02000E000007E9 -:020010000008E6 -:020012000009E3 -:02001400000AE0 -:02001600000BDD -:02001800000CDA -:02001A00000DD7 -:02001C00000ED4 -:02001E00000FD1 -:020020000010CE -:020022000011CB -:020024000012C8 -:020026000013C5 -:020028000014C2 -:02002A000015BF -:02002C000016BC -:02002E000017B9 -:020030000018B6 -:020032000019B3 -:02003400001AB0 -:02003600001BAD -:02003800001CAA -:02003A00001DA7 -:02003C00001EA4 -:02003E00001FA1 -:0200400000209E -:0200420000219B -:02004400002298 -:02004600002395 -:02004800002492 -:02004A0000258F -:02004C0000268C -:02004E00002789 -:02005000002886 -:02005200002983 -:02005400002A80 -:02005600002B7D -:02005800002C7A -:02005A00002D77 -:02005C00002E74 -:02005E00002F71 -:0200600000306E -:0200620000316B -:02006400003268 -:02006600003365 -:02006800003462 -:02006A0000355F -:02006C0000365C -:02006E00003759 -:02007000003856 -:02007200003953 -:02007400003A50 -:02007600003B4D -:02007800003C4A -:02007A00003D47 -:02007C00003E44 -:02007E00003F41 -:0200800000403E -:0200820000413B -:02008400004238 -:02008600004335 -:02008800004432 -:02008A0000452F -:02008C0000462C -:02008E00004729 -:02009000004826 -:02009200004923 -:02009400004A20 -:02009600004B1D -:02009800004C1A -:02009A00004D17 -:02009C00004E14 -:02009E00004F11 -:0200A00000500E -:0200A20000510B -:0200A400005208 -:0200A600005305 -:0200A800005402 -:0200AA000055FF -:0200AC000056FC -:0200AE000057F9 -:0200B0000058F6 -:0200B2000059F3 -:0200B400005AF0 -:0200B600005BED -:0200B800005CEA -:0200BA00005DE7 -:0200BC00005EE4 -:0200BE00005FE1 -:0200C0000060DE -:0200C2000061DB -:0200C4000062D8 -:0200C6000063D5 -:0200C8000064D2 -:0200CA000065CF -:0200CC000066CC -:0200CE000067C9 -:0200D0000068C6 -:0200D2000069C3 -:0200D400006AC0 -:0200D600006BBD -:0200D800006CBA -:0200DA00006DB7 -:0200DC00006EB4 -:0200DE00006FB1 -:0200E0000070AE -:0200E2000071AB -:0200E4000072A8 -:0200E6000073A5 -:0200E8000074A2 -:0200EA0000759F -:0200EC0000769C -:0200EE00007799 -:0200F000007896 -:0200F200007993 -:0200F400007A90 -:0200F600007B8D -:0200F800007C8A -:0200FA00007D87 -:0200FC00007E84 -:0200FE00007F81 -:0201000000807D -:0201020000817A -:02010400008277 -:02010600008374 -:02010800008471 -:02010A0000856E -:02010C0000866B -:02010E00008768 -:02011000008865 -:02011200008962 -:02011400008A5F -:02011600008B5C -:02011800008C59 -:02011A00008D56 -:02011C00008E53 -:02011E00008F50 -:0201200000904D -:0201220000914A -:02012400009247 -:02012600009344 -:02012800009441 -:02012A0000953E -:02012C0000963B -:02012E00009738 -:02013000009835 -:02013200009932 -:02013400009A2F -:02013600009B2C -:02013800009C29 -:02013A00009D26 -:02013C00009E23 -:02013E00009F20 -:0201400000A01D -:0201420000A11A -:0201440000A217 -:0201460000A314 -:0201480000A411 -:02014A0000A50E -:02014C0000A60B -:02014E0000A708 -:0201500000A805 -:0201520000A902 -:0201540000AAFF -:0201560000ABFC -:0201580000ACF9 -:02015A0000ADF6 -:02015C0000AEF3 -:02015E0000AFF0 -:0201600000B0ED -:0201620000B1EA -:0201640000B2E7 -:0201660000B3E4 -:0201680000B4E1 -:02016A0000B5DE -:02016C0000B6DB -:02016E0000B7D8 -:0201700000B8D5 -:0201720000B9D2 -:0201740000BACF -:0201760000BBCC -:0201780000BCC9 -:02017A0000BDC6 -:02017C0000BEC3 -:02017E0000BFC0 -:0201800000C0BD -:0201820000C1BA -:0201840000C2B7 -:0201860000C3B4 -:0201880000C4B1 -:02018A0000C5AE -:02018C0000C6AB -:02018E0000C7A8 -:0201900000C8A5 -:0201920000C9A2 -:0201940000CA9F -:0201960000CB9C -:0201980000CC99 -:02019A0000CD96 -:02019C0000CE93 -:02019E0000CF90 -:0201A00000D08D -:0201A20000D18A -:0201A40000D287 -:0201A60000D384 -:0201A80000D481 -:0201AA0000D57E -:0201AC0000D67B -:0201AE0000D778 -:0201B00000D875 -:0201B20000D972 -:0201B40000DA6F -:0201B60000DB6C -:0201B80000DC69 -:0201BA0000DD66 -:0201BC0000DE63 -:0201BE0000DF60 -:0201C00000E05D -:0201C20000E15A -:0201C40000E257 -:0201C60000E354 -:0201C80000E451 -:0201CA0000E54E -:0201CC0000E64B -:0201CE0000E748 -:0201D00000E845 -:0201D20000E942 -:0201D40000EA3F -:0201D60000EB3C -:0201D80000EC39 -:0201DA0000ED36 -:0201DC0000EE33 -:0201DE0000EF30 -:0201E00000F02D -:0201E20000F12A -:0201E40000F227 -:0201E60000F324 -:0201E80000F421 -:0201EA0000F51E -:0201EC0000F61B -:0201EE0000F718 -:0201F00000F815 -:0201F20000F912 -:0201F40000FA0F -:0201F60000FB0C -:0201F80000FC09 -:0201FA0000FD06 -:0201FC0000FE03 -:0201FE0000FF00 -:020200000100FB -:020202000101F8 -:020204000102F5 -:020206000103F2 -:020208000104EF -:02020A000105EC -:02020C000106E9 -:02020E000107E6 -:020210000108E3 -:020212000109E0 -:02021400010ADD -:02021600010BDA -:02021800010CD7 -:02021A00010DD4 -:02021C00010ED1 -:02021E00010FCE -:020220000110CB -:020222000111C8 -:020224000112C5 -:020226000113C2 -:020228000114BF -:02022A000115BC -:02022C000116B9 -:02022E000117B6 -:020230000118B3 -:020232000119B0 -:02023400011AAD -:02023600011BAA -:02023800011CA7 -:02023A00011DA4 -:02023C00011EA1 -:02023E00011F9E -:0202400001209B -:02024200012198 -:02024400012295 -:02024600012392 -:0202480001248F -:02024A0001258C -:02024C00012689 -:02024E00012786 -:02025000012883 -:02025200012980 -:02025400012A7D -:02025600012B7A -:02025800012C77 -:02025A00012D74 -:02025C00012E71 -:02025E00012F6E -:0202600001306B -:02026200013168 -:02026400013265 -:02026600013362 -:0202680001345F -:02026A0001355C -:02026C00013659 -:02026E00013756 -:02027000013853 -:02027200013950 -:02027400013A4D -:02027600013B4A -:02027800013C47 -:02027A00013D44 -:02027C00013E41 -:02027E00013F3E -:0202800001403B -:02028200014138 -:02028400014235 -:02028600014332 -:0202880001442F -:02028A0001452C -:02028C00014629 -:02028E00014726 -:02029000014823 -:02029200014920 -:02029400014A1D -:02029600014B1A -:02029800014C17 -:02029A00014D14 -:02029C00014E11 -:02029E00014F0E -:0202A00001500B -:0202A200015108 -:0202A400015205 -:0202A600015302 -:0202A8000154FF -:0202AA000155FC -:0202AC000156F9 -:0202AE000157F6 -:0202B0000158F3 -:0202B2000159F0 -:0202B400015AED -:0202B600015BEA -:0202B800015CE7 -:0202BA00015DE4 -:0202BC00015EE1 -:0202BE00015FDE -:0202C0000160DB -:0202C2000161D8 -:0202C4000162D5 -:0202C6000163D2 -:0202C8000164CF -:0202CA000165CC -:0202CC000166C9 -:0202CE000167C6 -:0202D0000168C3 -:0202D2000169C0 -:0202D400016ABD -:0202D600016BBA -:0202D800016CB7 -:0202DA00016DB4 -:0202DC00016EB1 -:0202DE00016FAE -:0202E0000170AB -:0202E2000171A8 -:0202E4000172A5 -:0202E6000173A2 -:0202E80001749F -:0202EA0001759C -:0202EC00017699 -:0202EE00017796 -:0202F000017893 -:0202F200017990 -:0202F400017A8D -:0202F600017B8A -:0202F800017C87 -:0202FA00017D84 -:0202FC00017E81 -:0202FE00017F7E -:0203000001807A -:02030200018177 -:02030400018274 -:02030600018371 -:0203080001846E -:02030A0001856B -:02030C00018668 -:02030E00018765 -:02031000018862 -:0203120001895F -:02031400018A5C -:02031600018B59 -:02031800018C56 -:02031A00018D53 -:02031C00018E50 -:02031E00018F4D -:0203200001904A -:02032200019147 -:02032400019244 -:02032600019341 -:0203280001943E -:02032A0001953B -:02032C00019638 -:02032E00019735 -:02033000019832 -:0203320001992F -:02033400019A2C -:02033600019B29 -:02033800019C26 -:02033A00019D23 -:02033C00019E20 -:02033E00019F1D -:0203400001A01A -:0203420001A117 -:0203440001A214 -:0203460001A311 -:0203480001A40E -:02034A0001A50B -:02034C0001A608 -:02034E0001A705 -:0203500001A802 -:0203520001A9FF -:0203540001AAFC -:0203560001ABF9 -:0203580001ACF6 -:02035A0001ADF3 -:02035C0001AEF0 -:02035E0001AFED -:0203600001B0EA -:0203620001B1E7 -:0203640001B2E4 -:0203660001B3E1 -:0203680001B4DE -:02036A0001B5DB -:02036C0001B6D8 -:02036E0001B7D5 -:0203700001B8D2 -:0203720001B9CF -:0203740001BACC -:0203760001BBC9 -:0203780001BCC6 -:02037A0001BDC3 -:02037C0001BEC0 -:02037E0001BFBD -:0203800001C0BA -:0203820001C1B7 -:0203840001C2B4 -:0203860001C3B1 -:0203880001C4AE -:02038A0001C5AB -:02038C0001C6A8 -:02038E0001C7A5 -:0203900001C8A2 -:0203920001C99F -:0203940001CA9C -:0203960001CB99 -:0203980001CC96 -:02039A0001CD93 -:02039C0001CE90 -:02039E0001CF8D -:0203A00001D08A -:0203A20001D187 -:0203A40001D284 -:0203A60001D381 -:0203A80001D47E -:0203AA0001D57B -:0203AC0001D678 -:0203AE0001D775 -:0203B00001D872 -:0203B20001D96F -:0203B40001DA6C -:0203B60001DB69 -:0203B80001DC66 -:0203BA0001DD63 -:0203BC0001DE60 -:0203BE0001DF5D -:0203C00001E05A -:0203C20001E157 -:0203C40001E254 -:0203C60001E351 -:0203C80001E44E -:0203CA0001E54B -:0203CC0001E648 -:0203CE0001E745 -:0203D00001E842 -:0203D20001E93F -:0203D40001EA3C -:0203D60001EB39 -:0203D80001EC36 -:0203DA0001ED33 -:0203DC0001EE30 -:0203DE0001EF2D -:0203E00001F02A -:0203E20001F127 -:0203E40001F224 -:0203E60001F321 -:0203E80001F41E -:0203EA0001F51B -:0203EC0001F618 -:0203EE0001F715 -:0203F00001F812 -:0203F20001F90F -:0203F40001FA0C -:0203F60001FB09 -:0203F80001FC06 -:0203FA0001FD03 -:0203FC0001FE00 -:0203FE0001FFFD -:020400000200F8 -:020402000201F5 -:020404000202F2 -:020406000203EF -:020408000204EC -:02040A000205E9 -:02040C000206E6 -:02040E000207E3 -:020410000208E0 -:020412000209DD -:02041400020ADA -:02041600020BD7 -:02041800020CD4 -:02041A00020DD1 -:02041C00020ECE -:02041E00020FCB -:020420000210C8 -:020422000211C5 -:020424000212C2 -:020426000213BF -:020428000214BC -:02042A000215B9 -:02042C000216B6 -:02042E000217B3 -:020430000218B0 -:020432000219AD -:02043400021AAA -:02043600021BA7 -:02043800021CA4 -:02043A00021DA1 -:02043C00021E9E -:02043E00021F9B -:02044000022098 -:02044200022195 -:02044400022292 -:0204460002238F -:0204480002248C -:02044A00022589 -:02044C00022686 -:02044E00022783 -:02045000022880 -:0204520002297D -:02045400022A7A -:02045600022B77 -:02045800022C74 -:02045A00022D71 -:02045C00022E6E -:02045E00022F6B -:02046000023068 -:02046200023165 -:02046400023262 -:0204660002335F -:0204680002345C -:02046A00023559 -:02046C00023656 -:02046E00023753 -:02047000023850 -:0204720002394D -:02047400023A4A -:02047600023B47 -:02047800023C44 -:02047A00023D41 -:02047C00023E3E -:02047E00023F3B -:02048000024038 -:02048200024135 -:02048400024232 -:0204860002432F -:0204880002442C -:02048A00024529 -:02048C00024626 -:02048E00024723 -:02049000024820 -:0204920002491D -:02049400024A1A -:02049600024B17 -:02049800024C14 -:02049A00024D11 -:02049C00024E0E -:02049E00024F0B -:0204A000025008 -:0204A200025105 -:0204A400025202 -:0204A6000253FF -:0204A8000254FC -:0204AA000255F9 -:0204AC000256F6 -:0204AE000257F3 -:0204B0000258F0 -:0204B2000259ED -:0204B400025AEA -:0204B600025BE7 -:0204B800025CE4 -:0204BA00025DE1 -:0204BC00025EDE -:0204BE00025FDB -:0204C0000260D8 -:0204C2000261D5 -:0204C4000262D2 -:0204C6000263CF -:0204C8000264CC -:0204CA000265C9 -:0204CC000266C6 -:0204CE000267C3 -:0204D0000268C0 -:0204D2000269BD -:0204D400026ABA -:0204D600026BB7 -:0204D800026CB4 -:0204DA00026DB1 -:0204DC00026EAE -:0204DE00026FAB -:0204E0000270A8 -:0204E2000271A5 -:0204E4000272A2 -:0204E60002739F -:0204E80002749C -:0204EA00027599 -:0204EC00027696 -:0204EE00027793 -:0204F000027890 -:0204F20002798D -:0204F400027A8A -:0204F600027B87 -:0204F800027C84 -:0204FA00027D81 -:0204FC00027E7E -:0204FE00027F7B -:02050000028077 -:02050200028174 -:02050400028271 -:0205060002836E -:0205080002846B -:02050A00028568 -:02050C00028665 -:02050E00028762 -:0205100002885F -:0205120002895C -:02051400028A59 -:02051600028B56 -:02051800028C53 -:02051A00028D50 -:02051C00028E4D -:02051E00028F4A -:02052000029047 -:02052200029144 -:02052400029241 -:0205260002933E -:0205280002943B -:02052A00029538 -:02052C00029635 -:02052E00029732 -:0205300002982F -:0205320002992C -:02053400029A29 -:02053600029B26 -:02053800029C23 -:02053A00029D20 -:02053C00029E1D -:02053E00029F1A -:0205400002A017 -:0205420002A114 -:0205440002A211 -:0205460002A30E -:0205480002A40B -:02054A0002A508 -:02054C0002A605 -:02054E0002A702 -:0205500002A8FF -:0205520002A9FC -:0205540002AAF9 -:0205560002ABF6 -:0205580002ACF3 -:02055A0002ADF0 -:02055C0002AEED -:02055E0002AFEA -:0205600002B0E7 -:0205620002B1E4 -:0205640002B2E1 -:0205660002B3DE -:0205680002B4DB -:02056A0002B5D8 -:02056C0002B6D5 -:02056E0002B7D2 -:0205700002B8CF -:0205720002B9CC -:0205740002BAC9 -:0205760002BBC6 -:0205780002BCC3 -:02057A0002BDC0 -:02057C0002BEBD -:02057E0002BFBA -:0205800002C0B7 -:0205820002C1B4 -:0205840002C2B1 -:0205860002C3AE -:0205880002C4AB -:02058A0002C5A8 -:02058C0002C6A5 -:02058E0002C7A2 -:0205900002C89F -:0205920002C99C -:0205940002CA99 -:0205960002CB96 -:0205980002CC93 -:02059A0002CD90 -:02059C0002CE8D -:02059E0002CF8A -:0205A00002D087 -:0205A20002D184 -:0205A40002D281 -:0205A60002D37E -:0205A80002D47B -:0205AA0002D578 -:0205AC0002D675 -:0205AE0002D772 -:0205B00002D86F -:0205B20002D96C -:0205B40002DA69 -:0205B60002DB66 -:0205B80002DC63 -:0205BA0002DD60 -:0205BC0002DE5D -:0205BE0002DF5A -:0205C00002E057 -:0205C20002E154 -:0205C40002E251 -:0205C60002E34E -:0205C80002E44B -:0205CA0002E548 -:0205CC0002E645 -:0205CE0002E742 -:0205D00002E83F -:0205D20002E93C -:0205D40002EA39 -:0205D60002EB36 -:0205D80002EC33 -:0205DA0002ED30 -:0205DC0002EE2D -:0205DE0002EF2A -:0205E00002F027 -:0205E20002F124 -:0205E40002F221 -:0205E60002F31E -:0205E80002F41B -:0205EA0002F518 -:0205EC0002F615 -:0205EE0002F712 -:0205F00002F80F -:0205F20002F90C -:0205F40002FA09 -:0205F60002FB06 -:0205F80002FC03 -:0205FA0002FD00 -:0205FC0002FEFD -:0205FE0002FFFA -:020600000300F5 -:020602000301F2 -:020604000302EF -:020606000303EC -:020608000304E9 -:02060A000305E6 -:02060C000306E3 -:02060E000307E0 -:020610000308DD -:020612000309DA -:02061400030AD7 -:02061600030BD4 -:02061800030CD1 -:02061A00030DCE -:02061C00030ECB -:02061E00030FC8 -:020620000310C5 -:020622000311C2 -:020624000312BF -:020626000313BC -:020628000314B9 -:02062A000315B6 -:02062C000316B3 -:02062E000317B0 -:020630000318AD -:020632000319AA -:02063400031AA7 -:02063600031BA4 -:02063800031CA1 -:02063A00031D9E -:02063C00031E9B -:02063E00031F98 -:02064000032095 -:02064200032192 -:0206440003228F -:0206460003238C -:02064800032489 -:02064A00032586 -:02064C00032683 -:02064E00032780 -:0206500003287D -:0206520003297A -:02065400032A77 -:02065600032B74 -:02065800032C71 -:02065A00032D6E -:02065C00032E6B -:02065E00032F68 -:02066000033065 -:02066200033162 -:0206640003325F -:0206660003335C -:02066800033459 -:02066A00033556 -:02066C00033653 -:02066E00033750 -:0206700003384D -:0206720003394A -:02067400033A47 -:02067600033B44 -:02067800033C41 -:02067A00033D3E -:02067C00033E3B -:02067E00033F38 -:02068000034035 -:02068200034132 -:0206840003422F -:0206860003432C -:02068800034429 -:02068A00034526 -:02068C00034623 -:02068E00034720 -:0206900003481D -:0206920003491A -:02069400034A17 -:02069600034B14 -:02069800034C11 -:02069A00034D0E -:02069C00034E0B -:02069E00034F08 -:0206A000035005 -:0206A200035102 -:0206A4000352FF -:0206A6000353FC -:0206A8000354F9 -:0206AA000355F6 -:0206AC000356F3 -:0206AE000357F0 -:0206B0000358ED -:0206B2000359EA -:0206B400035AE7 -:0206B600035BE4 -:0206B800035CE1 -:0206BA00035DDE -:0206BC00035EDB -:0206BE00035FD8 -:0206C0000360D5 -:0206C2000361D2 -:0206C4000362CF -:0206C6000363CC -:0206C8000364C9 -:0206CA000365C6 -:0206CC000366C3 -:0206CE000367C0 -:0206D0000368BD -:0206D2000369BA -:0206D400036AB7 -:0206D600036BB4 -:0206D800036CB1 -:0206DA00036DAE -:0206DC00036EAB -:0206DE00036FA8 -:0206E0000370A5 -:0206E2000371A2 -:0206E40003729F -:0206E60003739C -:0206E800037499 -:0206EA00037596 -:0206EC00037693 -:0206EE00037790 -:0206F00003788D -:0206F20003798A -:0206F400037A87 -:0206F600037B84 -:0206F800037C81 -:0206FA00037D7E -:0206FC00037E7B -:0206FE00037F78 -:02070000038074 -:02070200038171 -:0207040003826E -:0207060003836B -:02070800038468 -:02070A00038565 -:02070C00038662 -:02070E0003875F -:0207100003885C -:02071200038959 -:02071400038A56 -:02071600038B53 -:02071800038C50 -:02071A00038D4D -:02071C00038E4A -:02071E00038F47 -:02072000039044 -:02072200039141 -:0207240003923E -:0207260003933B -:02072800039438 -:02072A00039535 -:02072C00039632 -:02072E0003972F -:0207300003982C -:02073200039929 -:02073400039A26 -:02073600039B23 -:02073800039C20 -:02073A00039D1D -:02073C00039E1A -:02073E00039F17 -:0207400003A014 -:0207420003A111 -:0207440003A20E -:0207460003A30B -:0207480003A408 -:02074A0003A505 -:02074C0003A602 -:02074E0003A7FF -:0207500003A8FC -:0207520003A9F9 -:0207540003AAF6 -:0207560003ABF3 -:0207580003ACF0 -:02075A0003ADED -:02075C0003AEEA -:02075E0003AFE7 -:0207600003B0E4 -:0207620003B1E1 -:0207640003B2DE -:0207660003B3DB -:0207680003B4D8 -:02076A0003B5D5 -:02076C0003B6D2 -:02076E0003B7CF -:0207700003B8CC -:0207720003B9C9 -:0207740003BAC6 -:0207760003BBC3 -:0207780003BCC0 -:02077A0003BDBD -:02077C0003BEBA -:02077E0003BFB7 -:0207800003C0B4 -:0207820003C1B1 -:0207840003C2AE -:0207860003C3AB -:0207880003C4A8 -:02078A0003C5A5 -:02078C0003C6A2 -:02078E0003C79F -:0207900003C89C -:0207920003C999 -:0207940003CA96 -:0207960003CB93 -:0207980003CC90 -:02079A0003CD8D -:02079C0003CE8A -:02079E0003CF87 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_3.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_3.hex deleted file mode 100644 index 74be129757c1bfce5a599e04d5554091e0c6dccf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_3.hex +++ /dev/null @@ -1,977 +0,0 @@ -:020000000000FE -:020002000001FB -:020004000002F8 -:020006000003F5 -:020008000004F2 -:02000A000005EF -:02000C000006EC -:02000E000007E9 -:020010000008E6 -:020012000009E3 -:02001400000AE0 -:02001600000BDD -:02001800000CDA -:02001A00000DD7 -:02001C00000ED4 -:02001E00000FD1 -:020020000010CE -:020022000011CB -:020024000012C8 -:020026000013C5 -:020028000014C2 -:02002A000015BF -:02002C000016BC -:02002E000017B9 -:020030000018B6 -:020032000019B3 -:02003400001AB0 -:02003600001BAD -:02003800001CAA -:02003A00001DA7 -:02003C00001EA4 -:02003E00001FA1 -:0200400000209E -:0200420000219B -:02004400002298 -:02004600002395 -:02004800002492 -:02004A0000258F -:02004C0000268C -:02004E00002789 -:02005000002886 -:02005200002983 -:02005400002A80 -:02005600002B7D -:02005800002C7A -:02005A00002D77 -:02005C00002E74 -:02005E00002F71 -:0200600000306E -:0200620000316B -:02006400003268 -:02006600003365 -:02006800003462 -:02006A0000355F -:02006C0000365C -:02006E00003759 -:02007000003856 -:02007200003953 -:02007400003A50 -:02007600003B4D -:02007800003C4A -:02007A00003D47 -:02007C00003E44 -:02007E00003F41 -:0200800000403E -:0200820000413B -:02008400004238 -:02008600004335 -:02008800004432 -:02008A0000452F -:02008C0000462C -:02008E00004729 -:02009000004826 -:02009200004923 -:02009400004A20 -:02009600004B1D -:02009800004C1A -:02009A00004D17 -:02009C00004E14 -:02009E00004F11 -:0200A00000500E -:0200A20000510B -:0200A400005208 -:0200A600005305 -:0200A800005402 -:0200AA000055FF -:0200AC000056FC -:0200AE000057F9 -:0200B0000058F6 -:0200B2000059F3 -:0200B400005AF0 -:0200B600005BED -:0200B800005CEA -:0200BA00005DE7 -:0200BC00005EE4 -:0200BE00005FE1 -:0200C0000060DE -:0200C2000061DB -:0200C4000062D8 -:0200C6000063D5 -:0200C8000064D2 -:0200CA000065CF -:0200CC000066CC -:0200CE000067C9 -:0200D0000068C6 -:0200D2000069C3 -:0200D400006AC0 -:0200D600006BBD -:0200D800006CBA -:0200DA00006DB7 -:0200DC00006EB4 -:0200DE00006FB1 -:0200E0000070AE -:0200E2000071AB -:0200E4000072A8 -:0200E6000073A5 -:0200E8000074A2 -:0200EA0000759F -:0200EC0000769C -:0200EE00007799 -:0200F000007896 -:0200F200007993 -:0200F400007A90 -:0200F600007B8D -:0200F800007C8A -:0200FA00007D87 -:0200FC00007E84 -:0200FE00007F81 -:0201000000807D -:0201020000817A -:02010400008277 -:02010600008374 -:02010800008471 -:02010A0000856E -:02010C0000866B -:02010E00008768 -:02011000008865 -:02011200008962 -:02011400008A5F -:02011600008B5C -:02011800008C59 -:02011A00008D56 -:02011C00008E53 -:02011E00008F50 -:0201200000904D -:0201220000914A -:02012400009247 -:02012600009344 -:02012800009441 -:02012A0000953E -:02012C0000963B -:02012E00009738 -:02013000009835 -:02013200009932 -:02013400009A2F -:02013600009B2C -:02013800009C29 -:02013A00009D26 -:02013C00009E23 -:02013E00009F20 -:0201400000A01D -:0201420000A11A -:0201440000A217 -:0201460000A314 -:0201480000A411 -:02014A0000A50E -:02014C0000A60B -:02014E0000A708 -:0201500000A805 -:0201520000A902 -:0201540000AAFF -:0201560000ABFC -:0201580000ACF9 -:02015A0000ADF6 -:02015C0000AEF3 -:02015E0000AFF0 -:0201600000B0ED -:0201620000B1EA -:0201640000B2E7 -:0201660000B3E4 -:0201680000B4E1 -:02016A0000B5DE -:02016C0000B6DB -:02016E0000B7D8 -:0201700000B8D5 -:0201720000B9D2 -:0201740000BACF -:0201760000BBCC -:0201780000BCC9 -:02017A0000BDC6 -:02017C0000BEC3 -:02017E0000BFC0 -:0201800000C0BD -:0201820000C1BA -:0201840000C2B7 -:0201860000C3B4 -:0201880000C4B1 -:02018A0000C5AE -:02018C0000C6AB -:02018E0000C7A8 -:0201900000C8A5 -:0201920000C9A2 -:0201940000CA9F -:0201960000CB9C -:0201980000CC99 -:02019A0000CD96 -:02019C0000CE93 -:02019E0000CF90 -:0201A00000D08D -:0201A20000D18A -:0201A40000D287 -:0201A60000D384 -:0201A80000D481 -:0201AA0000D57E -:0201AC0000D67B -:0201AE0000D778 -:0201B00000D875 -:0201B20000D972 -:0201B40000DA6F -:0201B60000DB6C -:0201B80000DC69 -:0201BA0000DD66 -:0201BC0000DE63 -:0201BE0000DF60 -:0201C00000E05D -:0201C20000E15A -:0201C40000E257 -:0201C60000E354 -:0201C80000E451 -:0201CA0000E54E -:0201CC0000E64B -:0201CE0000E748 -:0201D00000E845 -:0201D20000E942 -:0201D40000EA3F -:0201D60000EB3C -:0201D80000EC39 -:0201DA0000ED36 -:0201DC0000EE33 -:0201DE0000EF30 -:0201E00000F02D -:0201E20000F12A -:0201E40000F227 -:0201E60000F324 -:0201E80000F421 -:0201EA0000F51E -:0201EC0000F61B -:0201EE0000F718 -:0201F00000F815 -:0201F20000F912 -:0201F40000FA0F -:0201F60000FB0C -:0201F80000FC09 -:0201FA0000FD06 -:0201FC0000FE03 -:0201FE0000FF00 -:020200000100FB -:020202000101F8 -:020204000102F5 -:020206000103F2 -:020208000104EF -:02020A000105EC -:02020C000106E9 -:02020E000107E6 -:020210000108E3 -:020212000109E0 -:02021400010ADD -:02021600010BDA -:02021800010CD7 -:02021A00010DD4 -:02021C00010ED1 -:02021E00010FCE -:020220000110CB -:020222000111C8 -:020224000112C5 -:020226000113C2 -:020228000114BF -:02022A000115BC -:02022C000116B9 -:02022E000117B6 -:020230000118B3 -:020232000119B0 -:02023400011AAD -:02023600011BAA -:02023800011CA7 -:02023A00011DA4 -:02023C00011EA1 -:02023E00011F9E -:0202400001209B -:02024200012198 -:02024400012295 -:02024600012392 -:0202480001248F -:02024A0001258C -:02024C00012689 -:02024E00012786 -:02025000012883 -:02025200012980 -:02025400012A7D -:02025600012B7A -:02025800012C77 -:02025A00012D74 -:02025C00012E71 -:02025E00012F6E -:0202600001306B -:02026200013168 -:02026400013265 -:02026600013362 -:0202680001345F -:02026A0001355C -:02026C00013659 -:02026E00013756 -:02027000013853 -:02027200013950 -:02027400013A4D -:02027600013B4A -:02027800013C47 -:02027A00013D44 -:02027C00013E41 -:02027E00013F3E -:0202800001403B -:02028200014138 -:02028400014235 -:02028600014332 -:0202880001442F -:02028A0001452C -:02028C00014629 -:02028E00014726 -:02029000014823 -:02029200014920 -:02029400014A1D -:02029600014B1A -:02029800014C17 -:02029A00014D14 -:02029C00014E11 -:02029E00014F0E -:0202A00001500B -:0202A200015108 -:0202A400015205 -:0202A600015302 -:0202A8000154FF -:0202AA000155FC -:0202AC000156F9 -:0202AE000157F6 -:0202B0000158F3 -:0202B2000159F0 -:0202B400015AED -:0202B600015BEA -:0202B800015CE7 -:0202BA00015DE4 -:0202BC00015EE1 -:0202BE00015FDE -:0202C0000160DB -:0202C2000161D8 -:0202C4000162D5 -:0202C6000163D2 -:0202C8000164CF -:0202CA000165CC -:0202CC000166C9 -:0202CE000167C6 -:0202D0000168C3 -:0202D2000169C0 -:0202D400016ABD -:0202D600016BBA -:0202D800016CB7 -:0202DA00016DB4 -:0202DC00016EB1 -:0202DE00016FAE -:0202E0000170AB -:0202E2000171A8 -:0202E4000172A5 -:0202E6000173A2 -:0202E80001749F -:0202EA0001759C -:0202EC00017699 -:0202EE00017796 -:0202F000017893 -:0202F200017990 -:0202F400017A8D -:0202F600017B8A -:0202F800017C87 -:0202FA00017D84 -:0202FC00017E81 -:0202FE00017F7E -:0203000001807A -:02030200018177 -:02030400018274 -:02030600018371 -:0203080001846E -:02030A0001856B -:02030C00018668 -:02030E00018765 -:02031000018862 -:0203120001895F -:02031400018A5C -:02031600018B59 -:02031800018C56 -:02031A00018D53 -:02031C00018E50 -:02031E00018F4D -:0203200001904A -:02032200019147 -:02032400019244 -:02032600019341 -:0203280001943E -:02032A0001953B -:02032C00019638 -:02032E00019735 -:02033000019832 -:0203320001992F -:02033400019A2C -:02033600019B29 -:02033800019C26 -:02033A00019D23 -:02033C00019E20 -:02033E00019F1D -:0203400001A01A -:0203420001A117 -:0203440001A214 -:0203460001A311 -:0203480001A40E -:02034A0001A50B -:02034C0001A608 -:02034E0001A705 -:0203500001A802 -:0203520001A9FF -:0203540001AAFC -:0203560001ABF9 -:0203580001ACF6 -:02035A0001ADF3 -:02035C0001AEF0 -:02035E0001AFED -:0203600001B0EA -:0203620001B1E7 -:0203640001B2E4 -:0203660001B3E1 -:0203680001B4DE -:02036A0001B5DB -:02036C0001B6D8 -:02036E0001B7D5 -:0203700001B8D2 -:0203720001B9CF -:0203740001BACC -:0203760001BBC9 -:0203780001BCC6 -:02037A0001BDC3 -:02037C0001BEC0 -:02037E0001BFBD -:0203800001C0BA -:0203820001C1B7 -:0203840001C2B4 -:0203860001C3B1 -:0203880001C4AE -:02038A0001C5AB -:02038C0001C6A8 -:02038E0001C7A5 -:0203900001C8A2 -:0203920001C99F -:0203940001CA9C -:0203960001CB99 -:0203980001CC96 -:02039A0001CD93 -:02039C0001CE90 -:02039E0001CF8D -:0203A00001D08A -:0203A20001D187 -:0203A40001D284 -:0203A60001D381 -:0203A80001D47E -:0203AA0001D57B -:0203AC0001D678 -:0203AE0001D775 -:0203B00001D872 -:0203B20001D96F -:0203B40001DA6C -:0203B60001DB69 -:0203B80001DC66 -:0203BA0001DD63 -:0203BC0001DE60 -:0203BE0001DF5D -:0203C00001E05A -:0203C20001E157 -:0203C40001E254 -:0203C60001E351 -:0203C80001E44E -:0203CA0001E54B -:0203CC0001E648 -:0203CE0001E745 -:0203D00001E842 -:0203D20001E93F -:0203D40001EA3C -:0203D60001EB39 -:0203D80001EC36 -:0203DA0001ED33 -:0203DC0001EE30 -:0203DE0001EF2D -:0203E00001F02A -:0203E20001F127 -:0203E40001F224 -:0203E60001F321 -:0203E80001F41E -:0203EA0001F51B -:0203EC0001F618 -:0203EE0001F715 -:0203F00001F812 -:0203F20001F90F -:0203F40001FA0C -:0203F60001FB09 -:0203F80001FC06 -:0203FA0001FD03 -:0203FC0001FE00 -:0203FE0001FFFD -:020400000200F8 -:020402000201F5 -:020404000202F2 -:020406000203EF -:020408000204EC -:02040A000205E9 -:02040C000206E6 -:02040E000207E3 -:020410000208E0 -:020412000209DD -:02041400020ADA -:02041600020BD7 -:02041800020CD4 -:02041A00020DD1 -:02041C00020ECE -:02041E00020FCB -:020420000210C8 -:020422000211C5 -:020424000212C2 -:020426000213BF -:020428000214BC -:02042A000215B9 -:02042C000216B6 -:02042E000217B3 -:020430000218B0 -:020432000219AD -:02043400021AAA -:02043600021BA7 -:02043800021CA4 -:02043A00021DA1 -:02043C00021E9E -:02043E00021F9B -:02044000022098 -:02044200022195 -:02044400022292 -:0204460002238F -:0204480002248C -:02044A00022589 -:02044C00022686 -:02044E00022783 -:02045000022880 -:0204520002297D -:02045400022A7A -:02045600022B77 -:02045800022C74 -:02045A00022D71 -:02045C00022E6E -:02045E00022F6B -:02046000023068 -:02046200023165 -:02046400023262 -:0204660002335F -:0204680002345C -:02046A00023559 -:02046C00023656 -:02046E00023753 -:02047000023850 -:0204720002394D -:02047400023A4A -:02047600023B47 -:02047800023C44 -:02047A00023D41 -:02047C00023E3E -:02047E00023F3B -:02048000024038 -:02048200024135 -:02048400024232 -:0204860002432F -:0204880002442C -:02048A00024529 -:02048C00024626 -:02048E00024723 -:02049000024820 -:0204920002491D -:02049400024A1A -:02049600024B17 -:02049800024C14 -:02049A00024D11 -:02049C00024E0E -:02049E00024F0B -:0204A000025008 -:0204A200025105 -:0204A400025202 -:0204A6000253FF -:0204A8000254FC -:0204AA000255F9 -:0204AC000256F6 -:0204AE000257F3 -:0204B0000258F0 -:0204B2000259ED -:0204B400025AEA -:0204B600025BE7 -:0204B800025CE4 -:0204BA00025DE1 -:0204BC00025EDE -:0204BE00025FDB -:0204C0000260D8 -:0204C2000261D5 -:0204C4000262D2 -:0204C6000263CF -:0204C8000264CC -:0204CA000265C9 -:0204CC000266C6 -:0204CE000267C3 -:0204D0000268C0 -:0204D2000269BD -:0204D400026ABA -:0204D600026BB7 -:0204D800026CB4 -:0204DA00026DB1 -:0204DC00026EAE -:0204DE00026FAB -:0204E0000270A8 -:0204E2000271A5 -:0204E4000272A2 -:0204E60002739F -:0204E80002749C -:0204EA00027599 -:0204EC00027696 -:0204EE00027793 -:0204F000027890 -:0204F20002798D -:0204F400027A8A -:0204F600027B87 -:0204F800027C84 -:0204FA00027D81 -:0204FC00027E7E -:0204FE00027F7B -:02050000028077 -:02050200028174 -:02050400028271 -:0205060002836E -:0205080002846B -:02050A00028568 -:02050C00028665 -:02050E00028762 -:0205100002885F -:0205120002895C -:02051400028A59 -:02051600028B56 -:02051800028C53 -:02051A00028D50 -:02051C00028E4D -:02051E00028F4A -:02052000029047 -:02052200029144 -:02052400029241 -:0205260002933E -:0205280002943B -:02052A00029538 -:02052C00029635 -:02052E00029732 -:0205300002982F -:0205320002992C -:02053400029A29 -:02053600029B26 -:02053800029C23 -:02053A00029D20 -:02053C00029E1D -:02053E00029F1A -:0205400002A017 -:0205420002A114 -:0205440002A211 -:0205460002A30E -:0205480002A40B -:02054A0002A508 -:02054C0002A605 -:02054E0002A702 -:0205500002A8FF -:0205520002A9FC -:0205540002AAF9 -:0205560002ABF6 -:0205580002ACF3 -:02055A0002ADF0 -:02055C0002AEED -:02055E0002AFEA -:0205600002B0E7 -:0205620002B1E4 -:0205640002B2E1 -:0205660002B3DE -:0205680002B4DB -:02056A0002B5D8 -:02056C0002B6D5 -:02056E0002B7D2 -:0205700002B8CF -:0205720002B9CC -:0205740002BAC9 -:0205760002BBC6 -:0205780002BCC3 -:02057A0002BDC0 -:02057C0002BEBD -:02057E0002BFBA -:0205800002C0B7 -:0205820002C1B4 -:0205840002C2B1 -:0205860002C3AE -:0205880002C4AB -:02058A0002C5A8 -:02058C0002C6A5 -:02058E0002C7A2 -:0205900002C89F -:0205920002C99C -:0205940002CA99 -:0205960002CB96 -:0205980002CC93 -:02059A0002CD90 -:02059C0002CE8D -:02059E0002CF8A -:0205A00002D087 -:0205A20002D184 -:0205A40002D281 -:0205A60002D37E -:0205A80002D47B -:0205AA0002D578 -:0205AC0002D675 -:0205AE0002D772 -:0205B00002D86F -:0205B20002D96C -:0205B40002DA69 -:0205B60002DB66 -:0205B80002DC63 -:0205BA0002DD60 -:0205BC0002DE5D -:0205BE0002DF5A -:0205C00002E057 -:0205C20002E154 -:0205C40002E251 -:0205C60002E34E -:0205C80002E44B -:0205CA0002E548 -:0205CC0002E645 -:0205CE0002E742 -:0205D00002E83F -:0205D20002E93C -:0205D40002EA39 -:0205D60002EB36 -:0205D80002EC33 -:0205DA0002ED30 -:0205DC0002EE2D -:0205DE0002EF2A -:0205E00002F027 -:0205E20002F124 -:0205E40002F221 -:0205E60002F31E -:0205E80002F41B -:0205EA0002F518 -:0205EC0002F615 -:0205EE0002F712 -:0205F00002F80F -:0205F20002F90C -:0205F40002FA09 -:0205F60002FB06 -:0205F80002FC03 -:0205FA0002FD00 -:0205FC0002FEFD -:0205FE0002FFFA -:020600000300F5 -:020602000301F2 -:020604000302EF -:020606000303EC -:020608000304E9 -:02060A000305E6 -:02060C000306E3 -:02060E000307E0 -:020610000308DD -:020612000309DA -:02061400030AD7 -:02061600030BD4 -:02061800030CD1 -:02061A00030DCE -:02061C00030ECB -:02061E00030FC8 -:020620000310C5 -:020622000311C2 -:020624000312BF -:020626000313BC -:020628000314B9 -:02062A000315B6 -:02062C000316B3 -:02062E000317B0 -:020630000318AD -:020632000319AA -:02063400031AA7 -:02063600031BA4 -:02063800031CA1 -:02063A00031D9E -:02063C00031E9B -:02063E00031F98 -:02064000032095 -:02064200032192 -:0206440003228F -:0206460003238C -:02064800032489 -:02064A00032586 -:02064C00032683 -:02064E00032780 -:0206500003287D -:0206520003297A -:02065400032A77 -:02065600032B74 -:02065800032C71 -:02065A00032D6E -:02065C00032E6B -:02065E00032F68 -:02066000033065 -:02066200033162 -:0206640003325F -:0206660003335C -:02066800033459 -:02066A00033556 -:02066C00033653 -:02066E00033750 -:0206700003384D -:0206720003394A -:02067400033A47 -:02067600033B44 -:02067800033C41 -:02067A00033D3E -:02067C00033E3B -:02067E00033F38 -:02068000034035 -:02068200034132 -:0206840003422F -:0206860003432C -:02068800034429 -:02068A00034526 -:02068C00034623 -:02068E00034720 -:0206900003481D -:0206920003491A -:02069400034A17 -:02069600034B14 -:02069800034C11 -:02069A00034D0E -:02069C00034E0B -:02069E00034F08 -:0206A000035005 -:0206A200035102 -:0206A4000352FF -:0206A6000353FC -:0206A8000354F9 -:0206AA000355F6 -:0206AC000356F3 -:0206AE000357F0 -:0206B0000358ED -:0206B2000359EA -:0206B400035AE7 -:0206B600035BE4 -:0206B800035CE1 -:0206BA00035DDE -:0206BC00035EDB -:0206BE00035FD8 -:0206C0000360D5 -:0206C2000361D2 -:0206C4000362CF -:0206C6000363CC -:0206C8000364C9 -:0206CA000365C6 -:0206CC000366C3 -:0206CE000367C0 -:0206D0000368BD -:0206D2000369BA -:0206D400036AB7 -:0206D600036BB4 -:0206D800036CB1 -:0206DA00036DAE -:0206DC00036EAB -:0206DE00036FA8 -:0206E0000370A5 -:0206E2000371A2 -:0206E40003729F -:0206E60003739C -:0206E800037499 -:0206EA00037596 -:0206EC00037693 -:0206EE00037790 -:0206F00003788D -:0206F20003798A -:0206F400037A87 -:0206F600037B84 -:0206F800037C81 -:0206FA00037D7E -:0206FC00037E7B -:0206FE00037F78 -:02070000038074 -:02070200038171 -:0207040003826E -:0207060003836B -:02070800038468 -:02070A00038565 -:02070C00038662 -:02070E0003875F -:0207100003885C -:02071200038959 -:02071400038A56 -:02071600038B53 -:02071800038C50 -:02071A00038D4D -:02071C00038E4A -:02071E00038F47 -:02072000039044 -:02072200039141 -:0207240003923E -:0207260003933B -:02072800039438 -:02072A00039535 -:02072C00039632 -:02072E0003972F -:0207300003982C -:02073200039929 -:02073400039A26 -:02073600039B23 -:02073800039C20 -:02073A00039D1D -:02073C00039E1A -:02073E00039F17 -:0207400003A014 -:0207420003A111 -:0207440003A20E -:0207460003A30B -:0207480003A408 -:02074A0003A505 -:02074C0003A602 -:02074E0003A7FF -:0207500003A8FC -:0207520003A9F9 -:0207540003AAF6 -:0207560003ABF3 -:0207580003ACF0 -:02075A0003ADED -:02075C0003AEEA -:02075E0003AFE7 -:0207600003B0E4 -:0207620003B1E1 -:0207640003B2DE -:0207660003B3DB -:0207680003B4D8 -:02076A0003B5D5 -:02076C0003B6D2 -:02076E0003B7CF -:0207700003B8CC -:0207720003B9C9 -:0207740003BAC6 -:0207760003BBC3 -:0207780003BCC0 -:02077A0003BDBD -:02077C0003BEBA -:02077E0003BFB7 -:0207800003C0B4 -:0207820003C1B1 -:0207840003C2AE -:0207860003C3AB -:0207880003C4A8 -:02078A0003C5A5 -:02078C0003C6A2 -:02078E0003C79F -:0207900003C89C -:0207920003C999 -:0207940003CA96 -:0207960003CB93 -:0207980003CC90 -:02079A0003CD8D -:02079C0003CE8A -:02079E0003CF87 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_4.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_4.hex deleted file mode 100644 index 74be129757c1bfce5a599e04d5554091e0c6dccf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_4.hex +++ /dev/null @@ -1,977 +0,0 @@ -:020000000000FE -:020002000001FB -:020004000002F8 -:020006000003F5 -:020008000004F2 -:02000A000005EF -:02000C000006EC -:02000E000007E9 -:020010000008E6 -:020012000009E3 -:02001400000AE0 -:02001600000BDD -:02001800000CDA -:02001A00000DD7 -:02001C00000ED4 -:02001E00000FD1 -:020020000010CE -:020022000011CB -:020024000012C8 -:020026000013C5 -:020028000014C2 -:02002A000015BF -:02002C000016BC -:02002E000017B9 -:020030000018B6 -:020032000019B3 -:02003400001AB0 -:02003600001BAD -:02003800001CAA -:02003A00001DA7 -:02003C00001EA4 -:02003E00001FA1 -:0200400000209E -:0200420000219B -:02004400002298 -:02004600002395 -:02004800002492 -:02004A0000258F -:02004C0000268C -:02004E00002789 -:02005000002886 -:02005200002983 -:02005400002A80 -:02005600002B7D -:02005800002C7A -:02005A00002D77 -:02005C00002E74 -:02005E00002F71 -:0200600000306E -:0200620000316B -:02006400003268 -:02006600003365 -:02006800003462 -:02006A0000355F -:02006C0000365C -:02006E00003759 -:02007000003856 -:02007200003953 -:02007400003A50 -:02007600003B4D -:02007800003C4A -:02007A00003D47 -:02007C00003E44 -:02007E00003F41 -:0200800000403E -:0200820000413B -:02008400004238 -:02008600004335 -:02008800004432 -:02008A0000452F -:02008C0000462C -:02008E00004729 -:02009000004826 -:02009200004923 -:02009400004A20 -:02009600004B1D -:02009800004C1A -:02009A00004D17 -:02009C00004E14 -:02009E00004F11 -:0200A00000500E -:0200A20000510B -:0200A400005208 -:0200A600005305 -:0200A800005402 -:0200AA000055FF -:0200AC000056FC -:0200AE000057F9 -:0200B0000058F6 -:0200B2000059F3 -:0200B400005AF0 -:0200B600005BED -:0200B800005CEA -:0200BA00005DE7 -:0200BC00005EE4 -:0200BE00005FE1 -:0200C0000060DE -:0200C2000061DB -:0200C4000062D8 -:0200C6000063D5 -:0200C8000064D2 -:0200CA000065CF -:0200CC000066CC -:0200CE000067C9 -:0200D0000068C6 -:0200D2000069C3 -:0200D400006AC0 -:0200D600006BBD -:0200D800006CBA -:0200DA00006DB7 -:0200DC00006EB4 -:0200DE00006FB1 -:0200E0000070AE -:0200E2000071AB -:0200E4000072A8 -:0200E6000073A5 -:0200E8000074A2 -:0200EA0000759F -:0200EC0000769C -:0200EE00007799 -:0200F000007896 -:0200F200007993 -:0200F400007A90 -:0200F600007B8D -:0200F800007C8A -:0200FA00007D87 -:0200FC00007E84 -:0200FE00007F81 -:0201000000807D -:0201020000817A -:02010400008277 -:02010600008374 -:02010800008471 -:02010A0000856E -:02010C0000866B -:02010E00008768 -:02011000008865 -:02011200008962 -:02011400008A5F -:02011600008B5C -:02011800008C59 -:02011A00008D56 -:02011C00008E53 -:02011E00008F50 -:0201200000904D -:0201220000914A -:02012400009247 -:02012600009344 -:02012800009441 -:02012A0000953E -:02012C0000963B -:02012E00009738 -:02013000009835 -:02013200009932 -:02013400009A2F -:02013600009B2C -:02013800009C29 -:02013A00009D26 -:02013C00009E23 -:02013E00009F20 -:0201400000A01D -:0201420000A11A -:0201440000A217 -:0201460000A314 -:0201480000A411 -:02014A0000A50E -:02014C0000A60B -:02014E0000A708 -:0201500000A805 -:0201520000A902 -:0201540000AAFF -:0201560000ABFC -:0201580000ACF9 -:02015A0000ADF6 -:02015C0000AEF3 -:02015E0000AFF0 -:0201600000B0ED -:0201620000B1EA -:0201640000B2E7 -:0201660000B3E4 -:0201680000B4E1 -:02016A0000B5DE -:02016C0000B6DB -:02016E0000B7D8 -:0201700000B8D5 -:0201720000B9D2 -:0201740000BACF -:0201760000BBCC -:0201780000BCC9 -:02017A0000BDC6 -:02017C0000BEC3 -:02017E0000BFC0 -:0201800000C0BD -:0201820000C1BA -:0201840000C2B7 -:0201860000C3B4 -:0201880000C4B1 -:02018A0000C5AE -:02018C0000C6AB -:02018E0000C7A8 -:0201900000C8A5 -:0201920000C9A2 -:0201940000CA9F -:0201960000CB9C -:0201980000CC99 -:02019A0000CD96 -:02019C0000CE93 -:02019E0000CF90 -:0201A00000D08D -:0201A20000D18A -:0201A40000D287 -:0201A60000D384 -:0201A80000D481 -:0201AA0000D57E -:0201AC0000D67B -:0201AE0000D778 -:0201B00000D875 -:0201B20000D972 -:0201B40000DA6F -:0201B60000DB6C -:0201B80000DC69 -:0201BA0000DD66 -:0201BC0000DE63 -:0201BE0000DF60 -:0201C00000E05D -:0201C20000E15A -:0201C40000E257 -:0201C60000E354 -:0201C80000E451 -:0201CA0000E54E -:0201CC0000E64B -:0201CE0000E748 -:0201D00000E845 -:0201D20000E942 -:0201D40000EA3F -:0201D60000EB3C -:0201D80000EC39 -:0201DA0000ED36 -:0201DC0000EE33 -:0201DE0000EF30 -:0201E00000F02D -:0201E20000F12A -:0201E40000F227 -:0201E60000F324 -:0201E80000F421 -:0201EA0000F51E -:0201EC0000F61B -:0201EE0000F718 -:0201F00000F815 -:0201F20000F912 -:0201F40000FA0F -:0201F60000FB0C -:0201F80000FC09 -:0201FA0000FD06 -:0201FC0000FE03 -:0201FE0000FF00 -:020200000100FB -:020202000101F8 -:020204000102F5 -:020206000103F2 -:020208000104EF -:02020A000105EC -:02020C000106E9 -:02020E000107E6 -:020210000108E3 -:020212000109E0 -:02021400010ADD -:02021600010BDA -:02021800010CD7 -:02021A00010DD4 -:02021C00010ED1 -:02021E00010FCE -:020220000110CB -:020222000111C8 -:020224000112C5 -:020226000113C2 -:020228000114BF -:02022A000115BC -:02022C000116B9 -:02022E000117B6 -:020230000118B3 -:020232000119B0 -:02023400011AAD -:02023600011BAA -:02023800011CA7 -:02023A00011DA4 -:02023C00011EA1 -:02023E00011F9E -:0202400001209B -:02024200012198 -:02024400012295 -:02024600012392 -:0202480001248F -:02024A0001258C -:02024C00012689 -:02024E00012786 -:02025000012883 -:02025200012980 -:02025400012A7D -:02025600012B7A -:02025800012C77 -:02025A00012D74 -:02025C00012E71 -:02025E00012F6E -:0202600001306B -:02026200013168 -:02026400013265 -:02026600013362 -:0202680001345F -:02026A0001355C -:02026C00013659 -:02026E00013756 -:02027000013853 -:02027200013950 -:02027400013A4D -:02027600013B4A -:02027800013C47 -:02027A00013D44 -:02027C00013E41 -:02027E00013F3E -:0202800001403B -:02028200014138 -:02028400014235 -:02028600014332 -:0202880001442F -:02028A0001452C -:02028C00014629 -:02028E00014726 -:02029000014823 -:02029200014920 -:02029400014A1D -:02029600014B1A -:02029800014C17 -:02029A00014D14 -:02029C00014E11 -:02029E00014F0E -:0202A00001500B -:0202A200015108 -:0202A400015205 -:0202A600015302 -:0202A8000154FF -:0202AA000155FC -:0202AC000156F9 -:0202AE000157F6 -:0202B0000158F3 -:0202B2000159F0 -:0202B400015AED -:0202B600015BEA -:0202B800015CE7 -:0202BA00015DE4 -:0202BC00015EE1 -:0202BE00015FDE -:0202C0000160DB -:0202C2000161D8 -:0202C4000162D5 -:0202C6000163D2 -:0202C8000164CF -:0202CA000165CC -:0202CC000166C9 -:0202CE000167C6 -:0202D0000168C3 -:0202D2000169C0 -:0202D400016ABD -:0202D600016BBA -:0202D800016CB7 -:0202DA00016DB4 -:0202DC00016EB1 -:0202DE00016FAE -:0202E0000170AB -:0202E2000171A8 -:0202E4000172A5 -:0202E6000173A2 -:0202E80001749F -:0202EA0001759C -:0202EC00017699 -:0202EE00017796 -:0202F000017893 -:0202F200017990 -:0202F400017A8D -:0202F600017B8A -:0202F800017C87 -:0202FA00017D84 -:0202FC00017E81 -:0202FE00017F7E -:0203000001807A -:02030200018177 -:02030400018274 -:02030600018371 -:0203080001846E -:02030A0001856B -:02030C00018668 -:02030E00018765 -:02031000018862 -:0203120001895F -:02031400018A5C -:02031600018B59 -:02031800018C56 -:02031A00018D53 -:02031C00018E50 -:02031E00018F4D -:0203200001904A -:02032200019147 -:02032400019244 -:02032600019341 -:0203280001943E -:02032A0001953B -:02032C00019638 -:02032E00019735 -:02033000019832 -:0203320001992F -:02033400019A2C -:02033600019B29 -:02033800019C26 -:02033A00019D23 -:02033C00019E20 -:02033E00019F1D -:0203400001A01A -:0203420001A117 -:0203440001A214 -:0203460001A311 -:0203480001A40E -:02034A0001A50B -:02034C0001A608 -:02034E0001A705 -:0203500001A802 -:0203520001A9FF -:0203540001AAFC -:0203560001ABF9 -:0203580001ACF6 -:02035A0001ADF3 -:02035C0001AEF0 -:02035E0001AFED -:0203600001B0EA -:0203620001B1E7 -:0203640001B2E4 -:0203660001B3E1 -:0203680001B4DE -:02036A0001B5DB -:02036C0001B6D8 -:02036E0001B7D5 -:0203700001B8D2 -:0203720001B9CF -:0203740001BACC -:0203760001BBC9 -:0203780001BCC6 -:02037A0001BDC3 -:02037C0001BEC0 -:02037E0001BFBD -:0203800001C0BA -:0203820001C1B7 -:0203840001C2B4 -:0203860001C3B1 -:0203880001C4AE -:02038A0001C5AB -:02038C0001C6A8 -:02038E0001C7A5 -:0203900001C8A2 -:0203920001C99F -:0203940001CA9C -:0203960001CB99 -:0203980001CC96 -:02039A0001CD93 -:02039C0001CE90 -:02039E0001CF8D -:0203A00001D08A -:0203A20001D187 -:0203A40001D284 -:0203A60001D381 -:0203A80001D47E -:0203AA0001D57B -:0203AC0001D678 -:0203AE0001D775 -:0203B00001D872 -:0203B20001D96F -:0203B40001DA6C -:0203B60001DB69 -:0203B80001DC66 -:0203BA0001DD63 -:0203BC0001DE60 -:0203BE0001DF5D -:0203C00001E05A -:0203C20001E157 -:0203C40001E254 -:0203C60001E351 -:0203C80001E44E -:0203CA0001E54B -:0203CC0001E648 -:0203CE0001E745 -:0203D00001E842 -:0203D20001E93F -:0203D40001EA3C -:0203D60001EB39 -:0203D80001EC36 -:0203DA0001ED33 -:0203DC0001EE30 -:0203DE0001EF2D -:0203E00001F02A -:0203E20001F127 -:0203E40001F224 -:0203E60001F321 -:0203E80001F41E -:0203EA0001F51B -:0203EC0001F618 -:0203EE0001F715 -:0203F00001F812 -:0203F20001F90F -:0203F40001FA0C -:0203F60001FB09 -:0203F80001FC06 -:0203FA0001FD03 -:0203FC0001FE00 -:0203FE0001FFFD -:020400000200F8 -:020402000201F5 -:020404000202F2 -:020406000203EF -:020408000204EC -:02040A000205E9 -:02040C000206E6 -:02040E000207E3 -:020410000208E0 -:020412000209DD -:02041400020ADA -:02041600020BD7 -:02041800020CD4 -:02041A00020DD1 -:02041C00020ECE -:02041E00020FCB -:020420000210C8 -:020422000211C5 -:020424000212C2 -:020426000213BF -:020428000214BC -:02042A000215B9 -:02042C000216B6 -:02042E000217B3 -:020430000218B0 -:020432000219AD -:02043400021AAA -:02043600021BA7 -:02043800021CA4 -:02043A00021DA1 -:02043C00021E9E -:02043E00021F9B -:02044000022098 -:02044200022195 -:02044400022292 -:0204460002238F -:0204480002248C -:02044A00022589 -:02044C00022686 -:02044E00022783 -:02045000022880 -:0204520002297D -:02045400022A7A -:02045600022B77 -:02045800022C74 -:02045A00022D71 -:02045C00022E6E -:02045E00022F6B -:02046000023068 -:02046200023165 -:02046400023262 -:0204660002335F -:0204680002345C -:02046A00023559 -:02046C00023656 -:02046E00023753 -:02047000023850 -:0204720002394D -:02047400023A4A -:02047600023B47 -:02047800023C44 -:02047A00023D41 -:02047C00023E3E -:02047E00023F3B -:02048000024038 -:02048200024135 -:02048400024232 -:0204860002432F -:0204880002442C -:02048A00024529 -:02048C00024626 -:02048E00024723 -:02049000024820 -:0204920002491D -:02049400024A1A -:02049600024B17 -:02049800024C14 -:02049A00024D11 -:02049C00024E0E -:02049E00024F0B -:0204A000025008 -:0204A200025105 -:0204A400025202 -:0204A6000253FF -:0204A8000254FC -:0204AA000255F9 -:0204AC000256F6 -:0204AE000257F3 -:0204B0000258F0 -:0204B2000259ED -:0204B400025AEA -:0204B600025BE7 -:0204B800025CE4 -:0204BA00025DE1 -:0204BC00025EDE -:0204BE00025FDB -:0204C0000260D8 -:0204C2000261D5 -:0204C4000262D2 -:0204C6000263CF -:0204C8000264CC -:0204CA000265C9 -:0204CC000266C6 -:0204CE000267C3 -:0204D0000268C0 -:0204D2000269BD -:0204D400026ABA -:0204D600026BB7 -:0204D800026CB4 -:0204DA00026DB1 -:0204DC00026EAE -:0204DE00026FAB -:0204E0000270A8 -:0204E2000271A5 -:0204E4000272A2 -:0204E60002739F -:0204E80002749C -:0204EA00027599 -:0204EC00027696 -:0204EE00027793 -:0204F000027890 -:0204F20002798D -:0204F400027A8A -:0204F600027B87 -:0204F800027C84 -:0204FA00027D81 -:0204FC00027E7E -:0204FE00027F7B -:02050000028077 -:02050200028174 -:02050400028271 -:0205060002836E -:0205080002846B -:02050A00028568 -:02050C00028665 -:02050E00028762 -:0205100002885F -:0205120002895C -:02051400028A59 -:02051600028B56 -:02051800028C53 -:02051A00028D50 -:02051C00028E4D -:02051E00028F4A -:02052000029047 -:02052200029144 -:02052400029241 -:0205260002933E -:0205280002943B -:02052A00029538 -:02052C00029635 -:02052E00029732 -:0205300002982F -:0205320002992C -:02053400029A29 -:02053600029B26 -:02053800029C23 -:02053A00029D20 -:02053C00029E1D -:02053E00029F1A -:0205400002A017 -:0205420002A114 -:0205440002A211 -:0205460002A30E -:0205480002A40B -:02054A0002A508 -:02054C0002A605 -:02054E0002A702 -:0205500002A8FF -:0205520002A9FC -:0205540002AAF9 -:0205560002ABF6 -:0205580002ACF3 -:02055A0002ADF0 -:02055C0002AEED -:02055E0002AFEA -:0205600002B0E7 -:0205620002B1E4 -:0205640002B2E1 -:0205660002B3DE -:0205680002B4DB -:02056A0002B5D8 -:02056C0002B6D5 -:02056E0002B7D2 -:0205700002B8CF -:0205720002B9CC -:0205740002BAC9 -:0205760002BBC6 -:0205780002BCC3 -:02057A0002BDC0 -:02057C0002BEBD -:02057E0002BFBA -:0205800002C0B7 -:0205820002C1B4 -:0205840002C2B1 -:0205860002C3AE -:0205880002C4AB -:02058A0002C5A8 -:02058C0002C6A5 -:02058E0002C7A2 -:0205900002C89F -:0205920002C99C -:0205940002CA99 -:0205960002CB96 -:0205980002CC93 -:02059A0002CD90 -:02059C0002CE8D -:02059E0002CF8A -:0205A00002D087 -:0205A20002D184 -:0205A40002D281 -:0205A60002D37E -:0205A80002D47B -:0205AA0002D578 -:0205AC0002D675 -:0205AE0002D772 -:0205B00002D86F -:0205B20002D96C -:0205B40002DA69 -:0205B60002DB66 -:0205B80002DC63 -:0205BA0002DD60 -:0205BC0002DE5D -:0205BE0002DF5A -:0205C00002E057 -:0205C20002E154 -:0205C40002E251 -:0205C60002E34E -:0205C80002E44B -:0205CA0002E548 -:0205CC0002E645 -:0205CE0002E742 -:0205D00002E83F -:0205D20002E93C -:0205D40002EA39 -:0205D60002EB36 -:0205D80002EC33 -:0205DA0002ED30 -:0205DC0002EE2D -:0205DE0002EF2A -:0205E00002F027 -:0205E20002F124 -:0205E40002F221 -:0205E60002F31E -:0205E80002F41B -:0205EA0002F518 -:0205EC0002F615 -:0205EE0002F712 -:0205F00002F80F -:0205F20002F90C -:0205F40002FA09 -:0205F60002FB06 -:0205F80002FC03 -:0205FA0002FD00 -:0205FC0002FEFD -:0205FE0002FFFA -:020600000300F5 -:020602000301F2 -:020604000302EF -:020606000303EC -:020608000304E9 -:02060A000305E6 -:02060C000306E3 -:02060E000307E0 -:020610000308DD -:020612000309DA -:02061400030AD7 -:02061600030BD4 -:02061800030CD1 -:02061A00030DCE -:02061C00030ECB -:02061E00030FC8 -:020620000310C5 -:020622000311C2 -:020624000312BF -:020626000313BC -:020628000314B9 -:02062A000315B6 -:02062C000316B3 -:02062E000317B0 -:020630000318AD -:020632000319AA -:02063400031AA7 -:02063600031BA4 -:02063800031CA1 -:02063A00031D9E -:02063C00031E9B -:02063E00031F98 -:02064000032095 -:02064200032192 -:0206440003228F -:0206460003238C -:02064800032489 -:02064A00032586 -:02064C00032683 -:02064E00032780 -:0206500003287D -:0206520003297A -:02065400032A77 -:02065600032B74 -:02065800032C71 -:02065A00032D6E -:02065C00032E6B -:02065E00032F68 -:02066000033065 -:02066200033162 -:0206640003325F -:0206660003335C -:02066800033459 -:02066A00033556 -:02066C00033653 -:02066E00033750 -:0206700003384D -:0206720003394A -:02067400033A47 -:02067600033B44 -:02067800033C41 -:02067A00033D3E -:02067C00033E3B -:02067E00033F38 -:02068000034035 -:02068200034132 -:0206840003422F -:0206860003432C -:02068800034429 -:02068A00034526 -:02068C00034623 -:02068E00034720 -:0206900003481D -:0206920003491A -:02069400034A17 -:02069600034B14 -:02069800034C11 -:02069A00034D0E -:02069C00034E0B -:02069E00034F08 -:0206A000035005 -:0206A200035102 -:0206A4000352FF -:0206A6000353FC -:0206A8000354F9 -:0206AA000355F6 -:0206AC000356F3 -:0206AE000357F0 -:0206B0000358ED -:0206B2000359EA -:0206B400035AE7 -:0206B600035BE4 -:0206B800035CE1 -:0206BA00035DDE -:0206BC00035EDB -:0206BE00035FD8 -:0206C0000360D5 -:0206C2000361D2 -:0206C4000362CF -:0206C6000363CC -:0206C8000364C9 -:0206CA000365C6 -:0206CC000366C3 -:0206CE000367C0 -:0206D0000368BD -:0206D2000369BA -:0206D400036AB7 -:0206D600036BB4 -:0206D800036CB1 -:0206DA00036DAE -:0206DC00036EAB -:0206DE00036FA8 -:0206E0000370A5 -:0206E2000371A2 -:0206E40003729F -:0206E60003739C -:0206E800037499 -:0206EA00037596 -:0206EC00037693 -:0206EE00037790 -:0206F00003788D -:0206F20003798A -:0206F400037A87 -:0206F600037B84 -:0206F800037C81 -:0206FA00037D7E -:0206FC00037E7B -:0206FE00037F78 -:02070000038074 -:02070200038171 -:0207040003826E -:0207060003836B -:02070800038468 -:02070A00038565 -:02070C00038662 -:02070E0003875F -:0207100003885C -:02071200038959 -:02071400038A56 -:02071600038B53 -:02071800038C50 -:02071A00038D4D -:02071C00038E4A -:02071E00038F47 -:02072000039044 -:02072200039141 -:0207240003923E -:0207260003933B -:02072800039438 -:02072A00039535 -:02072C00039632 -:02072E0003972F -:0207300003982C -:02073200039929 -:02073400039A26 -:02073600039B23 -:02073800039C20 -:02073A00039D1D -:02073C00039E1A -:02073E00039F17 -:0207400003A014 -:0207420003A111 -:0207440003A20E -:0207460003A30B -:0207480003A408 -:02074A0003A505 -:02074C0003A602 -:02074E0003A7FF -:0207500003A8FC -:0207520003A9F9 -:0207540003AAF6 -:0207560003ABF3 -:0207580003ACF0 -:02075A0003ADED -:02075C0003AEEA -:02075E0003AFE7 -:0207600003B0E4 -:0207620003B1E1 -:0207640003B2DE -:0207660003B3DB -:0207680003B4D8 -:02076A0003B5D5 -:02076C0003B6D2 -:02076E0003B7CF -:0207700003B8CC -:0207720003B9C9 -:0207740003BAC6 -:0207760003BBC3 -:0207780003BCC0 -:02077A0003BDBD -:02077C0003BEBA -:02077E0003BFB7 -:0207800003C0B4 -:0207820003C1B1 -:0207840003C2AE -:0207860003C3AB -:0207880003C4A8 -:02078A0003C5A5 -:02078C0003C6A2 -:02078E0003C79F -:0207900003C89C -:0207920003C999 -:0207940003CA96 -:0207960003CB93 -:0207980003CC90 -:02079A0003CD8D -:02079C0003CE8A -:02079E0003CF87 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_5.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_5.hex deleted file mode 100644 index 74be129757c1bfce5a599e04d5554091e0c6dccf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_ss_wide_5.hex +++ /dev/null @@ -1,977 +0,0 @@ -:020000000000FE -:020002000001FB -:020004000002F8 -:020006000003F5 -:020008000004F2 -:02000A000005EF -:02000C000006EC -:02000E000007E9 -:020010000008E6 -:020012000009E3 -:02001400000AE0 -:02001600000BDD -:02001800000CDA -:02001A00000DD7 -:02001C00000ED4 -:02001E00000FD1 -:020020000010CE -:020022000011CB -:020024000012C8 -:020026000013C5 -:020028000014C2 -:02002A000015BF -:02002C000016BC -:02002E000017B9 -:020030000018B6 -:020032000019B3 -:02003400001AB0 -:02003600001BAD -:02003800001CAA -:02003A00001DA7 -:02003C00001EA4 -:02003E00001FA1 -:0200400000209E -:0200420000219B -:02004400002298 -:02004600002395 -:02004800002492 -:02004A0000258F -:02004C0000268C -:02004E00002789 -:02005000002886 -:02005200002983 -:02005400002A80 -:02005600002B7D -:02005800002C7A -:02005A00002D77 -:02005C00002E74 -:02005E00002F71 -:0200600000306E -:0200620000316B -:02006400003268 -:02006600003365 -:02006800003462 -:02006A0000355F -:02006C0000365C -:02006E00003759 -:02007000003856 -:02007200003953 -:02007400003A50 -:02007600003B4D -:02007800003C4A -:02007A00003D47 -:02007C00003E44 -:02007E00003F41 -:0200800000403E -:0200820000413B -:02008400004238 -:02008600004335 -:02008800004432 -:02008A0000452F -:02008C0000462C -:02008E00004729 -:02009000004826 -:02009200004923 -:02009400004A20 -:02009600004B1D -:02009800004C1A -:02009A00004D17 -:02009C00004E14 -:02009E00004F11 -:0200A00000500E -:0200A20000510B -:0200A400005208 -:0200A600005305 -:0200A800005402 -:0200AA000055FF -:0200AC000056FC -:0200AE000057F9 -:0200B0000058F6 -:0200B2000059F3 -:0200B400005AF0 -:0200B600005BED -:0200B800005CEA -:0200BA00005DE7 -:0200BC00005EE4 -:0200BE00005FE1 -:0200C0000060DE -:0200C2000061DB -:0200C4000062D8 -:0200C6000063D5 -:0200C8000064D2 -:0200CA000065CF -:0200CC000066CC -:0200CE000067C9 -:0200D0000068C6 -:0200D2000069C3 -:0200D400006AC0 -:0200D600006BBD -:0200D800006CBA -:0200DA00006DB7 -:0200DC00006EB4 -:0200DE00006FB1 -:0200E0000070AE -:0200E2000071AB -:0200E4000072A8 -:0200E6000073A5 -:0200E8000074A2 -:0200EA0000759F -:0200EC0000769C -:0200EE00007799 -:0200F000007896 -:0200F200007993 -:0200F400007A90 -:0200F600007B8D -:0200F800007C8A -:0200FA00007D87 -:0200FC00007E84 -:0200FE00007F81 -:0201000000807D -:0201020000817A -:02010400008277 -:02010600008374 -:02010800008471 -:02010A0000856E -:02010C0000866B -:02010E00008768 -:02011000008865 -:02011200008962 -:02011400008A5F -:02011600008B5C -:02011800008C59 -:02011A00008D56 -:02011C00008E53 -:02011E00008F50 -:0201200000904D -:0201220000914A -:02012400009247 -:02012600009344 -:02012800009441 -:02012A0000953E -:02012C0000963B -:02012E00009738 -:02013000009835 -:02013200009932 -:02013400009A2F -:02013600009B2C -:02013800009C29 -:02013A00009D26 -:02013C00009E23 -:02013E00009F20 -:0201400000A01D -:0201420000A11A -:0201440000A217 -:0201460000A314 -:0201480000A411 -:02014A0000A50E -:02014C0000A60B -:02014E0000A708 -:0201500000A805 -:0201520000A902 -:0201540000AAFF -:0201560000ABFC -:0201580000ACF9 -:02015A0000ADF6 -:02015C0000AEF3 -:02015E0000AFF0 -:0201600000B0ED -:0201620000B1EA -:0201640000B2E7 -:0201660000B3E4 -:0201680000B4E1 -:02016A0000B5DE -:02016C0000B6DB -:02016E0000B7D8 -:0201700000B8D5 -:0201720000B9D2 -:0201740000BACF -:0201760000BBCC -:0201780000BCC9 -:02017A0000BDC6 -:02017C0000BEC3 -:02017E0000BFC0 -:0201800000C0BD -:0201820000C1BA -:0201840000C2B7 -:0201860000C3B4 -:0201880000C4B1 -:02018A0000C5AE -:02018C0000C6AB -:02018E0000C7A8 -:0201900000C8A5 -:0201920000C9A2 -:0201940000CA9F -:0201960000CB9C -:0201980000CC99 -:02019A0000CD96 -:02019C0000CE93 -:02019E0000CF90 -:0201A00000D08D -:0201A20000D18A -:0201A40000D287 -:0201A60000D384 -:0201A80000D481 -:0201AA0000D57E -:0201AC0000D67B -:0201AE0000D778 -:0201B00000D875 -:0201B20000D972 -:0201B40000DA6F -:0201B60000DB6C -:0201B80000DC69 -:0201BA0000DD66 -:0201BC0000DE63 -:0201BE0000DF60 -:0201C00000E05D -:0201C20000E15A -:0201C40000E257 -:0201C60000E354 -:0201C80000E451 -:0201CA0000E54E -:0201CC0000E64B -:0201CE0000E748 -:0201D00000E845 -:0201D20000E942 -:0201D40000EA3F -:0201D60000EB3C -:0201D80000EC39 -:0201DA0000ED36 -:0201DC0000EE33 -:0201DE0000EF30 -:0201E00000F02D -:0201E20000F12A -:0201E40000F227 -:0201E60000F324 -:0201E80000F421 -:0201EA0000F51E -:0201EC0000F61B -:0201EE0000F718 -:0201F00000F815 -:0201F20000F912 -:0201F40000FA0F -:0201F60000FB0C -:0201F80000FC09 -:0201FA0000FD06 -:0201FC0000FE03 -:0201FE0000FF00 -:020200000100FB -:020202000101F8 -:020204000102F5 -:020206000103F2 -:020208000104EF -:02020A000105EC -:02020C000106E9 -:02020E000107E6 -:020210000108E3 -:020212000109E0 -:02021400010ADD -:02021600010BDA -:02021800010CD7 -:02021A00010DD4 -:02021C00010ED1 -:02021E00010FCE -:020220000110CB -:020222000111C8 -:020224000112C5 -:020226000113C2 -:020228000114BF -:02022A000115BC -:02022C000116B9 -:02022E000117B6 -:020230000118B3 -:020232000119B0 -:02023400011AAD -:02023600011BAA -:02023800011CA7 -:02023A00011DA4 -:02023C00011EA1 -:02023E00011F9E -:0202400001209B -:02024200012198 -:02024400012295 -:02024600012392 -:0202480001248F -:02024A0001258C -:02024C00012689 -:02024E00012786 -:02025000012883 -:02025200012980 -:02025400012A7D -:02025600012B7A -:02025800012C77 -:02025A00012D74 -:02025C00012E71 -:02025E00012F6E -:0202600001306B -:02026200013168 -:02026400013265 -:02026600013362 -:0202680001345F -:02026A0001355C -:02026C00013659 -:02026E00013756 -:02027000013853 -:02027200013950 -:02027400013A4D -:02027600013B4A -:02027800013C47 -:02027A00013D44 -:02027C00013E41 -:02027E00013F3E -:0202800001403B -:02028200014138 -:02028400014235 -:02028600014332 -:0202880001442F -:02028A0001452C -:02028C00014629 -:02028E00014726 -:02029000014823 -:02029200014920 -:02029400014A1D -:02029600014B1A -:02029800014C17 -:02029A00014D14 -:02029C00014E11 -:02029E00014F0E -:0202A00001500B -:0202A200015108 -:0202A400015205 -:0202A600015302 -:0202A8000154FF -:0202AA000155FC -:0202AC000156F9 -:0202AE000157F6 -:0202B0000158F3 -:0202B2000159F0 -:0202B400015AED -:0202B600015BEA -:0202B800015CE7 -:0202BA00015DE4 -:0202BC00015EE1 -:0202BE00015FDE -:0202C0000160DB -:0202C2000161D8 -:0202C4000162D5 -:0202C6000163D2 -:0202C8000164CF -:0202CA000165CC -:0202CC000166C9 -:0202CE000167C6 -:0202D0000168C3 -:0202D2000169C0 -:0202D400016ABD -:0202D600016BBA -:0202D800016CB7 -:0202DA00016DB4 -:0202DC00016EB1 -:0202DE00016FAE -:0202E0000170AB -:0202E2000171A8 -:0202E4000172A5 -:0202E6000173A2 -:0202E80001749F -:0202EA0001759C -:0202EC00017699 -:0202EE00017796 -:0202F000017893 -:0202F200017990 -:0202F400017A8D -:0202F600017B8A -:0202F800017C87 -:0202FA00017D84 -:0202FC00017E81 -:0202FE00017F7E -:0203000001807A -:02030200018177 -:02030400018274 -:02030600018371 -:0203080001846E -:02030A0001856B -:02030C00018668 -:02030E00018765 -:02031000018862 -:0203120001895F -:02031400018A5C -:02031600018B59 -:02031800018C56 -:02031A00018D53 -:02031C00018E50 -:02031E00018F4D -:0203200001904A -:02032200019147 -:02032400019244 -:02032600019341 -:0203280001943E -:02032A0001953B -:02032C00019638 -:02032E00019735 -:02033000019832 -:0203320001992F -:02033400019A2C -:02033600019B29 -:02033800019C26 -:02033A00019D23 -:02033C00019E20 -:02033E00019F1D -:0203400001A01A -:0203420001A117 -:0203440001A214 -:0203460001A311 -:0203480001A40E -:02034A0001A50B -:02034C0001A608 -:02034E0001A705 -:0203500001A802 -:0203520001A9FF -:0203540001AAFC -:0203560001ABF9 -:0203580001ACF6 -:02035A0001ADF3 -:02035C0001AEF0 -:02035E0001AFED -:0203600001B0EA -:0203620001B1E7 -:0203640001B2E4 -:0203660001B3E1 -:0203680001B4DE -:02036A0001B5DB -:02036C0001B6D8 -:02036E0001B7D5 -:0203700001B8D2 -:0203720001B9CF -:0203740001BACC -:0203760001BBC9 -:0203780001BCC6 -:02037A0001BDC3 -:02037C0001BEC0 -:02037E0001BFBD -:0203800001C0BA -:0203820001C1B7 -:0203840001C2B4 -:0203860001C3B1 -:0203880001C4AE -:02038A0001C5AB -:02038C0001C6A8 -:02038E0001C7A5 -:0203900001C8A2 -:0203920001C99F -:0203940001CA9C -:0203960001CB99 -:0203980001CC96 -:02039A0001CD93 -:02039C0001CE90 -:02039E0001CF8D -:0203A00001D08A -:0203A20001D187 -:0203A40001D284 -:0203A60001D381 -:0203A80001D47E -:0203AA0001D57B -:0203AC0001D678 -:0203AE0001D775 -:0203B00001D872 -:0203B20001D96F -:0203B40001DA6C -:0203B60001DB69 -:0203B80001DC66 -:0203BA0001DD63 -:0203BC0001DE60 -:0203BE0001DF5D -:0203C00001E05A -:0203C20001E157 -:0203C40001E254 -:0203C60001E351 -:0203C80001E44E -:0203CA0001E54B -:0203CC0001E648 -:0203CE0001E745 -:0203D00001E842 -:0203D20001E93F -:0203D40001EA3C -:0203D60001EB39 -:0203D80001EC36 -:0203DA0001ED33 -:0203DC0001EE30 -:0203DE0001EF2D -:0203E00001F02A -:0203E20001F127 -:0203E40001F224 -:0203E60001F321 -:0203E80001F41E -:0203EA0001F51B -:0203EC0001F618 -:0203EE0001F715 -:0203F00001F812 -:0203F20001F90F -:0203F40001FA0C -:0203F60001FB09 -:0203F80001FC06 -:0203FA0001FD03 -:0203FC0001FE00 -:0203FE0001FFFD -:020400000200F8 -:020402000201F5 -:020404000202F2 -:020406000203EF -:020408000204EC -:02040A000205E9 -:02040C000206E6 -:02040E000207E3 -:020410000208E0 -:020412000209DD -:02041400020ADA -:02041600020BD7 -:02041800020CD4 -:02041A00020DD1 -:02041C00020ECE -:02041E00020FCB -:020420000210C8 -:020422000211C5 -:020424000212C2 -:020426000213BF -:020428000214BC -:02042A000215B9 -:02042C000216B6 -:02042E000217B3 -:020430000218B0 -:020432000219AD -:02043400021AAA -:02043600021BA7 -:02043800021CA4 -:02043A00021DA1 -:02043C00021E9E -:02043E00021F9B -:02044000022098 -:02044200022195 -:02044400022292 -:0204460002238F -:0204480002248C -:02044A00022589 -:02044C00022686 -:02044E00022783 -:02045000022880 -:0204520002297D -:02045400022A7A -:02045600022B77 -:02045800022C74 -:02045A00022D71 -:02045C00022E6E -:02045E00022F6B -:02046000023068 -:02046200023165 -:02046400023262 -:0204660002335F -:0204680002345C -:02046A00023559 -:02046C00023656 -:02046E00023753 -:02047000023850 -:0204720002394D -:02047400023A4A -:02047600023B47 -:02047800023C44 -:02047A00023D41 -:02047C00023E3E -:02047E00023F3B -:02048000024038 -:02048200024135 -:02048400024232 -:0204860002432F -:0204880002442C -:02048A00024529 -:02048C00024626 -:02048E00024723 -:02049000024820 -:0204920002491D -:02049400024A1A -:02049600024B17 -:02049800024C14 -:02049A00024D11 -:02049C00024E0E -:02049E00024F0B -:0204A000025008 -:0204A200025105 -:0204A400025202 -:0204A6000253FF -:0204A8000254FC -:0204AA000255F9 -:0204AC000256F6 -:0204AE000257F3 -:0204B0000258F0 -:0204B2000259ED -:0204B400025AEA -:0204B600025BE7 -:0204B800025CE4 -:0204BA00025DE1 -:0204BC00025EDE -:0204BE00025FDB -:0204C0000260D8 -:0204C2000261D5 -:0204C4000262D2 -:0204C6000263CF -:0204C8000264CC -:0204CA000265C9 -:0204CC000266C6 -:0204CE000267C3 -:0204D0000268C0 -:0204D2000269BD -:0204D400026ABA -:0204D600026BB7 -:0204D800026CB4 -:0204DA00026DB1 -:0204DC00026EAE -:0204DE00026FAB -:0204E0000270A8 -:0204E2000271A5 -:0204E4000272A2 -:0204E60002739F -:0204E80002749C -:0204EA00027599 -:0204EC00027696 -:0204EE00027793 -:0204F000027890 -:0204F20002798D -:0204F400027A8A -:0204F600027B87 -:0204F800027C84 -:0204FA00027D81 -:0204FC00027E7E -:0204FE00027F7B -:02050000028077 -:02050200028174 -:02050400028271 -:0205060002836E -:0205080002846B -:02050A00028568 -:02050C00028665 -:02050E00028762 -:0205100002885F -:0205120002895C -:02051400028A59 -:02051600028B56 -:02051800028C53 -:02051A00028D50 -:02051C00028E4D -:02051E00028F4A -:02052000029047 -:02052200029144 -:02052400029241 -:0205260002933E -:0205280002943B -:02052A00029538 -:02052C00029635 -:02052E00029732 -:0205300002982F -:0205320002992C -:02053400029A29 -:02053600029B26 -:02053800029C23 -:02053A00029D20 -:02053C00029E1D -:02053E00029F1A -:0205400002A017 -:0205420002A114 -:0205440002A211 -:0205460002A30E -:0205480002A40B -:02054A0002A508 -:02054C0002A605 -:02054E0002A702 -:0205500002A8FF -:0205520002A9FC -:0205540002AAF9 -:0205560002ABF6 -:0205580002ACF3 -:02055A0002ADF0 -:02055C0002AEED -:02055E0002AFEA -:0205600002B0E7 -:0205620002B1E4 -:0205640002B2E1 -:0205660002B3DE -:0205680002B4DB -:02056A0002B5D8 -:02056C0002B6D5 -:02056E0002B7D2 -:0205700002B8CF -:0205720002B9CC -:0205740002BAC9 -:0205760002BBC6 -:0205780002BCC3 -:02057A0002BDC0 -:02057C0002BEBD -:02057E0002BFBA -:0205800002C0B7 -:0205820002C1B4 -:0205840002C2B1 -:0205860002C3AE -:0205880002C4AB -:02058A0002C5A8 -:02058C0002C6A5 -:02058E0002C7A2 -:0205900002C89F -:0205920002C99C -:0205940002CA99 -:0205960002CB96 -:0205980002CC93 -:02059A0002CD90 -:02059C0002CE8D -:02059E0002CF8A -:0205A00002D087 -:0205A20002D184 -:0205A40002D281 -:0205A60002D37E -:0205A80002D47B -:0205AA0002D578 -:0205AC0002D675 -:0205AE0002D772 -:0205B00002D86F -:0205B20002D96C -:0205B40002DA69 -:0205B60002DB66 -:0205B80002DC63 -:0205BA0002DD60 -:0205BC0002DE5D -:0205BE0002DF5A -:0205C00002E057 -:0205C20002E154 -:0205C40002E251 -:0205C60002E34E -:0205C80002E44B -:0205CA0002E548 -:0205CC0002E645 -:0205CE0002E742 -:0205D00002E83F -:0205D20002E93C -:0205D40002EA39 -:0205D60002EB36 -:0205D80002EC33 -:0205DA0002ED30 -:0205DC0002EE2D -:0205DE0002EF2A -:0205E00002F027 -:0205E20002F124 -:0205E40002F221 -:0205E60002F31E -:0205E80002F41B -:0205EA0002F518 -:0205EC0002F615 -:0205EE0002F712 -:0205F00002F80F -:0205F20002F90C -:0205F40002FA09 -:0205F60002FB06 -:0205F80002FC03 -:0205FA0002FD00 -:0205FC0002FEFD -:0205FE0002FFFA -:020600000300F5 -:020602000301F2 -:020604000302EF -:020606000303EC -:020608000304E9 -:02060A000305E6 -:02060C000306E3 -:02060E000307E0 -:020610000308DD -:020612000309DA -:02061400030AD7 -:02061600030BD4 -:02061800030CD1 -:02061A00030DCE -:02061C00030ECB -:02061E00030FC8 -:020620000310C5 -:020622000311C2 -:020624000312BF -:020626000313BC -:020628000314B9 -:02062A000315B6 -:02062C000316B3 -:02062E000317B0 -:020630000318AD -:020632000319AA -:02063400031AA7 -:02063600031BA4 -:02063800031CA1 -:02063A00031D9E -:02063C00031E9B -:02063E00031F98 -:02064000032095 -:02064200032192 -:0206440003228F -:0206460003238C -:02064800032489 -:02064A00032586 -:02064C00032683 -:02064E00032780 -:0206500003287D -:0206520003297A -:02065400032A77 -:02065600032B74 -:02065800032C71 -:02065A00032D6E -:02065C00032E6B -:02065E00032F68 -:02066000033065 -:02066200033162 -:0206640003325F -:0206660003335C -:02066800033459 -:02066A00033556 -:02066C00033653 -:02066E00033750 -:0206700003384D -:0206720003394A -:02067400033A47 -:02067600033B44 -:02067800033C41 -:02067A00033D3E -:02067C00033E3B -:02067E00033F38 -:02068000034035 -:02068200034132 -:0206840003422F -:0206860003432C -:02068800034429 -:02068A00034526 -:02068C00034623 -:02068E00034720 -:0206900003481D -:0206920003491A -:02069400034A17 -:02069600034B14 -:02069800034C11 -:02069A00034D0E -:02069C00034E0B -:02069E00034F08 -:0206A000035005 -:0206A200035102 -:0206A4000352FF -:0206A6000353FC -:0206A8000354F9 -:0206AA000355F6 -:0206AC000356F3 -:0206AE000357F0 -:0206B0000358ED -:0206B2000359EA -:0206B400035AE7 -:0206B600035BE4 -:0206B800035CE1 -:0206BA00035DDE -:0206BC00035EDB -:0206BE00035FD8 -:0206C0000360D5 -:0206C2000361D2 -:0206C4000362CF -:0206C6000363CC -:0206C8000364C9 -:0206CA000365C6 -:0206CC000366C3 -:0206CE000367C0 -:0206D0000368BD -:0206D2000369BA -:0206D400036AB7 -:0206D600036BB4 -:0206D800036CB1 -:0206DA00036DAE -:0206DC00036EAB -:0206DE00036FA8 -:0206E0000370A5 -:0206E2000371A2 -:0206E40003729F -:0206E60003739C -:0206E800037499 -:0206EA00037596 -:0206EC00037693 -:0206EE00037790 -:0206F00003788D -:0206F20003798A -:0206F400037A87 -:0206F600037B84 -:0206F800037C81 -:0206FA00037D7E -:0206FC00037E7B -:0206FE00037F78 -:02070000038074 -:02070200038171 -:0207040003826E -:0207060003836B -:02070800038468 -:02070A00038565 -:02070C00038662 -:02070E0003875F -:0207100003885C -:02071200038959 -:02071400038A56 -:02071600038B53 -:02071800038C50 -:02071A00038D4D -:02071C00038E4A -:02071E00038F47 -:02072000039044 -:02072200039141 -:0207240003923E -:0207260003933B -:02072800039438 -:02072A00039535 -:02072C00039632 -:02072E0003972F -:0207300003982C -:02073200039929 -:02073400039A26 -:02073600039B23 -:02073800039C20 -:02073A00039D1D -:02073C00039E1A -:02073E00039F17 -:0207400003A014 -:0207420003A111 -:0207440003A20E -:0207460003A30B -:0207480003A408 -:02074A0003A505 -:02074C0003A602 -:02074E0003A7FF -:0207500003A8FC -:0207520003A9F9 -:0207540003AAF6 -:0207560003ABF3 -:0207580003ACF0 -:02075A0003ADED -:02075C0003AEEA -:02075E0003AFE7 -:0207600003B0E4 -:0207620003B1E1 -:0207640003B2DE -:0207660003B3DB -:0207680003B4D8 -:02076A0003B5D5 -:02076C0003B6D2 -:02076E0003B7CF -:0207700003B8CC -:0207720003B9C9 -:0207740003BAC6 -:0207760003BBC3 -:0207780003BCC0 -:02077A0003BDBD -:02077C0003BEBA -:02077E0003BFB7 -:0207800003C0B4 -:0207820003C1B1 -:0207840003C2AE -:0207860003C3AB -:0207880003C4A8 -:02078A0003C5A5 -:02078C0003C6A2 -:02078E0003C79F -:0207900003C89C -:0207920003C999 -:0207940003CA96 -:0207960003CB93 -:0207980003CC90 -:02079A0003CD8D -:02079C0003CE8A -:02079E0003CF87 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_0.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_0.hex deleted file mode 100644 index 8d9baf110abf454d594f9aae6ac37e0d087ea75f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_0.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400040000004000B8 -:0400080000004000B4 -:04000C0000004000B0 -:0400100000004000AC -:0400140000004000A8 -:0400180000004000A4 -:04001C0000004000A0 -:04002000000040009C -:040024000000400098 -:040028000000400094 -:04002C000000400090 -:04003000000040008C -:040034000000400088 -:040038000000400084 -:04003C000000400080 -:04004000000040007C -:040044000000400078 -:040048000000400074 -:04004C000000400070 -:04005000000040006C -:040054000000400068 -:040058000000400064 -:04005C000000400060 -:04006000000040005C -:040064000000400058 -:040068000000400054 -:04006C000000400050 -:04007000000040004C -:040074000000400048 -:040078000000400044 -:04007C000000400040 -:04008000000040003C -:040084000000400038 -:040088000000400034 -:04008C000000400030 -:04009000000040002C -:040094000000400028 -:040098000000400024 -:04009C000000400020 -:0400A000000040001C -:0400A4000000400018 -:0400A8000000400014 -:0400AC000000400010 -:0400B000000040000C -:0400B4000000400008 -:0400B8000000400004 -:0400BC000000400000 -:0400C00000004000FC -:0400C40000004000F8 -:0400C80000004000F4 -:0400CC0000004000F0 -:0400D00000004000EC -:0400D40000004000E8 -:0400D80000004000E4 -:0400DC0000004000E0 -:0400E00000004000DC -:0400E40000004000D8 -:0400E80000004000D4 -:0400EC0000004000D0 -:0400F00000004000CC -:0400F40000004000C8 -:0400F80000004000C4 -:0400FC0000004000C0 -:0401000000004000BB -:0401040000004000B7 -:0401080000004000B3 -:04010C0000004000AF -:0401100000004000AB -:0401140000004000A7 -:0401180000004000A3 -:04011C00000040009F -:04012000000040009B -:040124000000400097 -:040128000000400093 -:04012C00000040008F -:04013000000040008B -:040134000000400087 -:040138000000400083 -:04013C00000040007F -:04014000000040007B -:040144000000400077 -:040148000000400073 -:04014C00000040006F -:04015000000040006B -:040154000000400067 -:040158000000400063 -:04015C00000040005F -:04016000000040005B -:040164000000400057 -:040168000000400053 -:04016C00000040004F -:04017000000040004B -:040174000000400047 -:040178000000400043 -:04017C00000040003F -:04018000000040003B -:040184000000400037 -:040188000000400033 -:04018C00000040002F -:04019000000040002B -:040194000000400027 -:040198000000400023 -:04019C00000040001F -:0401A000000040001B -:0401A4000000400017 -:0401A8000000400013 -:0401AC00000040000F -:0401B000000040000B -:0401B4000000400007 -:0401B8000000400003 -:0401BC0000004000FF -:0401C00000004000FB -:0401C40000004000F7 -:0401C80000004000F3 -:0401CC0000004000EF -:0401D00000004000EB -:0401D40000004000E7 -:0401D80000004000E3 -:0401DC0000004000DF -:0401E00000004000DB -:0401E40000004000D7 -:0401E80000004000D3 -:0401EC0000004000CF -:0401F00000004000CB -:0401F40000004000C7 -:0401F80000004000C3 -:0401FC0000004000BF -:0402000000004000BA -:0402040000004000B6 -:0402080000004000B2 -:04020C0000004000AE -:0402100000004000AA -:0402140000004000A6 -:0402180000004000A2 -:04021C00000040009E -:04022000000040009A -:040224000000400096 -:040228000000400092 -:04022C00000040008E -:04023000000040008A -:040234000000400086 -:040238000000400082 -:04023C00000040007E -:04024000000040007A -:040244000000400076 -:040248000000400072 -:04024C00000040006E -:04025000000040006A -:040254000000400066 -:040258000000400062 -:04025C00000040005E -:04026000000040005A -:040264000000400056 -:040268000000400052 -:04026C00000040004E -:04027000000040004A -:040274000000400046 -:040278000000400042 -:04027C00000040003E -:04028000000040003A -:040284000000400036 -:040288000000400032 -:04028C00000040002E -:04029000000040002A -:040294000000400026 -:040298000000400022 -:04029C00000040001E -:0402A000000040001A -:0402A4000000400016 -:0402A8000000400012 -:0402AC00000040000E -:0402B000000040000A -:0402B4000000400006 -:0402B8000000400002 -:0402BC0000004000FE -:0402C00000004000FA -:0402C40000004000F6 -:0402C80000004000F2 -:0402CC0000004000EE -:0402D00000004000EA -:0402D40000004000E6 -:0402D80000004000E2 -:0402DC0000004000DE -:0402E00000004000DA -:0402E40000004000D6 -:0402E80000004000D2 -:0402EC0000004000CE -:0402F00000004000CA -:0402F40000004000C6 -:0402F80000004000C2 -:0402FC0000004000BE -:0403000000004000B9 -:0403040000004000B5 -:0403080000004000B1 -:04030C0000004000AD -:0403100000004000A9 -:0403140000004000A5 -:0403180000004000A1 -:04031C00000040009D -:040320000000400099 -:040324000000400095 -:040328000000400091 -:04032C00000040008D -:040330000000400089 -:040334000000400085 -:040338000000400081 -:04033C00000040007D -:040340000000400079 -:040344000000400075 -:040348000000400071 -:04034C00000040006D -:040350000000400069 -:040354000000400065 -:040358000000400061 -:04035C00000040005D -:040360000000400059 -:040364000000400055 -:040368000000400051 -:04036C00000040004D -:040370000000400049 -:040374000000400045 -:040378000000400041 -:04037C00000040003D -:040380000000400039 -:040384000000400035 -:040388000000400031 -:04038C00000040002D -:040390000000400029 -:040394000000400025 -:040398000000400021 -:04039C00000040001D -:0403A0000000400019 -:0403A4000000400015 -:0403A8000000400011 -:0403AC00000040000D -:0403B0000000400009 -:0403B4000000400005 -:0403B8000000400001 -:0403BC0000004000FD -:0403C00000004000F9 -:0403C40000004000F5 -:0403C80000004000F1 -:0403CC0000004000ED -:0403D00000004000E9 -:0403D40000004000E5 -:0403D80000004000E1 -:0403DC0000004000DD -:0403E00000004000D9 -:0403E40000004000D5 -:0403E80000004000D1 -:0403EC0000004000CD -:0403F00000004000C9 -:0403F40000004000C5 -:0403F80000004000C1 -:0403FC0000004000BD -:0404000000004000B8 -:0404040000004000B4 -:0404080000004000B0 -:04040C0000004000AC -:0404100000004000A8 -:0404140000004000A4 -:0404180000004000A0 -:04041C00000040009C -:040420000000400098 -:040424000000400094 -:040428000000400090 -:04042C00000040008C -:040430000000400088 -:040434000000400084 -:040438000000400080 -:04043C00000040007C -:040440000000400078 -:040444000000400074 -:040448000000400070 -:04044C00000040006C -:040450000000400068 -:040454000000400064 -:040458000000400060 -:04045C00000040005C -:040460000000400058 -:040464000000400054 -:040468000000400050 -:04046C00000040004C -:040470000000400048 -:040474000000400044 -:040478000000400040 -:04047C00000040003C -:040480000000400038 -:040484000000400034 -:040488000000400030 -:04048C00000040002C -:040490000000400028 -:040494000000400024 -:040498000000400020 -:04049C00000040001C -:0404A0000000400018 -:0404A4000000400014 -:0404A8000000400010 -:0404AC00000040000C -:0404B0000000400008 -:0404B4000000400004 -:0404B8000000400000 -:0404BC0000004000FC -:0404C00000004000F8 -:0404C40000004000F4 -:0404C80000004000F0 -:0404CC0000004000EC -:0404D00000004000E8 -:0404D40000004000E4 -:0404D80000004000E0 -:0404DC0000004000DC -:0404E00000004000D8 -:0404E40000004000D4 -:0404E80000004000D0 -:0404EC0000004000CC -:0404F00000004000C8 -:0404F40000004000C4 -:0404F80000004000C0 -:0404FC0000004000BC -:0405000000004000B7 -:0405040000004000B3 -:0405080000004000AF -:04050C0000004000AB -:0405100000004000A7 -:0405140000004000A3 -:04051800000040009F -:04051C00000040009B -:040520000000400097 -:040524000000400093 -:04052800000040008F -:04052C00000040008B -:040530000000400087 -:040534000000400083 -:04053800000040007F -:04053C00000040007B -:040540000000400077 -:040544000000400073 -:04054800000040006F -:04054C00000040006B -:040550000000400067 -:040554000000400063 -:04055800000040005F -:04055C00000040005B -:040560000000400057 -:040564000000400053 -:04056800000040004F -:04056C00000040004B -:040570000000400047 -:040574000000400043 -:04057800000040003F -:04057C00000040003B -:040580000000400037 -:040584000000400033 -:04058800000040002F -:04058C00000040002B -:040590000000400027 -:040594000000400023 -:04059800000040001F -:04059C00000040001B -:0405A0000000400017 -:0405A4000000400013 -:0405A800000040000F -:0405AC00000040000B -:0405B0000000400007 -:0405B4000000400003 -:0405B80000004000FF -:0405BC0000004000FB -:0405C00000004000F7 -:0405C40000004000F3 -:0405C80000004000EF -:0405CC0000004000EB -:0405D00000004000E7 -:0405D40000004000E3 -:0405D80000004000DF -:0405DC0000004000DB -:0405E00000004000D7 -:0405E40000004000D3 -:0405E80000004000CF -:0405EC0000004000CB -:0405F00000004000C7 -:0405F40000004000C3 -:0405F80000004000BF -:0405FC0000004000BB -:0406000000004000B6 -:0406040000004000B2 -:0406080000004000AE -:04060C0000004000AA -:0406100000004000A6 -:0406140000004000A2 -:04061800000040009E -:04061C00000040009A -:040620000000400096 -:040624000000400092 -:04062800000040008E -:04062C00000040008A -:040630000000400086 -:040634000000400082 -:04063800000040007E -:04063C00000040007A -:040640000000400076 -:040644000000400072 -:04064800000040006E -:04064C00000040006A -:040650000000400066 -:040654000000400062 -:04065800000040005E -:04065C00000040005A -:040660000000400056 -:040664000000400052 -:04066800000040004E -:04066C00000040004A -:040670000000400046 -:040674000000400042 -:04067800000040003E -:04067C00000040003A -:040680000000400036 -:040684000000400032 -:04068800000040002E -:04068C00000040002A -:040690000000400026 -:040694000000400022 -:04069800000040001E -:04069C00000040001A -:0406A0000000400016 -:0406A4000000400012 -:0406A800000040000E -:0406AC00000040000A -:0406B0000000400006 -:0406B4000000400002 -:0406B80000004000FE -:0406BC0000004000FA -:0406C00000004000F6 -:0406C40000004000F2 -:0406C80000004000EE -:0406CC0000004000EA -:0406D00000004000E6 -:0406D40000004000E2 -:0406D80000004000DE -:0406DC0000004000DA -:0406E00000004000D6 -:0406E40000004000D2 -:0406E80000004000CE -:0406EC0000004000CA -:0406F00000004000C6 -:0406F40000004000C2 -:0406F80000004000BE -:0406FC0000004000BA -:0407000000004000B5 -:0407040000004000B1 -:0407080000004000AD -:04070C0000004000A9 -:0407100000004000A5 -:0407140000004000A1 -:04071800000040009D -:04071C000000400099 -:040720000000400095 -:040724000000400091 -:04072800000040008D -:04072C000000400089 -:040730000000400085 -:040734000000400081 -:04073800000040007D -:04073C000000400079 -:040740000000400075 -:040744000000400071 -:04074800000040006D -:04074C000000400069 -:040750000000400065 -:040754000000400061 -:04075800000040005D -:04075C000000400059 -:040760000000400055 -:040764000000400051 -:04076800000040004D -:04076C000000400049 -:040770000000400045 -:040774000000400041 -:04077800000040003D -:04077C000000400039 -:040780000000400035 -:040784000000400031 -:04078800000040002D -:04078C000000400029 -:040790000000400025 -:040794000000400021 -:04079800000040001D -:04079C000000400019 -:0407A0000000400015 -:0407A4000000400011 -:0407A800000040000D -:0407AC000000400009 -:0407B0000000400005 -:0407B4000000400001 -:0407B80000004000FD -:0407BC0000004000F9 -:0407C00000004000F5 -:0407C40000004000F1 -:0407C80000004000ED -:0407CC0000004000E9 -:0407D00000004000E5 -:0407D40000004000E1 -:0407D80000004000DD -:0407DC0000004000D9 -:0407E00000004000D5 -:0407E40000004000D1 -:0407E80000004000CD -:0407EC0000004000C9 -:0407F00000004000C5 -:0407F40000004000C1 -:0407F80000004000BD -:0407FC0000004000B9 -:0408000000004000B4 -:0408040000004000B0 -:0408080000004000AC -:04080C0000004000A8 -:0408100000004000A4 -:0408140000004000A0 -:04081800000040009C -:04081C000000400098 -:040820000000400094 -:040824000000400090 -:04082800000040008C -:04082C000000400088 -:040830000000400084 -:040834000000400080 -:04083800000040007C -:04083C000000400078 -:040840000000400074 -:040844000000400070 -:04084800000040006C -:04084C000000400068 -:040850000000400064 -:040854000000400060 -:04085800000040005C -:04085C000000400058 -:040860000000400054 -:040864000000400050 -:04086800000040004C -:04086C000000400048 -:040870000000400044 -:040874000000400040 -:04087800000040003C -:04087C000000400038 -:040880000000400034 -:040884000000400030 -:04088800000040002C -:04088C000000400028 -:040890000000400024 -:040894000000400020 -:04089800000040001C -:04089C000000400018 -:0408A0000000400014 -:0408A4000000400010 -:0408A800000040000C -:0408AC000000400008 -:0408B0000000400004 -:0408B4000000400000 -:0408B80000004000FC -:0408BC0000004000F8 -:0408C00000004000F4 -:0408C40000004000F0 -:0408C80000004000EC -:0408CC0000004000E8 -:0408D00000004000E4 -:0408D40000004000E0 -:0408D80000004000DC -:0408DC0000004000D8 -:0408E00000004000D4 -:0408E40000004000D0 -:0408E80000004000CC -:0408EC0000004000C8 -:0408F00000004000C4 -:0408F40000004000C0 -:0408F80000004000BC -:0408FC0000004000B8 -:0409000000004000B3 -:0409040000004000AF -:0409080000004000AB -:04090C0000004000A7 -:0409100000004000A3 -:04091400000040009F -:04091800000040009B -:04091C000000400097 -:040920000000400093 -:04092400000040008F -:04092800000040008B -:04092C000000400087 -:040930000000400083 -:04093400000040007F -:04093800000040007B -:04093C000000400077 -:040940000000400073 -:04094400000040006F -:04094800000040006B -:04094C000000400067 -:040950000000400063 -:04095400000040005F -:04095800000040005B -:04095C000000400057 -:040960000000400053 -:04096400000040004F -:04096800000040004B -:04096C000000400047 -:040970000000400043 -:04097400000040003F -:04097800000040003B -:04097C000000400037 -:040980000000400033 -:04098400000040002F -:04098800000040002B -:04098C000000400027 -:040990000000400023 -:04099400000040001F -:04099800000040001B -:04099C000000400017 -:0409A0000000400013 -:0409A400000040000F -:0409A800000040000B -:0409AC000000400007 -:0409B0000000400003 -:0409B40000004000FF -:0409B80000004000FB -:0409BC0000004000F7 -:0409C00000004000F3 -:0409C40000004000EF -:0409C80000004000EB -:0409CC0000004000E7 -:0409D00000004000E3 -:0409D40000004000DF -:0409D80000004000DB -:0409DC0000004000D7 -:0409E00000004000D3 -:0409E40000004000CF -:0409E80000004000CB -:0409EC0000004000C7 -:0409F00000004000C3 -:0409F40000004000BF -:0409F80000004000BB -:0409FC0000004000B7 -:040A000000004000B2 -:040A040000004000AE -:040A080000004000AA -:040A0C0000004000A6 -:040A100000004000A2 -:040A1400000040009E -:040A1800000040009A -:040A1C000000400096 -:040A20000000400092 -:040A2400000040008E -:040A2800000040008A -:040A2C000000400086 -:040A30000000400082 -:040A3400000040007E -:040A3800000040007A -:040A3C000000400076 -:040A40000000400072 -:040A4400000040006E -:040A4800000040006A -:040A4C000000400066 -:040A50000000400062 -:040A5400000040005E -:040A5800000040005A -:040A5C000000400056 -:040A60000000400052 -:040A6400000040004E -:040A6800000040004A -:040A6C000000400046 -:040A70000000400042 -:040A7400000040003E -:040A7800000040003A -:040A7C000000400036 -:040A80000000400032 -:040A8400000040002E -:040A8800000040002A -:040A8C000000400026 -:040A90000000400022 -:040A9400000040001E -:040A9800000040001A -:040A9C000000400016 -:040AA0000000400012 -:040AA400000040000E -:040AA800000040000A -:040AAC000000400006 -:040AB0000000400002 -:040AB40000004000FE -:040AB80000004000FA -:040ABC0000004000F6 -:040AC00000004000F2 -:040AC40000004000EE -:040AC80000004000EA -:040ACC0000004000E6 -:040AD00000004000E2 -:040AD40000004000DE -:040AD80000004000DA -:040ADC0000004000D6 -:040AE00000004000D2 -:040AE40000004000CE -:040AE80000004000CA -:040AEC0000004000C6 -:040AF00000004000C2 -:040AF40000004000BE -:040AF80000004000BA -:040AFC0000004000B6 -:040B000000004000B1 -:040B040000004000AD -:040B080000004000A9 -:040B0C0000004000A5 -:040B100000004000A1 -:040B1400000040009D -:040B18000000400099 -:040B1C000000400095 -:040B20000000400091 -:040B2400000040008D -:040B28000000400089 -:040B2C000000400085 -:040B30000000400081 -:040B3400000040007D -:040B38000000400079 -:040B3C000000400075 -:040B40000000400071 -:040B4400000040006D -:040B48000000400069 -:040B4C000000400065 -:040B50000000400061 -:040B5400000040005D -:040B58000000400059 -:040B5C000000400055 -:040B60000000400051 -:040B6400000040004D -:040B68000000400049 -:040B6C000000400045 -:040B70000000400041 -:040B7400000040003D -:040B78000000400039 -:040B7C000000400035 -:040B80000000400031 -:040B8400000040002D -:040B88000000400029 -:040B8C000000400025 -:040B90000000400021 -:040B9400000040001D -:040B98000000400019 -:040B9C000000400015 -:040BA0000000400011 -:040BA400000040000D -:040BA8000000400009 -:040BAC000000400005 -:040BB0000000400001 -:040BB40000004000FD -:040BB80000004000F9 -:040BBC0000004000F5 -:040BC00000004000F1 -:040BC40000004000ED -:040BC80000004000E9 -:040BCC0000004000E5 -:040BD00000004000E1 -:040BD40000004000DD -:040BD80000004000D9 -:040BDC0000004000D5 -:040BE00000004000D1 -:040BE40000004000CD -:040BE80000004000C9 -:040BEC0000004000C5 -:040BF00000004000C1 -:040BF40000004000BD -:040BF80000004000B9 -:040BFC0000004000B5 -:040C000000004000B0 -:040C040000004000AC -:040C080000004000A8 -:040C0C0000004000A4 -:040C100000004000A0 -:040C1400000040009C -:040C18000000400098 -:040C1C000000400094 -:040C20000000400090 -:040C2400000040008C -:040C28000000400088 -:040C2C000000400084 -:040C30000000400080 -:040C3400000040007C -:040C38000000400078 -:040C3C000000400074 -:040C40000000400070 -:040C4400000040006C -:040C48000000400068 -:040C4C000000400064 -:040C50000000400060 -:040C5400000040005C -:040C58000000400058 -:040C5C000000400054 -:040C60000000400050 -:040C6400000040004C -:040C68000000400048 -:040C6C000000400044 -:040C70000000400040 -:040C7400000040003C -:040C78000000400038 -:040C7C000000400034 -:040C80000000400030 -:040C8400000040002C -:040C88000000400028 -:040C8C000000400024 -:040C90000000400020 -:040C9400000040001C -:040C98000000400018 -:040C9C000000400014 -:040CA0000000400010 -:040CA400000040000C -:040CA8000000400008 -:040CAC000000400004 -:040CB0000000400000 -:040CB40000004000FC -:040CB80000004000F8 -:040CBC0000004000F4 -:040CC00000004000F0 -:040CC40000004000EC -:040CC80000004000E8 -:040CCC0000004000E4 -:040CD00000004000E0 -:040CD40000004000DC -:040CD80000004000D8 -:040CDC0000004000D4 -:040CE00000004000D0 -:040CE40000004000CC -:040CE80000004000C8 -:040CEC0000004000C4 -:040CF00000004000C0 -:040CF40000004000BC -:040CF80000004000B8 -:040CFC0000004000B4 -:040D000000004000AF -:040D040000004000AB -:040D080000004000A7 -:040D0C0000004000A3 -:040D1000000040009F -:040D1400000040009B -:040D18000000400097 -:040D1C000000400093 -:040D2000000040008F -:040D2400000040008B -:040D28000000400087 -:040D2C000000400083 -:040D3000000040007F -:040D3400000040007B -:040D38000000400077 -:040D3C000000400073 -:040D4000000040006F -:040D4400000040006B -:040D48000000400067 -:040D4C000000400063 -:040D5000000040005F -:040D5400000040005B -:040D58000000400057 -:040D5C000000400053 -:040D6000000040004F -:040D6400000040004B -:040D68000000400047 -:040D6C000000400043 -:040D7000000040003F -:040D7400000040003B -:040D78000000400037 -:040D7C000000400033 -:040D8000000040002F -:040D8400000040002B -:040D88000000400027 -:040D8C000000400023 -:040D9000000040001F -:040D9400000040001B -:040D98000000400017 -:040D9C000000400013 -:040DA000000040000F -:040DA400000040000B -:040DA8000000400007 -:040DAC000000400003 -:040DB00000004000FF -:040DB40000004000FB -:040DB80000004000F7 -:040DBC0000004000F3 -:040DC00000004000EF -:040DC40000004000EB -:040DC80000004000E7 -:040DCC0000004000E3 -:040DD00000004000DF -:040DD40000004000DB -:040DD80000004000D7 -:040DDC0000004000D3 -:040DE00000004000CF -:040DE40000004000CB -:040DE80000004000C7 -:040DEC0000004000C3 -:040DF00000004000BF -:040DF40000004000BB -:040DF80000004000B7 -:040DFC0000004000B3 -:040E000000004000AE -:040E040000004000AA -:040E080000004000A6 -:040E0C0000004000A2 -:040E1000000040009E -:040E1400000040009A -:040E18000000400096 -:040E1C000000400092 -:040E2000000040008E -:040E2400000040008A -:040E28000000400086 -:040E2C000000400082 -:040E3000000040007E -:040E3400000040007A -:040E38000000400076 -:040E3C000000400072 -:040E4000000040006E -:040E4400000040006A -:040E48000000400066 -:040E4C000000400062 -:040E5000000040005E -:040E5400000040005A -:040E58000000400056 -:040E5C000000400052 -:040E6000000040004E -:040E6400000040004A -:040E68000000400046 -:040E6C000000400042 -:040E7000000040003E -:040E7400000040003A -:040E78000000400036 -:040E7C000000400032 -:040E8000000040002E -:040E8400000040002A -:040E88000000400026 -:040E8C000000400022 -:040E9000000040001E -:040E9400000040001A -:040E98000000400016 -:040E9C000000400012 -:040EA000000040000E -:040EA400000040000A -:040EA8000000400006 -:040EAC000000400002 -:040EB00000004000FE -:040EB40000004000FA -:040EB80000004000F6 -:040EBC0000004000F2 -:040EC00000004000EE -:040EC40000004000EA -:040EC80000004000E6 -:040ECC0000004000E2 -:040ED00000004000DE -:040ED40000004000DA -:040ED80000004000D6 -:040EDC0000004000D2 -:040EE00000004000CE -:040EE40000004000CA -:040EE80000004000C6 -:040EEC0000004000C2 -:040EF00000004000BE -:040EF40000004000BA -:040EF80000004000B6 -:040EFC0000004000B2 -:040F000000004000AD -:040F040000004000A9 -:040F080000004000A5 -:040F0C0000004000A1 -:040F1000000040009D -:040F14000000400099 -:040F18000000400095 -:040F1C000000400091 -:040F2000000040008D -:040F24000000400089 -:040F28000000400085 -:040F2C000000400081 -:040F3000000040007D -:040F34000000400079 -:040F38000000400075 -:040F3C000000400071 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_1.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_1.hex deleted file mode 100644 index 8d9baf110abf454d594f9aae6ac37e0d087ea75f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_1.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400040000004000B8 -:0400080000004000B4 -:04000C0000004000B0 -:0400100000004000AC -:0400140000004000A8 -:0400180000004000A4 -:04001C0000004000A0 -:04002000000040009C -:040024000000400098 -:040028000000400094 -:04002C000000400090 -:04003000000040008C -:040034000000400088 -:040038000000400084 -:04003C000000400080 -:04004000000040007C -:040044000000400078 -:040048000000400074 -:04004C000000400070 -:04005000000040006C -:040054000000400068 -:040058000000400064 -:04005C000000400060 -:04006000000040005C -:040064000000400058 -:040068000000400054 -:04006C000000400050 -:04007000000040004C -:040074000000400048 -:040078000000400044 -:04007C000000400040 -:04008000000040003C -:040084000000400038 -:040088000000400034 -:04008C000000400030 -:04009000000040002C -:040094000000400028 -:040098000000400024 -:04009C000000400020 -:0400A000000040001C -:0400A4000000400018 -:0400A8000000400014 -:0400AC000000400010 -:0400B000000040000C -:0400B4000000400008 -:0400B8000000400004 -:0400BC000000400000 -:0400C00000004000FC -:0400C40000004000F8 -:0400C80000004000F4 -:0400CC0000004000F0 -:0400D00000004000EC -:0400D40000004000E8 -:0400D80000004000E4 -:0400DC0000004000E0 -:0400E00000004000DC -:0400E40000004000D8 -:0400E80000004000D4 -:0400EC0000004000D0 -:0400F00000004000CC -:0400F40000004000C8 -:0400F80000004000C4 -:0400FC0000004000C0 -:0401000000004000BB -:0401040000004000B7 -:0401080000004000B3 -:04010C0000004000AF -:0401100000004000AB -:0401140000004000A7 -:0401180000004000A3 -:04011C00000040009F -:04012000000040009B -:040124000000400097 -:040128000000400093 -:04012C00000040008F -:04013000000040008B -:040134000000400087 -:040138000000400083 -:04013C00000040007F -:04014000000040007B -:040144000000400077 -:040148000000400073 -:04014C00000040006F -:04015000000040006B -:040154000000400067 -:040158000000400063 -:04015C00000040005F -:04016000000040005B -:040164000000400057 -:040168000000400053 -:04016C00000040004F -:04017000000040004B -:040174000000400047 -:040178000000400043 -:04017C00000040003F -:04018000000040003B -:040184000000400037 -:040188000000400033 -:04018C00000040002F -:04019000000040002B -:040194000000400027 -:040198000000400023 -:04019C00000040001F -:0401A000000040001B -:0401A4000000400017 -:0401A8000000400013 -:0401AC00000040000F -:0401B000000040000B -:0401B4000000400007 -:0401B8000000400003 -:0401BC0000004000FF -:0401C00000004000FB -:0401C40000004000F7 -:0401C80000004000F3 -:0401CC0000004000EF -:0401D00000004000EB -:0401D40000004000E7 -:0401D80000004000E3 -:0401DC0000004000DF -:0401E00000004000DB -:0401E40000004000D7 -:0401E80000004000D3 -:0401EC0000004000CF -:0401F00000004000CB -:0401F40000004000C7 -:0401F80000004000C3 -:0401FC0000004000BF -:0402000000004000BA -:0402040000004000B6 -:0402080000004000B2 -:04020C0000004000AE -:0402100000004000AA -:0402140000004000A6 -:0402180000004000A2 -:04021C00000040009E -:04022000000040009A -:040224000000400096 -:040228000000400092 -:04022C00000040008E -:04023000000040008A -:040234000000400086 -:040238000000400082 -:04023C00000040007E -:04024000000040007A -:040244000000400076 -:040248000000400072 -:04024C00000040006E -:04025000000040006A -:040254000000400066 -:040258000000400062 -:04025C00000040005E -:04026000000040005A -:040264000000400056 -:040268000000400052 -:04026C00000040004E -:04027000000040004A -:040274000000400046 -:040278000000400042 -:04027C00000040003E -:04028000000040003A -:040284000000400036 -:040288000000400032 -:04028C00000040002E -:04029000000040002A -:040294000000400026 -:040298000000400022 -:04029C00000040001E -:0402A000000040001A -:0402A4000000400016 -:0402A8000000400012 -:0402AC00000040000E -:0402B000000040000A -:0402B4000000400006 -:0402B8000000400002 -:0402BC0000004000FE -:0402C00000004000FA -:0402C40000004000F6 -:0402C80000004000F2 -:0402CC0000004000EE -:0402D00000004000EA -:0402D40000004000E6 -:0402D80000004000E2 -:0402DC0000004000DE -:0402E00000004000DA -:0402E40000004000D6 -:0402E80000004000D2 -:0402EC0000004000CE -:0402F00000004000CA -:0402F40000004000C6 -:0402F80000004000C2 -:0402FC0000004000BE -:0403000000004000B9 -:0403040000004000B5 -:0403080000004000B1 -:04030C0000004000AD -:0403100000004000A9 -:0403140000004000A5 -:0403180000004000A1 -:04031C00000040009D -:040320000000400099 -:040324000000400095 -:040328000000400091 -:04032C00000040008D -:040330000000400089 -:040334000000400085 -:040338000000400081 -:04033C00000040007D -:040340000000400079 -:040344000000400075 -:040348000000400071 -:04034C00000040006D -:040350000000400069 -:040354000000400065 -:040358000000400061 -:04035C00000040005D -:040360000000400059 -:040364000000400055 -:040368000000400051 -:04036C00000040004D -:040370000000400049 -:040374000000400045 -:040378000000400041 -:04037C00000040003D -:040380000000400039 -:040384000000400035 -:040388000000400031 -:04038C00000040002D -:040390000000400029 -:040394000000400025 -:040398000000400021 -:04039C00000040001D -:0403A0000000400019 -:0403A4000000400015 -:0403A8000000400011 -:0403AC00000040000D -:0403B0000000400009 -:0403B4000000400005 -:0403B8000000400001 -:0403BC0000004000FD -:0403C00000004000F9 -:0403C40000004000F5 -:0403C80000004000F1 -:0403CC0000004000ED -:0403D00000004000E9 -:0403D40000004000E5 -:0403D80000004000E1 -:0403DC0000004000DD -:0403E00000004000D9 -:0403E40000004000D5 -:0403E80000004000D1 -:0403EC0000004000CD -:0403F00000004000C9 -:0403F40000004000C5 -:0403F80000004000C1 -:0403FC0000004000BD -:0404000000004000B8 -:0404040000004000B4 -:0404080000004000B0 -:04040C0000004000AC -:0404100000004000A8 -:0404140000004000A4 -:0404180000004000A0 -:04041C00000040009C -:040420000000400098 -:040424000000400094 -:040428000000400090 -:04042C00000040008C -:040430000000400088 -:040434000000400084 -:040438000000400080 -:04043C00000040007C -:040440000000400078 -:040444000000400074 -:040448000000400070 -:04044C00000040006C -:040450000000400068 -:040454000000400064 -:040458000000400060 -:04045C00000040005C -:040460000000400058 -:040464000000400054 -:040468000000400050 -:04046C00000040004C -:040470000000400048 -:040474000000400044 -:040478000000400040 -:04047C00000040003C -:040480000000400038 -:040484000000400034 -:040488000000400030 -:04048C00000040002C -:040490000000400028 -:040494000000400024 -:040498000000400020 -:04049C00000040001C -:0404A0000000400018 -:0404A4000000400014 -:0404A8000000400010 -:0404AC00000040000C -:0404B0000000400008 -:0404B4000000400004 -:0404B8000000400000 -:0404BC0000004000FC -:0404C00000004000F8 -:0404C40000004000F4 -:0404C80000004000F0 -:0404CC0000004000EC -:0404D00000004000E8 -:0404D40000004000E4 -:0404D80000004000E0 -:0404DC0000004000DC -:0404E00000004000D8 -:0404E40000004000D4 -:0404E80000004000D0 -:0404EC0000004000CC -:0404F00000004000C8 -:0404F40000004000C4 -:0404F80000004000C0 -:0404FC0000004000BC -:0405000000004000B7 -:0405040000004000B3 -:0405080000004000AF -:04050C0000004000AB -:0405100000004000A7 -:0405140000004000A3 -:04051800000040009F -:04051C00000040009B -:040520000000400097 -:040524000000400093 -:04052800000040008F -:04052C00000040008B -:040530000000400087 -:040534000000400083 -:04053800000040007F -:04053C00000040007B -:040540000000400077 -:040544000000400073 -:04054800000040006F -:04054C00000040006B -:040550000000400067 -:040554000000400063 -:04055800000040005F -:04055C00000040005B -:040560000000400057 -:040564000000400053 -:04056800000040004F -:04056C00000040004B -:040570000000400047 -:040574000000400043 -:04057800000040003F -:04057C00000040003B -:040580000000400037 -:040584000000400033 -:04058800000040002F -:04058C00000040002B -:040590000000400027 -:040594000000400023 -:04059800000040001F -:04059C00000040001B -:0405A0000000400017 -:0405A4000000400013 -:0405A800000040000F -:0405AC00000040000B -:0405B0000000400007 -:0405B4000000400003 -:0405B80000004000FF -:0405BC0000004000FB -:0405C00000004000F7 -:0405C40000004000F3 -:0405C80000004000EF -:0405CC0000004000EB -:0405D00000004000E7 -:0405D40000004000E3 -:0405D80000004000DF -:0405DC0000004000DB -:0405E00000004000D7 -:0405E40000004000D3 -:0405E80000004000CF -:0405EC0000004000CB -:0405F00000004000C7 -:0405F40000004000C3 -:0405F80000004000BF -:0405FC0000004000BB -:0406000000004000B6 -:0406040000004000B2 -:0406080000004000AE -:04060C0000004000AA -:0406100000004000A6 -:0406140000004000A2 -:04061800000040009E -:04061C00000040009A -:040620000000400096 -:040624000000400092 -:04062800000040008E -:04062C00000040008A -:040630000000400086 -:040634000000400082 -:04063800000040007E -:04063C00000040007A -:040640000000400076 -:040644000000400072 -:04064800000040006E -:04064C00000040006A -:040650000000400066 -:040654000000400062 -:04065800000040005E -:04065C00000040005A -:040660000000400056 -:040664000000400052 -:04066800000040004E -:04066C00000040004A -:040670000000400046 -:040674000000400042 -:04067800000040003E -:04067C00000040003A -:040680000000400036 -:040684000000400032 -:04068800000040002E -:04068C00000040002A -:040690000000400026 -:040694000000400022 -:04069800000040001E -:04069C00000040001A -:0406A0000000400016 -:0406A4000000400012 -:0406A800000040000E -:0406AC00000040000A -:0406B0000000400006 -:0406B4000000400002 -:0406B80000004000FE -:0406BC0000004000FA -:0406C00000004000F6 -:0406C40000004000F2 -:0406C80000004000EE -:0406CC0000004000EA -:0406D00000004000E6 -:0406D40000004000E2 -:0406D80000004000DE -:0406DC0000004000DA -:0406E00000004000D6 -:0406E40000004000D2 -:0406E80000004000CE -:0406EC0000004000CA -:0406F00000004000C6 -:0406F40000004000C2 -:0406F80000004000BE -:0406FC0000004000BA -:0407000000004000B5 -:0407040000004000B1 -:0407080000004000AD -:04070C0000004000A9 -:0407100000004000A5 -:0407140000004000A1 -:04071800000040009D -:04071C000000400099 -:040720000000400095 -:040724000000400091 -:04072800000040008D -:04072C000000400089 -:040730000000400085 -:040734000000400081 -:04073800000040007D -:04073C000000400079 -:040740000000400075 -:040744000000400071 -:04074800000040006D -:04074C000000400069 -:040750000000400065 -:040754000000400061 -:04075800000040005D -:04075C000000400059 -:040760000000400055 -:040764000000400051 -:04076800000040004D -:04076C000000400049 -:040770000000400045 -:040774000000400041 -:04077800000040003D -:04077C000000400039 -:040780000000400035 -:040784000000400031 -:04078800000040002D -:04078C000000400029 -:040790000000400025 -:040794000000400021 -:04079800000040001D -:04079C000000400019 -:0407A0000000400015 -:0407A4000000400011 -:0407A800000040000D -:0407AC000000400009 -:0407B0000000400005 -:0407B4000000400001 -:0407B80000004000FD -:0407BC0000004000F9 -:0407C00000004000F5 -:0407C40000004000F1 -:0407C80000004000ED -:0407CC0000004000E9 -:0407D00000004000E5 -:0407D40000004000E1 -:0407D80000004000DD -:0407DC0000004000D9 -:0407E00000004000D5 -:0407E40000004000D1 -:0407E80000004000CD -:0407EC0000004000C9 -:0407F00000004000C5 -:0407F40000004000C1 -:0407F80000004000BD -:0407FC0000004000B9 -:0408000000004000B4 -:0408040000004000B0 -:0408080000004000AC -:04080C0000004000A8 -:0408100000004000A4 -:0408140000004000A0 -:04081800000040009C -:04081C000000400098 -:040820000000400094 -:040824000000400090 -:04082800000040008C -:04082C000000400088 -:040830000000400084 -:040834000000400080 -:04083800000040007C -:04083C000000400078 -:040840000000400074 -:040844000000400070 -:04084800000040006C -:04084C000000400068 -:040850000000400064 -:040854000000400060 -:04085800000040005C -:04085C000000400058 -:040860000000400054 -:040864000000400050 -:04086800000040004C -:04086C000000400048 -:040870000000400044 -:040874000000400040 -:04087800000040003C -:04087C000000400038 -:040880000000400034 -:040884000000400030 -:04088800000040002C -:04088C000000400028 -:040890000000400024 -:040894000000400020 -:04089800000040001C -:04089C000000400018 -:0408A0000000400014 -:0408A4000000400010 -:0408A800000040000C -:0408AC000000400008 -:0408B0000000400004 -:0408B4000000400000 -:0408B80000004000FC -:0408BC0000004000F8 -:0408C00000004000F4 -:0408C40000004000F0 -:0408C80000004000EC -:0408CC0000004000E8 -:0408D00000004000E4 -:0408D40000004000E0 -:0408D80000004000DC -:0408DC0000004000D8 -:0408E00000004000D4 -:0408E40000004000D0 -:0408E80000004000CC -:0408EC0000004000C8 -:0408F00000004000C4 -:0408F40000004000C0 -:0408F80000004000BC -:0408FC0000004000B8 -:0409000000004000B3 -:0409040000004000AF -:0409080000004000AB -:04090C0000004000A7 -:0409100000004000A3 -:04091400000040009F -:04091800000040009B -:04091C000000400097 -:040920000000400093 -:04092400000040008F -:04092800000040008B -:04092C000000400087 -:040930000000400083 -:04093400000040007F -:04093800000040007B -:04093C000000400077 -:040940000000400073 -:04094400000040006F -:04094800000040006B -:04094C000000400067 -:040950000000400063 -:04095400000040005F -:04095800000040005B -:04095C000000400057 -:040960000000400053 -:04096400000040004F -:04096800000040004B -:04096C000000400047 -:040970000000400043 -:04097400000040003F -:04097800000040003B -:04097C000000400037 -:040980000000400033 -:04098400000040002F -:04098800000040002B -:04098C000000400027 -:040990000000400023 -:04099400000040001F -:04099800000040001B -:04099C000000400017 -:0409A0000000400013 -:0409A400000040000F -:0409A800000040000B -:0409AC000000400007 -:0409B0000000400003 -:0409B40000004000FF -:0409B80000004000FB -:0409BC0000004000F7 -:0409C00000004000F3 -:0409C40000004000EF -:0409C80000004000EB -:0409CC0000004000E7 -:0409D00000004000E3 -:0409D40000004000DF -:0409D80000004000DB -:0409DC0000004000D7 -:0409E00000004000D3 -:0409E40000004000CF -:0409E80000004000CB -:0409EC0000004000C7 -:0409F00000004000C3 -:0409F40000004000BF -:0409F80000004000BB -:0409FC0000004000B7 -:040A000000004000B2 -:040A040000004000AE -:040A080000004000AA -:040A0C0000004000A6 -:040A100000004000A2 -:040A1400000040009E -:040A1800000040009A -:040A1C000000400096 -:040A20000000400092 -:040A2400000040008E -:040A2800000040008A -:040A2C000000400086 -:040A30000000400082 -:040A3400000040007E -:040A3800000040007A -:040A3C000000400076 -:040A40000000400072 -:040A4400000040006E -:040A4800000040006A -:040A4C000000400066 -:040A50000000400062 -:040A5400000040005E -:040A5800000040005A -:040A5C000000400056 -:040A60000000400052 -:040A6400000040004E -:040A6800000040004A -:040A6C000000400046 -:040A70000000400042 -:040A7400000040003E -:040A7800000040003A -:040A7C000000400036 -:040A80000000400032 -:040A8400000040002E -:040A8800000040002A -:040A8C000000400026 -:040A90000000400022 -:040A9400000040001E -:040A9800000040001A -:040A9C000000400016 -:040AA0000000400012 -:040AA400000040000E -:040AA800000040000A -:040AAC000000400006 -:040AB0000000400002 -:040AB40000004000FE -:040AB80000004000FA -:040ABC0000004000F6 -:040AC00000004000F2 -:040AC40000004000EE -:040AC80000004000EA -:040ACC0000004000E6 -:040AD00000004000E2 -:040AD40000004000DE -:040AD80000004000DA -:040ADC0000004000D6 -:040AE00000004000D2 -:040AE40000004000CE -:040AE80000004000CA -:040AEC0000004000C6 -:040AF00000004000C2 -:040AF40000004000BE -:040AF80000004000BA -:040AFC0000004000B6 -:040B000000004000B1 -:040B040000004000AD -:040B080000004000A9 -:040B0C0000004000A5 -:040B100000004000A1 -:040B1400000040009D -:040B18000000400099 -:040B1C000000400095 -:040B20000000400091 -:040B2400000040008D -:040B28000000400089 -:040B2C000000400085 -:040B30000000400081 -:040B3400000040007D -:040B38000000400079 -:040B3C000000400075 -:040B40000000400071 -:040B4400000040006D -:040B48000000400069 -:040B4C000000400065 -:040B50000000400061 -:040B5400000040005D -:040B58000000400059 -:040B5C000000400055 -:040B60000000400051 -:040B6400000040004D -:040B68000000400049 -:040B6C000000400045 -:040B70000000400041 -:040B7400000040003D -:040B78000000400039 -:040B7C000000400035 -:040B80000000400031 -:040B8400000040002D -:040B88000000400029 -:040B8C000000400025 -:040B90000000400021 -:040B9400000040001D -:040B98000000400019 -:040B9C000000400015 -:040BA0000000400011 -:040BA400000040000D -:040BA8000000400009 -:040BAC000000400005 -:040BB0000000400001 -:040BB40000004000FD -:040BB80000004000F9 -:040BBC0000004000F5 -:040BC00000004000F1 -:040BC40000004000ED -:040BC80000004000E9 -:040BCC0000004000E5 -:040BD00000004000E1 -:040BD40000004000DD -:040BD80000004000D9 -:040BDC0000004000D5 -:040BE00000004000D1 -:040BE40000004000CD -:040BE80000004000C9 -:040BEC0000004000C5 -:040BF00000004000C1 -:040BF40000004000BD -:040BF80000004000B9 -:040BFC0000004000B5 -:040C000000004000B0 -:040C040000004000AC -:040C080000004000A8 -:040C0C0000004000A4 -:040C100000004000A0 -:040C1400000040009C -:040C18000000400098 -:040C1C000000400094 -:040C20000000400090 -:040C2400000040008C -:040C28000000400088 -:040C2C000000400084 -:040C30000000400080 -:040C3400000040007C -:040C38000000400078 -:040C3C000000400074 -:040C40000000400070 -:040C4400000040006C -:040C48000000400068 -:040C4C000000400064 -:040C50000000400060 -:040C5400000040005C -:040C58000000400058 -:040C5C000000400054 -:040C60000000400050 -:040C6400000040004C -:040C68000000400048 -:040C6C000000400044 -:040C70000000400040 -:040C7400000040003C -:040C78000000400038 -:040C7C000000400034 -:040C80000000400030 -:040C8400000040002C -:040C88000000400028 -:040C8C000000400024 -:040C90000000400020 -:040C9400000040001C -:040C98000000400018 -:040C9C000000400014 -:040CA0000000400010 -:040CA400000040000C -:040CA8000000400008 -:040CAC000000400004 -:040CB0000000400000 -:040CB40000004000FC -:040CB80000004000F8 -:040CBC0000004000F4 -:040CC00000004000F0 -:040CC40000004000EC -:040CC80000004000E8 -:040CCC0000004000E4 -:040CD00000004000E0 -:040CD40000004000DC -:040CD80000004000D8 -:040CDC0000004000D4 -:040CE00000004000D0 -:040CE40000004000CC -:040CE80000004000C8 -:040CEC0000004000C4 -:040CF00000004000C0 -:040CF40000004000BC -:040CF80000004000B8 -:040CFC0000004000B4 -:040D000000004000AF -:040D040000004000AB -:040D080000004000A7 -:040D0C0000004000A3 -:040D1000000040009F -:040D1400000040009B -:040D18000000400097 -:040D1C000000400093 -:040D2000000040008F -:040D2400000040008B -:040D28000000400087 -:040D2C000000400083 -:040D3000000040007F -:040D3400000040007B -:040D38000000400077 -:040D3C000000400073 -:040D4000000040006F -:040D4400000040006B -:040D48000000400067 -:040D4C000000400063 -:040D5000000040005F -:040D5400000040005B -:040D58000000400057 -:040D5C000000400053 -:040D6000000040004F -:040D6400000040004B -:040D68000000400047 -:040D6C000000400043 -:040D7000000040003F -:040D7400000040003B -:040D78000000400037 -:040D7C000000400033 -:040D8000000040002F -:040D8400000040002B -:040D88000000400027 -:040D8C000000400023 -:040D9000000040001F -:040D9400000040001B -:040D98000000400017 -:040D9C000000400013 -:040DA000000040000F -:040DA400000040000B -:040DA8000000400007 -:040DAC000000400003 -:040DB00000004000FF -:040DB40000004000FB -:040DB80000004000F7 -:040DBC0000004000F3 -:040DC00000004000EF -:040DC40000004000EB -:040DC80000004000E7 -:040DCC0000004000E3 -:040DD00000004000DF -:040DD40000004000DB -:040DD80000004000D7 -:040DDC0000004000D3 -:040DE00000004000CF -:040DE40000004000CB -:040DE80000004000C7 -:040DEC0000004000C3 -:040DF00000004000BF -:040DF40000004000BB -:040DF80000004000B7 -:040DFC0000004000B3 -:040E000000004000AE -:040E040000004000AA -:040E080000004000A6 -:040E0C0000004000A2 -:040E1000000040009E -:040E1400000040009A -:040E18000000400096 -:040E1C000000400092 -:040E2000000040008E -:040E2400000040008A -:040E28000000400086 -:040E2C000000400082 -:040E3000000040007E -:040E3400000040007A -:040E38000000400076 -:040E3C000000400072 -:040E4000000040006E -:040E4400000040006A -:040E48000000400066 -:040E4C000000400062 -:040E5000000040005E -:040E5400000040005A -:040E58000000400056 -:040E5C000000400052 -:040E6000000040004E -:040E6400000040004A -:040E68000000400046 -:040E6C000000400042 -:040E7000000040003E -:040E7400000040003A -:040E78000000400036 -:040E7C000000400032 -:040E8000000040002E -:040E8400000040002A -:040E88000000400026 -:040E8C000000400022 -:040E9000000040001E -:040E9400000040001A -:040E98000000400016 -:040E9C000000400012 -:040EA000000040000E -:040EA400000040000A -:040EA8000000400006 -:040EAC000000400002 -:040EB00000004000FE -:040EB40000004000FA -:040EB80000004000F6 -:040EBC0000004000F2 -:040EC00000004000EE -:040EC40000004000EA -:040EC80000004000E6 -:040ECC0000004000E2 -:040ED00000004000DE -:040ED40000004000DA -:040ED80000004000D6 -:040EDC0000004000D2 -:040EE00000004000CE -:040EE40000004000CA -:040EE80000004000C6 -:040EEC0000004000C2 -:040EF00000004000BE -:040EF40000004000BA -:040EF80000004000B6 -:040EFC0000004000B2 -:040F000000004000AD -:040F040000004000A9 -:040F080000004000A5 -:040F0C0000004000A1 -:040F1000000040009D -:040F14000000400099 -:040F18000000400095 -:040F1C000000400091 -:040F2000000040008D -:040F24000000400089 -:040F28000000400085 -:040F2C000000400081 -:040F3000000040007D -:040F34000000400079 -:040F38000000400075 -:040F3C000000400071 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_10.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_10.hex deleted file mode 100644 index 8d9baf110abf454d594f9aae6ac37e0d087ea75f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_10.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400040000004000B8 -:0400080000004000B4 -:04000C0000004000B0 -:0400100000004000AC -:0400140000004000A8 -:0400180000004000A4 -:04001C0000004000A0 -:04002000000040009C -:040024000000400098 -:040028000000400094 -:04002C000000400090 -:04003000000040008C -:040034000000400088 -:040038000000400084 -:04003C000000400080 -:04004000000040007C -:040044000000400078 -:040048000000400074 -:04004C000000400070 -:04005000000040006C -:040054000000400068 -:040058000000400064 -:04005C000000400060 -:04006000000040005C -:040064000000400058 -:040068000000400054 -:04006C000000400050 -:04007000000040004C -:040074000000400048 -:040078000000400044 -:04007C000000400040 -:04008000000040003C -:040084000000400038 -:040088000000400034 -:04008C000000400030 -:04009000000040002C -:040094000000400028 -:040098000000400024 -:04009C000000400020 -:0400A000000040001C -:0400A4000000400018 -:0400A8000000400014 -:0400AC000000400010 -:0400B000000040000C -:0400B4000000400008 -:0400B8000000400004 -:0400BC000000400000 -:0400C00000004000FC -:0400C40000004000F8 -:0400C80000004000F4 -:0400CC0000004000F0 -:0400D00000004000EC -:0400D40000004000E8 -:0400D80000004000E4 -:0400DC0000004000E0 -:0400E00000004000DC -:0400E40000004000D8 -:0400E80000004000D4 -:0400EC0000004000D0 -:0400F00000004000CC -:0400F40000004000C8 -:0400F80000004000C4 -:0400FC0000004000C0 -:0401000000004000BB -:0401040000004000B7 -:0401080000004000B3 -:04010C0000004000AF -:0401100000004000AB -:0401140000004000A7 -:0401180000004000A3 -:04011C00000040009F -:04012000000040009B -:040124000000400097 -:040128000000400093 -:04012C00000040008F -:04013000000040008B -:040134000000400087 -:040138000000400083 -:04013C00000040007F -:04014000000040007B -:040144000000400077 -:040148000000400073 -:04014C00000040006F -:04015000000040006B -:040154000000400067 -:040158000000400063 -:04015C00000040005F -:04016000000040005B -:040164000000400057 -:040168000000400053 -:04016C00000040004F -:04017000000040004B -:040174000000400047 -:040178000000400043 -:04017C00000040003F -:04018000000040003B -:040184000000400037 -:040188000000400033 -:04018C00000040002F -:04019000000040002B -:040194000000400027 -:040198000000400023 -:04019C00000040001F -:0401A000000040001B -:0401A4000000400017 -:0401A8000000400013 -:0401AC00000040000F -:0401B000000040000B -:0401B4000000400007 -:0401B8000000400003 -:0401BC0000004000FF -:0401C00000004000FB -:0401C40000004000F7 -:0401C80000004000F3 -:0401CC0000004000EF -:0401D00000004000EB -:0401D40000004000E7 -:0401D80000004000E3 -:0401DC0000004000DF -:0401E00000004000DB -:0401E40000004000D7 -:0401E80000004000D3 -:0401EC0000004000CF -:0401F00000004000CB -:0401F40000004000C7 -:0401F80000004000C3 -:0401FC0000004000BF -:0402000000004000BA -:0402040000004000B6 -:0402080000004000B2 -:04020C0000004000AE -:0402100000004000AA -:0402140000004000A6 -:0402180000004000A2 -:04021C00000040009E -:04022000000040009A -:040224000000400096 -:040228000000400092 -:04022C00000040008E -:04023000000040008A -:040234000000400086 -:040238000000400082 -:04023C00000040007E -:04024000000040007A -:040244000000400076 -:040248000000400072 -:04024C00000040006E -:04025000000040006A -:040254000000400066 -:040258000000400062 -:04025C00000040005E -:04026000000040005A -:040264000000400056 -:040268000000400052 -:04026C00000040004E -:04027000000040004A -:040274000000400046 -:040278000000400042 -:04027C00000040003E -:04028000000040003A -:040284000000400036 -:040288000000400032 -:04028C00000040002E -:04029000000040002A -:040294000000400026 -:040298000000400022 -:04029C00000040001E -:0402A000000040001A -:0402A4000000400016 -:0402A8000000400012 -:0402AC00000040000E -:0402B000000040000A -:0402B4000000400006 -:0402B8000000400002 -:0402BC0000004000FE -:0402C00000004000FA -:0402C40000004000F6 -:0402C80000004000F2 -:0402CC0000004000EE -:0402D00000004000EA -:0402D40000004000E6 -:0402D80000004000E2 -:0402DC0000004000DE -:0402E00000004000DA -:0402E40000004000D6 -:0402E80000004000D2 -:0402EC0000004000CE -:0402F00000004000CA -:0402F40000004000C6 -:0402F80000004000C2 -:0402FC0000004000BE -:0403000000004000B9 -:0403040000004000B5 -:0403080000004000B1 -:04030C0000004000AD -:0403100000004000A9 -:0403140000004000A5 -:0403180000004000A1 -:04031C00000040009D -:040320000000400099 -:040324000000400095 -:040328000000400091 -:04032C00000040008D -:040330000000400089 -:040334000000400085 -:040338000000400081 -:04033C00000040007D -:040340000000400079 -:040344000000400075 -:040348000000400071 -:04034C00000040006D -:040350000000400069 -:040354000000400065 -:040358000000400061 -:04035C00000040005D -:040360000000400059 -:040364000000400055 -:040368000000400051 -:04036C00000040004D -:040370000000400049 -:040374000000400045 -:040378000000400041 -:04037C00000040003D -:040380000000400039 -:040384000000400035 -:040388000000400031 -:04038C00000040002D -:040390000000400029 -:040394000000400025 -:040398000000400021 -:04039C00000040001D -:0403A0000000400019 -:0403A4000000400015 -:0403A8000000400011 -:0403AC00000040000D -:0403B0000000400009 -:0403B4000000400005 -:0403B8000000400001 -:0403BC0000004000FD -:0403C00000004000F9 -:0403C40000004000F5 -:0403C80000004000F1 -:0403CC0000004000ED -:0403D00000004000E9 -:0403D40000004000E5 -:0403D80000004000E1 -:0403DC0000004000DD -:0403E00000004000D9 -:0403E40000004000D5 -:0403E80000004000D1 -:0403EC0000004000CD -:0403F00000004000C9 -:0403F40000004000C5 -:0403F80000004000C1 -:0403FC0000004000BD -:0404000000004000B8 -:0404040000004000B4 -:0404080000004000B0 -:04040C0000004000AC -:0404100000004000A8 -:0404140000004000A4 -:0404180000004000A0 -:04041C00000040009C -:040420000000400098 -:040424000000400094 -:040428000000400090 -:04042C00000040008C -:040430000000400088 -:040434000000400084 -:040438000000400080 -:04043C00000040007C -:040440000000400078 -:040444000000400074 -:040448000000400070 -:04044C00000040006C -:040450000000400068 -:040454000000400064 -:040458000000400060 -:04045C00000040005C -:040460000000400058 -:040464000000400054 -:040468000000400050 -:04046C00000040004C -:040470000000400048 -:040474000000400044 -:040478000000400040 -:04047C00000040003C -:040480000000400038 -:040484000000400034 -:040488000000400030 -:04048C00000040002C -:040490000000400028 -:040494000000400024 -:040498000000400020 -:04049C00000040001C -:0404A0000000400018 -:0404A4000000400014 -:0404A8000000400010 -:0404AC00000040000C -:0404B0000000400008 -:0404B4000000400004 -:0404B8000000400000 -:0404BC0000004000FC -:0404C00000004000F8 -:0404C40000004000F4 -:0404C80000004000F0 -:0404CC0000004000EC -:0404D00000004000E8 -:0404D40000004000E4 -:0404D80000004000E0 -:0404DC0000004000DC -:0404E00000004000D8 -:0404E40000004000D4 -:0404E80000004000D0 -:0404EC0000004000CC -:0404F00000004000C8 -:0404F40000004000C4 -:0404F80000004000C0 -:0404FC0000004000BC -:0405000000004000B7 -:0405040000004000B3 -:0405080000004000AF -:04050C0000004000AB -:0405100000004000A7 -:0405140000004000A3 -:04051800000040009F -:04051C00000040009B -:040520000000400097 -:040524000000400093 -:04052800000040008F -:04052C00000040008B -:040530000000400087 -:040534000000400083 -:04053800000040007F -:04053C00000040007B -:040540000000400077 -:040544000000400073 -:04054800000040006F -:04054C00000040006B -:040550000000400067 -:040554000000400063 -:04055800000040005F -:04055C00000040005B -:040560000000400057 -:040564000000400053 -:04056800000040004F -:04056C00000040004B -:040570000000400047 -:040574000000400043 -:04057800000040003F -:04057C00000040003B -:040580000000400037 -:040584000000400033 -:04058800000040002F -:04058C00000040002B -:040590000000400027 -:040594000000400023 -:04059800000040001F -:04059C00000040001B -:0405A0000000400017 -:0405A4000000400013 -:0405A800000040000F -:0405AC00000040000B -:0405B0000000400007 -:0405B4000000400003 -:0405B80000004000FF -:0405BC0000004000FB -:0405C00000004000F7 -:0405C40000004000F3 -:0405C80000004000EF -:0405CC0000004000EB -:0405D00000004000E7 -:0405D40000004000E3 -:0405D80000004000DF -:0405DC0000004000DB -:0405E00000004000D7 -:0405E40000004000D3 -:0405E80000004000CF -:0405EC0000004000CB -:0405F00000004000C7 -:0405F40000004000C3 -:0405F80000004000BF -:0405FC0000004000BB -:0406000000004000B6 -:0406040000004000B2 -:0406080000004000AE -:04060C0000004000AA -:0406100000004000A6 -:0406140000004000A2 -:04061800000040009E -:04061C00000040009A -:040620000000400096 -:040624000000400092 -:04062800000040008E -:04062C00000040008A -:040630000000400086 -:040634000000400082 -:04063800000040007E -:04063C00000040007A -:040640000000400076 -:040644000000400072 -:04064800000040006E -:04064C00000040006A -:040650000000400066 -:040654000000400062 -:04065800000040005E -:04065C00000040005A -:040660000000400056 -:040664000000400052 -:04066800000040004E -:04066C00000040004A -:040670000000400046 -:040674000000400042 -:04067800000040003E -:04067C00000040003A -:040680000000400036 -:040684000000400032 -:04068800000040002E -:04068C00000040002A -:040690000000400026 -:040694000000400022 -:04069800000040001E -:04069C00000040001A -:0406A0000000400016 -:0406A4000000400012 -:0406A800000040000E -:0406AC00000040000A -:0406B0000000400006 -:0406B4000000400002 -:0406B80000004000FE -:0406BC0000004000FA -:0406C00000004000F6 -:0406C40000004000F2 -:0406C80000004000EE -:0406CC0000004000EA -:0406D00000004000E6 -:0406D40000004000E2 -:0406D80000004000DE -:0406DC0000004000DA -:0406E00000004000D6 -:0406E40000004000D2 -:0406E80000004000CE -:0406EC0000004000CA -:0406F00000004000C6 -:0406F40000004000C2 -:0406F80000004000BE -:0406FC0000004000BA -:0407000000004000B5 -:0407040000004000B1 -:0407080000004000AD -:04070C0000004000A9 -:0407100000004000A5 -:0407140000004000A1 -:04071800000040009D -:04071C000000400099 -:040720000000400095 -:040724000000400091 -:04072800000040008D -:04072C000000400089 -:040730000000400085 -:040734000000400081 -:04073800000040007D -:04073C000000400079 -:040740000000400075 -:040744000000400071 -:04074800000040006D -:04074C000000400069 -:040750000000400065 -:040754000000400061 -:04075800000040005D -:04075C000000400059 -:040760000000400055 -:040764000000400051 -:04076800000040004D -:04076C000000400049 -:040770000000400045 -:040774000000400041 -:04077800000040003D -:04077C000000400039 -:040780000000400035 -:040784000000400031 -:04078800000040002D -:04078C000000400029 -:040790000000400025 -:040794000000400021 -:04079800000040001D -:04079C000000400019 -:0407A0000000400015 -:0407A4000000400011 -:0407A800000040000D -:0407AC000000400009 -:0407B0000000400005 -:0407B4000000400001 -:0407B80000004000FD -:0407BC0000004000F9 -:0407C00000004000F5 -:0407C40000004000F1 -:0407C80000004000ED -:0407CC0000004000E9 -:0407D00000004000E5 -:0407D40000004000E1 -:0407D80000004000DD -:0407DC0000004000D9 -:0407E00000004000D5 -:0407E40000004000D1 -:0407E80000004000CD -:0407EC0000004000C9 -:0407F00000004000C5 -:0407F40000004000C1 -:0407F80000004000BD -:0407FC0000004000B9 -:0408000000004000B4 -:0408040000004000B0 -:0408080000004000AC -:04080C0000004000A8 -:0408100000004000A4 -:0408140000004000A0 -:04081800000040009C -:04081C000000400098 -:040820000000400094 -:040824000000400090 -:04082800000040008C -:04082C000000400088 -:040830000000400084 -:040834000000400080 -:04083800000040007C -:04083C000000400078 -:040840000000400074 -:040844000000400070 -:04084800000040006C -:04084C000000400068 -:040850000000400064 -:040854000000400060 -:04085800000040005C -:04085C000000400058 -:040860000000400054 -:040864000000400050 -:04086800000040004C -:04086C000000400048 -:040870000000400044 -:040874000000400040 -:04087800000040003C -:04087C000000400038 -:040880000000400034 -:040884000000400030 -:04088800000040002C -:04088C000000400028 -:040890000000400024 -:040894000000400020 -:04089800000040001C -:04089C000000400018 -:0408A0000000400014 -:0408A4000000400010 -:0408A800000040000C -:0408AC000000400008 -:0408B0000000400004 -:0408B4000000400000 -:0408B80000004000FC -:0408BC0000004000F8 -:0408C00000004000F4 -:0408C40000004000F0 -:0408C80000004000EC -:0408CC0000004000E8 -:0408D00000004000E4 -:0408D40000004000E0 -:0408D80000004000DC -:0408DC0000004000D8 -:0408E00000004000D4 -:0408E40000004000D0 -:0408E80000004000CC -:0408EC0000004000C8 -:0408F00000004000C4 -:0408F40000004000C0 -:0408F80000004000BC -:0408FC0000004000B8 -:0409000000004000B3 -:0409040000004000AF -:0409080000004000AB -:04090C0000004000A7 -:0409100000004000A3 -:04091400000040009F -:04091800000040009B -:04091C000000400097 -:040920000000400093 -:04092400000040008F -:04092800000040008B -:04092C000000400087 -:040930000000400083 -:04093400000040007F -:04093800000040007B -:04093C000000400077 -:040940000000400073 -:04094400000040006F -:04094800000040006B -:04094C000000400067 -:040950000000400063 -:04095400000040005F -:04095800000040005B -:04095C000000400057 -:040960000000400053 -:04096400000040004F -:04096800000040004B -:04096C000000400047 -:040970000000400043 -:04097400000040003F -:04097800000040003B -:04097C000000400037 -:040980000000400033 -:04098400000040002F -:04098800000040002B -:04098C000000400027 -:040990000000400023 -:04099400000040001F -:04099800000040001B -:04099C000000400017 -:0409A0000000400013 -:0409A400000040000F -:0409A800000040000B -:0409AC000000400007 -:0409B0000000400003 -:0409B40000004000FF -:0409B80000004000FB -:0409BC0000004000F7 -:0409C00000004000F3 -:0409C40000004000EF -:0409C80000004000EB -:0409CC0000004000E7 -:0409D00000004000E3 -:0409D40000004000DF -:0409D80000004000DB -:0409DC0000004000D7 -:0409E00000004000D3 -:0409E40000004000CF -:0409E80000004000CB -:0409EC0000004000C7 -:0409F00000004000C3 -:0409F40000004000BF -:0409F80000004000BB -:0409FC0000004000B7 -:040A000000004000B2 -:040A040000004000AE -:040A080000004000AA -:040A0C0000004000A6 -:040A100000004000A2 -:040A1400000040009E -:040A1800000040009A -:040A1C000000400096 -:040A20000000400092 -:040A2400000040008E -:040A2800000040008A -:040A2C000000400086 -:040A30000000400082 -:040A3400000040007E -:040A3800000040007A -:040A3C000000400076 -:040A40000000400072 -:040A4400000040006E -:040A4800000040006A -:040A4C000000400066 -:040A50000000400062 -:040A5400000040005E -:040A5800000040005A -:040A5C000000400056 -:040A60000000400052 -:040A6400000040004E -:040A6800000040004A -:040A6C000000400046 -:040A70000000400042 -:040A7400000040003E -:040A7800000040003A -:040A7C000000400036 -:040A80000000400032 -:040A8400000040002E -:040A8800000040002A -:040A8C000000400026 -:040A90000000400022 -:040A9400000040001E -:040A9800000040001A -:040A9C000000400016 -:040AA0000000400012 -:040AA400000040000E -:040AA800000040000A -:040AAC000000400006 -:040AB0000000400002 -:040AB40000004000FE -:040AB80000004000FA -:040ABC0000004000F6 -:040AC00000004000F2 -:040AC40000004000EE -:040AC80000004000EA -:040ACC0000004000E6 -:040AD00000004000E2 -:040AD40000004000DE -:040AD80000004000DA -:040ADC0000004000D6 -:040AE00000004000D2 -:040AE40000004000CE -:040AE80000004000CA -:040AEC0000004000C6 -:040AF00000004000C2 -:040AF40000004000BE -:040AF80000004000BA -:040AFC0000004000B6 -:040B000000004000B1 -:040B040000004000AD -:040B080000004000A9 -:040B0C0000004000A5 -:040B100000004000A1 -:040B1400000040009D -:040B18000000400099 -:040B1C000000400095 -:040B20000000400091 -:040B2400000040008D -:040B28000000400089 -:040B2C000000400085 -:040B30000000400081 -:040B3400000040007D -:040B38000000400079 -:040B3C000000400075 -:040B40000000400071 -:040B4400000040006D -:040B48000000400069 -:040B4C000000400065 -:040B50000000400061 -:040B5400000040005D -:040B58000000400059 -:040B5C000000400055 -:040B60000000400051 -:040B6400000040004D -:040B68000000400049 -:040B6C000000400045 -:040B70000000400041 -:040B7400000040003D -:040B78000000400039 -:040B7C000000400035 -:040B80000000400031 -:040B8400000040002D -:040B88000000400029 -:040B8C000000400025 -:040B90000000400021 -:040B9400000040001D -:040B98000000400019 -:040B9C000000400015 -:040BA0000000400011 -:040BA400000040000D -:040BA8000000400009 -:040BAC000000400005 -:040BB0000000400001 -:040BB40000004000FD -:040BB80000004000F9 -:040BBC0000004000F5 -:040BC00000004000F1 -:040BC40000004000ED -:040BC80000004000E9 -:040BCC0000004000E5 -:040BD00000004000E1 -:040BD40000004000DD -:040BD80000004000D9 -:040BDC0000004000D5 -:040BE00000004000D1 -:040BE40000004000CD -:040BE80000004000C9 -:040BEC0000004000C5 -:040BF00000004000C1 -:040BF40000004000BD -:040BF80000004000B9 -:040BFC0000004000B5 -:040C000000004000B0 -:040C040000004000AC -:040C080000004000A8 -:040C0C0000004000A4 -:040C100000004000A0 -:040C1400000040009C -:040C18000000400098 -:040C1C000000400094 -:040C20000000400090 -:040C2400000040008C -:040C28000000400088 -:040C2C000000400084 -:040C30000000400080 -:040C3400000040007C -:040C38000000400078 -:040C3C000000400074 -:040C40000000400070 -:040C4400000040006C -:040C48000000400068 -:040C4C000000400064 -:040C50000000400060 -:040C5400000040005C -:040C58000000400058 -:040C5C000000400054 -:040C60000000400050 -:040C6400000040004C -:040C68000000400048 -:040C6C000000400044 -:040C70000000400040 -:040C7400000040003C -:040C78000000400038 -:040C7C000000400034 -:040C80000000400030 -:040C8400000040002C -:040C88000000400028 -:040C8C000000400024 -:040C90000000400020 -:040C9400000040001C -:040C98000000400018 -:040C9C000000400014 -:040CA0000000400010 -:040CA400000040000C -:040CA8000000400008 -:040CAC000000400004 -:040CB0000000400000 -:040CB40000004000FC -:040CB80000004000F8 -:040CBC0000004000F4 -:040CC00000004000F0 -:040CC40000004000EC -:040CC80000004000E8 -:040CCC0000004000E4 -:040CD00000004000E0 -:040CD40000004000DC -:040CD80000004000D8 -:040CDC0000004000D4 -:040CE00000004000D0 -:040CE40000004000CC -:040CE80000004000C8 -:040CEC0000004000C4 -:040CF00000004000C0 -:040CF40000004000BC -:040CF80000004000B8 -:040CFC0000004000B4 -:040D000000004000AF -:040D040000004000AB -:040D080000004000A7 -:040D0C0000004000A3 -:040D1000000040009F -:040D1400000040009B -:040D18000000400097 -:040D1C000000400093 -:040D2000000040008F -:040D2400000040008B -:040D28000000400087 -:040D2C000000400083 -:040D3000000040007F -:040D3400000040007B -:040D38000000400077 -:040D3C000000400073 -:040D4000000040006F -:040D4400000040006B -:040D48000000400067 -:040D4C000000400063 -:040D5000000040005F -:040D5400000040005B -:040D58000000400057 -:040D5C000000400053 -:040D6000000040004F -:040D6400000040004B -:040D68000000400047 -:040D6C000000400043 -:040D7000000040003F -:040D7400000040003B -:040D78000000400037 -:040D7C000000400033 -:040D8000000040002F -:040D8400000040002B -:040D88000000400027 -:040D8C000000400023 -:040D9000000040001F -:040D9400000040001B -:040D98000000400017 -:040D9C000000400013 -:040DA000000040000F -:040DA400000040000B -:040DA8000000400007 -:040DAC000000400003 -:040DB00000004000FF -:040DB40000004000FB -:040DB80000004000F7 -:040DBC0000004000F3 -:040DC00000004000EF -:040DC40000004000EB -:040DC80000004000E7 -:040DCC0000004000E3 -:040DD00000004000DF -:040DD40000004000DB -:040DD80000004000D7 -:040DDC0000004000D3 -:040DE00000004000CF -:040DE40000004000CB -:040DE80000004000C7 -:040DEC0000004000C3 -:040DF00000004000BF -:040DF40000004000BB -:040DF80000004000B7 -:040DFC0000004000B3 -:040E000000004000AE -:040E040000004000AA -:040E080000004000A6 -:040E0C0000004000A2 -:040E1000000040009E -:040E1400000040009A -:040E18000000400096 -:040E1C000000400092 -:040E2000000040008E -:040E2400000040008A -:040E28000000400086 -:040E2C000000400082 -:040E3000000040007E -:040E3400000040007A -:040E38000000400076 -:040E3C000000400072 -:040E4000000040006E -:040E4400000040006A -:040E48000000400066 -:040E4C000000400062 -:040E5000000040005E -:040E5400000040005A -:040E58000000400056 -:040E5C000000400052 -:040E6000000040004E -:040E6400000040004A -:040E68000000400046 -:040E6C000000400042 -:040E7000000040003E -:040E7400000040003A -:040E78000000400036 -:040E7C000000400032 -:040E8000000040002E -:040E8400000040002A -:040E88000000400026 -:040E8C000000400022 -:040E9000000040001E -:040E9400000040001A -:040E98000000400016 -:040E9C000000400012 -:040EA000000040000E -:040EA400000040000A -:040EA8000000400006 -:040EAC000000400002 -:040EB00000004000FE -:040EB40000004000FA -:040EB80000004000F6 -:040EBC0000004000F2 -:040EC00000004000EE -:040EC40000004000EA -:040EC80000004000E6 -:040ECC0000004000E2 -:040ED00000004000DE -:040ED40000004000DA -:040ED80000004000D6 -:040EDC0000004000D2 -:040EE00000004000CE -:040EE40000004000CA -:040EE80000004000C6 -:040EEC0000004000C2 -:040EF00000004000BE -:040EF40000004000BA -:040EF80000004000B6 -:040EFC0000004000B2 -:040F000000004000AD -:040F040000004000A9 -:040F080000004000A5 -:040F0C0000004000A1 -:040F1000000040009D -:040F14000000400099 -:040F18000000400095 -:040F1C000000400091 -:040F2000000040008D -:040F24000000400089 -:040F28000000400085 -:040F2C000000400081 -:040F3000000040007D -:040F34000000400079 -:040F38000000400075 -:040F3C000000400071 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_11.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_11.hex deleted file mode 100644 index 8d9baf110abf454d594f9aae6ac37e0d087ea75f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_11.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400040000004000B8 -:0400080000004000B4 -:04000C0000004000B0 -:0400100000004000AC -:0400140000004000A8 -:0400180000004000A4 -:04001C0000004000A0 -:04002000000040009C -:040024000000400098 -:040028000000400094 -:04002C000000400090 -:04003000000040008C -:040034000000400088 -:040038000000400084 -:04003C000000400080 -:04004000000040007C -:040044000000400078 -:040048000000400074 -:04004C000000400070 -:04005000000040006C -:040054000000400068 -:040058000000400064 -:04005C000000400060 -:04006000000040005C -:040064000000400058 -:040068000000400054 -:04006C000000400050 -:04007000000040004C -:040074000000400048 -:040078000000400044 -:04007C000000400040 -:04008000000040003C -:040084000000400038 -:040088000000400034 -:04008C000000400030 -:04009000000040002C -:040094000000400028 -:040098000000400024 -:04009C000000400020 -:0400A000000040001C -:0400A4000000400018 -:0400A8000000400014 -:0400AC000000400010 -:0400B000000040000C -:0400B4000000400008 -:0400B8000000400004 -:0400BC000000400000 -:0400C00000004000FC -:0400C40000004000F8 -:0400C80000004000F4 -:0400CC0000004000F0 -:0400D00000004000EC -:0400D40000004000E8 -:0400D80000004000E4 -:0400DC0000004000E0 -:0400E00000004000DC -:0400E40000004000D8 -:0400E80000004000D4 -:0400EC0000004000D0 -:0400F00000004000CC -:0400F40000004000C8 -:0400F80000004000C4 -:0400FC0000004000C0 -:0401000000004000BB -:0401040000004000B7 -:0401080000004000B3 -:04010C0000004000AF -:0401100000004000AB -:0401140000004000A7 -:0401180000004000A3 -:04011C00000040009F -:04012000000040009B -:040124000000400097 -:040128000000400093 -:04012C00000040008F -:04013000000040008B -:040134000000400087 -:040138000000400083 -:04013C00000040007F -:04014000000040007B -:040144000000400077 -:040148000000400073 -:04014C00000040006F -:04015000000040006B -:040154000000400067 -:040158000000400063 -:04015C00000040005F -:04016000000040005B -:040164000000400057 -:040168000000400053 -:04016C00000040004F -:04017000000040004B -:040174000000400047 -:040178000000400043 -:04017C00000040003F -:04018000000040003B -:040184000000400037 -:040188000000400033 -:04018C00000040002F -:04019000000040002B -:040194000000400027 -:040198000000400023 -:04019C00000040001F -:0401A000000040001B -:0401A4000000400017 -:0401A8000000400013 -:0401AC00000040000F -:0401B000000040000B -:0401B4000000400007 -:0401B8000000400003 -:0401BC0000004000FF -:0401C00000004000FB -:0401C40000004000F7 -:0401C80000004000F3 -:0401CC0000004000EF -:0401D00000004000EB -:0401D40000004000E7 -:0401D80000004000E3 -:0401DC0000004000DF -:0401E00000004000DB -:0401E40000004000D7 -:0401E80000004000D3 -:0401EC0000004000CF -:0401F00000004000CB -:0401F40000004000C7 -:0401F80000004000C3 -:0401FC0000004000BF -:0402000000004000BA -:0402040000004000B6 -:0402080000004000B2 -:04020C0000004000AE -:0402100000004000AA -:0402140000004000A6 -:0402180000004000A2 -:04021C00000040009E -:04022000000040009A -:040224000000400096 -:040228000000400092 -:04022C00000040008E -:04023000000040008A -:040234000000400086 -:040238000000400082 -:04023C00000040007E -:04024000000040007A -:040244000000400076 -:040248000000400072 -:04024C00000040006E -:04025000000040006A -:040254000000400066 -:040258000000400062 -:04025C00000040005E -:04026000000040005A -:040264000000400056 -:040268000000400052 -:04026C00000040004E -:04027000000040004A -:040274000000400046 -:040278000000400042 -:04027C00000040003E -:04028000000040003A -:040284000000400036 -:040288000000400032 -:04028C00000040002E -:04029000000040002A -:040294000000400026 -:040298000000400022 -:04029C00000040001E -:0402A000000040001A -:0402A4000000400016 -:0402A8000000400012 -:0402AC00000040000E -:0402B000000040000A -:0402B4000000400006 -:0402B8000000400002 -:0402BC0000004000FE -:0402C00000004000FA -:0402C40000004000F6 -:0402C80000004000F2 -:0402CC0000004000EE -:0402D00000004000EA -:0402D40000004000E6 -:0402D80000004000E2 -:0402DC0000004000DE -:0402E00000004000DA -:0402E40000004000D6 -:0402E80000004000D2 -:0402EC0000004000CE -:0402F00000004000CA -:0402F40000004000C6 -:0402F80000004000C2 -:0402FC0000004000BE -:0403000000004000B9 -:0403040000004000B5 -:0403080000004000B1 -:04030C0000004000AD -:0403100000004000A9 -:0403140000004000A5 -:0403180000004000A1 -:04031C00000040009D -:040320000000400099 -:040324000000400095 -:040328000000400091 -:04032C00000040008D -:040330000000400089 -:040334000000400085 -:040338000000400081 -:04033C00000040007D -:040340000000400079 -:040344000000400075 -:040348000000400071 -:04034C00000040006D -:040350000000400069 -:040354000000400065 -:040358000000400061 -:04035C00000040005D -:040360000000400059 -:040364000000400055 -:040368000000400051 -:04036C00000040004D -:040370000000400049 -:040374000000400045 -:040378000000400041 -:04037C00000040003D -:040380000000400039 -:040384000000400035 -:040388000000400031 -:04038C00000040002D -:040390000000400029 -:040394000000400025 -:040398000000400021 -:04039C00000040001D -:0403A0000000400019 -:0403A4000000400015 -:0403A8000000400011 -:0403AC00000040000D -:0403B0000000400009 -:0403B4000000400005 -:0403B8000000400001 -:0403BC0000004000FD -:0403C00000004000F9 -:0403C40000004000F5 -:0403C80000004000F1 -:0403CC0000004000ED -:0403D00000004000E9 -:0403D40000004000E5 -:0403D80000004000E1 -:0403DC0000004000DD -:0403E00000004000D9 -:0403E40000004000D5 -:0403E80000004000D1 -:0403EC0000004000CD -:0403F00000004000C9 -:0403F40000004000C5 -:0403F80000004000C1 -:0403FC0000004000BD -:0404000000004000B8 -:0404040000004000B4 -:0404080000004000B0 -:04040C0000004000AC -:0404100000004000A8 -:0404140000004000A4 -:0404180000004000A0 -:04041C00000040009C -:040420000000400098 -:040424000000400094 -:040428000000400090 -:04042C00000040008C -:040430000000400088 -:040434000000400084 -:040438000000400080 -:04043C00000040007C -:040440000000400078 -:040444000000400074 -:040448000000400070 -:04044C00000040006C -:040450000000400068 -:040454000000400064 -:040458000000400060 -:04045C00000040005C -:040460000000400058 -:040464000000400054 -:040468000000400050 -:04046C00000040004C -:040470000000400048 -:040474000000400044 -:040478000000400040 -:04047C00000040003C -:040480000000400038 -:040484000000400034 -:040488000000400030 -:04048C00000040002C -:040490000000400028 -:040494000000400024 -:040498000000400020 -:04049C00000040001C -:0404A0000000400018 -:0404A4000000400014 -:0404A8000000400010 -:0404AC00000040000C -:0404B0000000400008 -:0404B4000000400004 -:0404B8000000400000 -:0404BC0000004000FC -:0404C00000004000F8 -:0404C40000004000F4 -:0404C80000004000F0 -:0404CC0000004000EC -:0404D00000004000E8 -:0404D40000004000E4 -:0404D80000004000E0 -:0404DC0000004000DC -:0404E00000004000D8 -:0404E40000004000D4 -:0404E80000004000D0 -:0404EC0000004000CC -:0404F00000004000C8 -:0404F40000004000C4 -:0404F80000004000C0 -:0404FC0000004000BC -:0405000000004000B7 -:0405040000004000B3 -:0405080000004000AF -:04050C0000004000AB -:0405100000004000A7 -:0405140000004000A3 -:04051800000040009F -:04051C00000040009B -:040520000000400097 -:040524000000400093 -:04052800000040008F -:04052C00000040008B -:040530000000400087 -:040534000000400083 -:04053800000040007F -:04053C00000040007B -:040540000000400077 -:040544000000400073 -:04054800000040006F -:04054C00000040006B -:040550000000400067 -:040554000000400063 -:04055800000040005F -:04055C00000040005B -:040560000000400057 -:040564000000400053 -:04056800000040004F -:04056C00000040004B -:040570000000400047 -:040574000000400043 -:04057800000040003F -:04057C00000040003B -:040580000000400037 -:040584000000400033 -:04058800000040002F -:04058C00000040002B -:040590000000400027 -:040594000000400023 -:04059800000040001F -:04059C00000040001B -:0405A0000000400017 -:0405A4000000400013 -:0405A800000040000F -:0405AC00000040000B -:0405B0000000400007 -:0405B4000000400003 -:0405B80000004000FF -:0405BC0000004000FB -:0405C00000004000F7 -:0405C40000004000F3 -:0405C80000004000EF -:0405CC0000004000EB -:0405D00000004000E7 -:0405D40000004000E3 -:0405D80000004000DF -:0405DC0000004000DB -:0405E00000004000D7 -:0405E40000004000D3 -:0405E80000004000CF -:0405EC0000004000CB -:0405F00000004000C7 -:0405F40000004000C3 -:0405F80000004000BF -:0405FC0000004000BB -:0406000000004000B6 -:0406040000004000B2 -:0406080000004000AE -:04060C0000004000AA -:0406100000004000A6 -:0406140000004000A2 -:04061800000040009E -:04061C00000040009A -:040620000000400096 -:040624000000400092 -:04062800000040008E -:04062C00000040008A -:040630000000400086 -:040634000000400082 -:04063800000040007E -:04063C00000040007A -:040640000000400076 -:040644000000400072 -:04064800000040006E -:04064C00000040006A -:040650000000400066 -:040654000000400062 -:04065800000040005E -:04065C00000040005A -:040660000000400056 -:040664000000400052 -:04066800000040004E -:04066C00000040004A -:040670000000400046 -:040674000000400042 -:04067800000040003E -:04067C00000040003A -:040680000000400036 -:040684000000400032 -:04068800000040002E -:04068C00000040002A -:040690000000400026 -:040694000000400022 -:04069800000040001E -:04069C00000040001A -:0406A0000000400016 -:0406A4000000400012 -:0406A800000040000E -:0406AC00000040000A -:0406B0000000400006 -:0406B4000000400002 -:0406B80000004000FE -:0406BC0000004000FA -:0406C00000004000F6 -:0406C40000004000F2 -:0406C80000004000EE -:0406CC0000004000EA -:0406D00000004000E6 -:0406D40000004000E2 -:0406D80000004000DE -:0406DC0000004000DA -:0406E00000004000D6 -:0406E40000004000D2 -:0406E80000004000CE -:0406EC0000004000CA -:0406F00000004000C6 -:0406F40000004000C2 -:0406F80000004000BE -:0406FC0000004000BA -:0407000000004000B5 -:0407040000004000B1 -:0407080000004000AD -:04070C0000004000A9 -:0407100000004000A5 -:0407140000004000A1 -:04071800000040009D -:04071C000000400099 -:040720000000400095 -:040724000000400091 -:04072800000040008D -:04072C000000400089 -:040730000000400085 -:040734000000400081 -:04073800000040007D -:04073C000000400079 -:040740000000400075 -:040744000000400071 -:04074800000040006D -:04074C000000400069 -:040750000000400065 -:040754000000400061 -:04075800000040005D -:04075C000000400059 -:040760000000400055 -:040764000000400051 -:04076800000040004D -:04076C000000400049 -:040770000000400045 -:040774000000400041 -:04077800000040003D -:04077C000000400039 -:040780000000400035 -:040784000000400031 -:04078800000040002D -:04078C000000400029 -:040790000000400025 -:040794000000400021 -:04079800000040001D -:04079C000000400019 -:0407A0000000400015 -:0407A4000000400011 -:0407A800000040000D -:0407AC000000400009 -:0407B0000000400005 -:0407B4000000400001 -:0407B80000004000FD -:0407BC0000004000F9 -:0407C00000004000F5 -:0407C40000004000F1 -:0407C80000004000ED -:0407CC0000004000E9 -:0407D00000004000E5 -:0407D40000004000E1 -:0407D80000004000DD -:0407DC0000004000D9 -:0407E00000004000D5 -:0407E40000004000D1 -:0407E80000004000CD -:0407EC0000004000C9 -:0407F00000004000C5 -:0407F40000004000C1 -:0407F80000004000BD -:0407FC0000004000B9 -:0408000000004000B4 -:0408040000004000B0 -:0408080000004000AC -:04080C0000004000A8 -:0408100000004000A4 -:0408140000004000A0 -:04081800000040009C -:04081C000000400098 -:040820000000400094 -:040824000000400090 -:04082800000040008C -:04082C000000400088 -:040830000000400084 -:040834000000400080 -:04083800000040007C -:04083C000000400078 -:040840000000400074 -:040844000000400070 -:04084800000040006C -:04084C000000400068 -:040850000000400064 -:040854000000400060 -:04085800000040005C -:04085C000000400058 -:040860000000400054 -:040864000000400050 -:04086800000040004C -:04086C000000400048 -:040870000000400044 -:040874000000400040 -:04087800000040003C -:04087C000000400038 -:040880000000400034 -:040884000000400030 -:04088800000040002C -:04088C000000400028 -:040890000000400024 -:040894000000400020 -:04089800000040001C -:04089C000000400018 -:0408A0000000400014 -:0408A4000000400010 -:0408A800000040000C -:0408AC000000400008 -:0408B0000000400004 -:0408B4000000400000 -:0408B80000004000FC -:0408BC0000004000F8 -:0408C00000004000F4 -:0408C40000004000F0 -:0408C80000004000EC -:0408CC0000004000E8 -:0408D00000004000E4 -:0408D40000004000E0 -:0408D80000004000DC -:0408DC0000004000D8 -:0408E00000004000D4 -:0408E40000004000D0 -:0408E80000004000CC -:0408EC0000004000C8 -:0408F00000004000C4 -:0408F40000004000C0 -:0408F80000004000BC -:0408FC0000004000B8 -:0409000000004000B3 -:0409040000004000AF -:0409080000004000AB -:04090C0000004000A7 -:0409100000004000A3 -:04091400000040009F -:04091800000040009B -:04091C000000400097 -:040920000000400093 -:04092400000040008F -:04092800000040008B -:04092C000000400087 -:040930000000400083 -:04093400000040007F -:04093800000040007B -:04093C000000400077 -:040940000000400073 -:04094400000040006F -:04094800000040006B -:04094C000000400067 -:040950000000400063 -:04095400000040005F -:04095800000040005B -:04095C000000400057 -:040960000000400053 -:04096400000040004F -:04096800000040004B -:04096C000000400047 -:040970000000400043 -:04097400000040003F -:04097800000040003B -:04097C000000400037 -:040980000000400033 -:04098400000040002F -:04098800000040002B -:04098C000000400027 -:040990000000400023 -:04099400000040001F -:04099800000040001B -:04099C000000400017 -:0409A0000000400013 -:0409A400000040000F -:0409A800000040000B -:0409AC000000400007 -:0409B0000000400003 -:0409B40000004000FF -:0409B80000004000FB -:0409BC0000004000F7 -:0409C00000004000F3 -:0409C40000004000EF -:0409C80000004000EB -:0409CC0000004000E7 -:0409D00000004000E3 -:0409D40000004000DF -:0409D80000004000DB -:0409DC0000004000D7 -:0409E00000004000D3 -:0409E40000004000CF -:0409E80000004000CB -:0409EC0000004000C7 -:0409F00000004000C3 -:0409F40000004000BF -:0409F80000004000BB -:0409FC0000004000B7 -:040A000000004000B2 -:040A040000004000AE -:040A080000004000AA -:040A0C0000004000A6 -:040A100000004000A2 -:040A1400000040009E -:040A1800000040009A -:040A1C000000400096 -:040A20000000400092 -:040A2400000040008E -:040A2800000040008A -:040A2C000000400086 -:040A30000000400082 -:040A3400000040007E -:040A3800000040007A -:040A3C000000400076 -:040A40000000400072 -:040A4400000040006E -:040A4800000040006A -:040A4C000000400066 -:040A50000000400062 -:040A5400000040005E -:040A5800000040005A -:040A5C000000400056 -:040A60000000400052 -:040A6400000040004E -:040A6800000040004A -:040A6C000000400046 -:040A70000000400042 -:040A7400000040003E -:040A7800000040003A -:040A7C000000400036 -:040A80000000400032 -:040A8400000040002E -:040A8800000040002A -:040A8C000000400026 -:040A90000000400022 -:040A9400000040001E -:040A9800000040001A -:040A9C000000400016 -:040AA0000000400012 -:040AA400000040000E -:040AA800000040000A -:040AAC000000400006 -:040AB0000000400002 -:040AB40000004000FE -:040AB80000004000FA -:040ABC0000004000F6 -:040AC00000004000F2 -:040AC40000004000EE -:040AC80000004000EA -:040ACC0000004000E6 -:040AD00000004000E2 -:040AD40000004000DE -:040AD80000004000DA -:040ADC0000004000D6 -:040AE00000004000D2 -:040AE40000004000CE -:040AE80000004000CA -:040AEC0000004000C6 -:040AF00000004000C2 -:040AF40000004000BE -:040AF80000004000BA -:040AFC0000004000B6 -:040B000000004000B1 -:040B040000004000AD -:040B080000004000A9 -:040B0C0000004000A5 -:040B100000004000A1 -:040B1400000040009D -:040B18000000400099 -:040B1C000000400095 -:040B20000000400091 -:040B2400000040008D -:040B28000000400089 -:040B2C000000400085 -:040B30000000400081 -:040B3400000040007D -:040B38000000400079 -:040B3C000000400075 -:040B40000000400071 -:040B4400000040006D -:040B48000000400069 -:040B4C000000400065 -:040B50000000400061 -:040B5400000040005D -:040B58000000400059 -:040B5C000000400055 -:040B60000000400051 -:040B6400000040004D -:040B68000000400049 -:040B6C000000400045 -:040B70000000400041 -:040B7400000040003D -:040B78000000400039 -:040B7C000000400035 -:040B80000000400031 -:040B8400000040002D -:040B88000000400029 -:040B8C000000400025 -:040B90000000400021 -:040B9400000040001D -:040B98000000400019 -:040B9C000000400015 -:040BA0000000400011 -:040BA400000040000D -:040BA8000000400009 -:040BAC000000400005 -:040BB0000000400001 -:040BB40000004000FD -:040BB80000004000F9 -:040BBC0000004000F5 -:040BC00000004000F1 -:040BC40000004000ED -:040BC80000004000E9 -:040BCC0000004000E5 -:040BD00000004000E1 -:040BD40000004000DD -:040BD80000004000D9 -:040BDC0000004000D5 -:040BE00000004000D1 -:040BE40000004000CD -:040BE80000004000C9 -:040BEC0000004000C5 -:040BF00000004000C1 -:040BF40000004000BD -:040BF80000004000B9 -:040BFC0000004000B5 -:040C000000004000B0 -:040C040000004000AC -:040C080000004000A8 -:040C0C0000004000A4 -:040C100000004000A0 -:040C1400000040009C -:040C18000000400098 -:040C1C000000400094 -:040C20000000400090 -:040C2400000040008C -:040C28000000400088 -:040C2C000000400084 -:040C30000000400080 -:040C3400000040007C -:040C38000000400078 -:040C3C000000400074 -:040C40000000400070 -:040C4400000040006C -:040C48000000400068 -:040C4C000000400064 -:040C50000000400060 -:040C5400000040005C -:040C58000000400058 -:040C5C000000400054 -:040C60000000400050 -:040C6400000040004C -:040C68000000400048 -:040C6C000000400044 -:040C70000000400040 -:040C7400000040003C -:040C78000000400038 -:040C7C000000400034 -:040C80000000400030 -:040C8400000040002C -:040C88000000400028 -:040C8C000000400024 -:040C90000000400020 -:040C9400000040001C -:040C98000000400018 -:040C9C000000400014 -:040CA0000000400010 -:040CA400000040000C -:040CA8000000400008 -:040CAC000000400004 -:040CB0000000400000 -:040CB40000004000FC -:040CB80000004000F8 -:040CBC0000004000F4 -:040CC00000004000F0 -:040CC40000004000EC -:040CC80000004000E8 -:040CCC0000004000E4 -:040CD00000004000E0 -:040CD40000004000DC -:040CD80000004000D8 -:040CDC0000004000D4 -:040CE00000004000D0 -:040CE40000004000CC -:040CE80000004000C8 -:040CEC0000004000C4 -:040CF00000004000C0 -:040CF40000004000BC -:040CF80000004000B8 -:040CFC0000004000B4 -:040D000000004000AF -:040D040000004000AB -:040D080000004000A7 -:040D0C0000004000A3 -:040D1000000040009F -:040D1400000040009B -:040D18000000400097 -:040D1C000000400093 -:040D2000000040008F -:040D2400000040008B -:040D28000000400087 -:040D2C000000400083 -:040D3000000040007F -:040D3400000040007B -:040D38000000400077 -:040D3C000000400073 -:040D4000000040006F -:040D4400000040006B -:040D48000000400067 -:040D4C000000400063 -:040D5000000040005F -:040D5400000040005B -:040D58000000400057 -:040D5C000000400053 -:040D6000000040004F -:040D6400000040004B -:040D68000000400047 -:040D6C000000400043 -:040D7000000040003F -:040D7400000040003B -:040D78000000400037 -:040D7C000000400033 -:040D8000000040002F -:040D8400000040002B -:040D88000000400027 -:040D8C000000400023 -:040D9000000040001F -:040D9400000040001B -:040D98000000400017 -:040D9C000000400013 -:040DA000000040000F -:040DA400000040000B -:040DA8000000400007 -:040DAC000000400003 -:040DB00000004000FF -:040DB40000004000FB -:040DB80000004000F7 -:040DBC0000004000F3 -:040DC00000004000EF -:040DC40000004000EB -:040DC80000004000E7 -:040DCC0000004000E3 -:040DD00000004000DF -:040DD40000004000DB -:040DD80000004000D7 -:040DDC0000004000D3 -:040DE00000004000CF -:040DE40000004000CB -:040DE80000004000C7 -:040DEC0000004000C3 -:040DF00000004000BF -:040DF40000004000BB -:040DF80000004000B7 -:040DFC0000004000B3 -:040E000000004000AE -:040E040000004000AA -:040E080000004000A6 -:040E0C0000004000A2 -:040E1000000040009E -:040E1400000040009A -:040E18000000400096 -:040E1C000000400092 -:040E2000000040008E -:040E2400000040008A -:040E28000000400086 -:040E2C000000400082 -:040E3000000040007E -:040E3400000040007A -:040E38000000400076 -:040E3C000000400072 -:040E4000000040006E -:040E4400000040006A -:040E48000000400066 -:040E4C000000400062 -:040E5000000040005E -:040E5400000040005A -:040E58000000400056 -:040E5C000000400052 -:040E6000000040004E -:040E6400000040004A -:040E68000000400046 -:040E6C000000400042 -:040E7000000040003E -:040E7400000040003A -:040E78000000400036 -:040E7C000000400032 -:040E8000000040002E -:040E8400000040002A -:040E88000000400026 -:040E8C000000400022 -:040E9000000040001E -:040E9400000040001A -:040E98000000400016 -:040E9C000000400012 -:040EA000000040000E -:040EA400000040000A -:040EA8000000400006 -:040EAC000000400002 -:040EB00000004000FE -:040EB40000004000FA -:040EB80000004000F6 -:040EBC0000004000F2 -:040EC00000004000EE -:040EC40000004000EA -:040EC80000004000E6 -:040ECC0000004000E2 -:040ED00000004000DE -:040ED40000004000DA -:040ED80000004000D6 -:040EDC0000004000D2 -:040EE00000004000CE -:040EE40000004000CA -:040EE80000004000C6 -:040EEC0000004000C2 -:040EF00000004000BE -:040EF40000004000BA -:040EF80000004000B6 -:040EFC0000004000B2 -:040F000000004000AD -:040F040000004000A9 -:040F080000004000A5 -:040F0C0000004000A1 -:040F1000000040009D -:040F14000000400099 -:040F18000000400095 -:040F1C000000400091 -:040F2000000040008D -:040F24000000400089 -:040F28000000400085 -:040F2C000000400081 -:040F3000000040007D -:040F34000000400079 -:040F38000000400075 -:040F3C000000400071 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_2.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_2.hex deleted file mode 100644 index 8d9baf110abf454d594f9aae6ac37e0d087ea75f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_2.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400040000004000B8 -:0400080000004000B4 -:04000C0000004000B0 -:0400100000004000AC -:0400140000004000A8 -:0400180000004000A4 -:04001C0000004000A0 -:04002000000040009C -:040024000000400098 -:040028000000400094 -:04002C000000400090 -:04003000000040008C -:040034000000400088 -:040038000000400084 -:04003C000000400080 -:04004000000040007C -:040044000000400078 -:040048000000400074 -:04004C000000400070 -:04005000000040006C -:040054000000400068 -:040058000000400064 -:04005C000000400060 -:04006000000040005C -:040064000000400058 -:040068000000400054 -:04006C000000400050 -:04007000000040004C -:040074000000400048 -:040078000000400044 -:04007C000000400040 -:04008000000040003C -:040084000000400038 -:040088000000400034 -:04008C000000400030 -:04009000000040002C -:040094000000400028 -:040098000000400024 -:04009C000000400020 -:0400A000000040001C -:0400A4000000400018 -:0400A8000000400014 -:0400AC000000400010 -:0400B000000040000C -:0400B4000000400008 -:0400B8000000400004 -:0400BC000000400000 -:0400C00000004000FC -:0400C40000004000F8 -:0400C80000004000F4 -:0400CC0000004000F0 -:0400D00000004000EC -:0400D40000004000E8 -:0400D80000004000E4 -:0400DC0000004000E0 -:0400E00000004000DC -:0400E40000004000D8 -:0400E80000004000D4 -:0400EC0000004000D0 -:0400F00000004000CC -:0400F40000004000C8 -:0400F80000004000C4 -:0400FC0000004000C0 -:0401000000004000BB -:0401040000004000B7 -:0401080000004000B3 -:04010C0000004000AF -:0401100000004000AB -:0401140000004000A7 -:0401180000004000A3 -:04011C00000040009F -:04012000000040009B -:040124000000400097 -:040128000000400093 -:04012C00000040008F -:04013000000040008B -:040134000000400087 -:040138000000400083 -:04013C00000040007F -:04014000000040007B -:040144000000400077 -:040148000000400073 -:04014C00000040006F -:04015000000040006B -:040154000000400067 -:040158000000400063 -:04015C00000040005F -:04016000000040005B -:040164000000400057 -:040168000000400053 -:04016C00000040004F -:04017000000040004B -:040174000000400047 -:040178000000400043 -:04017C00000040003F -:04018000000040003B -:040184000000400037 -:040188000000400033 -:04018C00000040002F -:04019000000040002B -:040194000000400027 -:040198000000400023 -:04019C00000040001F -:0401A000000040001B -:0401A4000000400017 -:0401A8000000400013 -:0401AC00000040000F -:0401B000000040000B -:0401B4000000400007 -:0401B8000000400003 -:0401BC0000004000FF -:0401C00000004000FB -:0401C40000004000F7 -:0401C80000004000F3 -:0401CC0000004000EF -:0401D00000004000EB -:0401D40000004000E7 -:0401D80000004000E3 -:0401DC0000004000DF -:0401E00000004000DB -:0401E40000004000D7 -:0401E80000004000D3 -:0401EC0000004000CF -:0401F00000004000CB -:0401F40000004000C7 -:0401F80000004000C3 -:0401FC0000004000BF -:0402000000004000BA -:0402040000004000B6 -:0402080000004000B2 -:04020C0000004000AE -:0402100000004000AA -:0402140000004000A6 -:0402180000004000A2 -:04021C00000040009E -:04022000000040009A -:040224000000400096 -:040228000000400092 -:04022C00000040008E -:04023000000040008A -:040234000000400086 -:040238000000400082 -:04023C00000040007E -:04024000000040007A -:040244000000400076 -:040248000000400072 -:04024C00000040006E -:04025000000040006A -:040254000000400066 -:040258000000400062 -:04025C00000040005E -:04026000000040005A -:040264000000400056 -:040268000000400052 -:04026C00000040004E -:04027000000040004A -:040274000000400046 -:040278000000400042 -:04027C00000040003E -:04028000000040003A -:040284000000400036 -:040288000000400032 -:04028C00000040002E -:04029000000040002A -:040294000000400026 -:040298000000400022 -:04029C00000040001E -:0402A000000040001A -:0402A4000000400016 -:0402A8000000400012 -:0402AC00000040000E -:0402B000000040000A -:0402B4000000400006 -:0402B8000000400002 -:0402BC0000004000FE -:0402C00000004000FA -:0402C40000004000F6 -:0402C80000004000F2 -:0402CC0000004000EE -:0402D00000004000EA -:0402D40000004000E6 -:0402D80000004000E2 -:0402DC0000004000DE -:0402E00000004000DA -:0402E40000004000D6 -:0402E80000004000D2 -:0402EC0000004000CE -:0402F00000004000CA -:0402F40000004000C6 -:0402F80000004000C2 -:0402FC0000004000BE -:0403000000004000B9 -:0403040000004000B5 -:0403080000004000B1 -:04030C0000004000AD -:0403100000004000A9 -:0403140000004000A5 -:0403180000004000A1 -:04031C00000040009D -:040320000000400099 -:040324000000400095 -:040328000000400091 -:04032C00000040008D -:040330000000400089 -:040334000000400085 -:040338000000400081 -:04033C00000040007D -:040340000000400079 -:040344000000400075 -:040348000000400071 -:04034C00000040006D -:040350000000400069 -:040354000000400065 -:040358000000400061 -:04035C00000040005D -:040360000000400059 -:040364000000400055 -:040368000000400051 -:04036C00000040004D -:040370000000400049 -:040374000000400045 -:040378000000400041 -:04037C00000040003D -:040380000000400039 -:040384000000400035 -:040388000000400031 -:04038C00000040002D -:040390000000400029 -:040394000000400025 -:040398000000400021 -:04039C00000040001D -:0403A0000000400019 -:0403A4000000400015 -:0403A8000000400011 -:0403AC00000040000D -:0403B0000000400009 -:0403B4000000400005 -:0403B8000000400001 -:0403BC0000004000FD -:0403C00000004000F9 -:0403C40000004000F5 -:0403C80000004000F1 -:0403CC0000004000ED -:0403D00000004000E9 -:0403D40000004000E5 -:0403D80000004000E1 -:0403DC0000004000DD -:0403E00000004000D9 -:0403E40000004000D5 -:0403E80000004000D1 -:0403EC0000004000CD -:0403F00000004000C9 -:0403F40000004000C5 -:0403F80000004000C1 -:0403FC0000004000BD -:0404000000004000B8 -:0404040000004000B4 -:0404080000004000B0 -:04040C0000004000AC -:0404100000004000A8 -:0404140000004000A4 -:0404180000004000A0 -:04041C00000040009C -:040420000000400098 -:040424000000400094 -:040428000000400090 -:04042C00000040008C -:040430000000400088 -:040434000000400084 -:040438000000400080 -:04043C00000040007C -:040440000000400078 -:040444000000400074 -:040448000000400070 -:04044C00000040006C -:040450000000400068 -:040454000000400064 -:040458000000400060 -:04045C00000040005C -:040460000000400058 -:040464000000400054 -:040468000000400050 -:04046C00000040004C -:040470000000400048 -:040474000000400044 -:040478000000400040 -:04047C00000040003C -:040480000000400038 -:040484000000400034 -:040488000000400030 -:04048C00000040002C -:040490000000400028 -:040494000000400024 -:040498000000400020 -:04049C00000040001C -:0404A0000000400018 -:0404A4000000400014 -:0404A8000000400010 -:0404AC00000040000C -:0404B0000000400008 -:0404B4000000400004 -:0404B8000000400000 -:0404BC0000004000FC -:0404C00000004000F8 -:0404C40000004000F4 -:0404C80000004000F0 -:0404CC0000004000EC -:0404D00000004000E8 -:0404D40000004000E4 -:0404D80000004000E0 -:0404DC0000004000DC -:0404E00000004000D8 -:0404E40000004000D4 -:0404E80000004000D0 -:0404EC0000004000CC -:0404F00000004000C8 -:0404F40000004000C4 -:0404F80000004000C0 -:0404FC0000004000BC -:0405000000004000B7 -:0405040000004000B3 -:0405080000004000AF -:04050C0000004000AB -:0405100000004000A7 -:0405140000004000A3 -:04051800000040009F -:04051C00000040009B -:040520000000400097 -:040524000000400093 -:04052800000040008F -:04052C00000040008B -:040530000000400087 -:040534000000400083 -:04053800000040007F -:04053C00000040007B -:040540000000400077 -:040544000000400073 -:04054800000040006F -:04054C00000040006B -:040550000000400067 -:040554000000400063 -:04055800000040005F -:04055C00000040005B -:040560000000400057 -:040564000000400053 -:04056800000040004F -:04056C00000040004B -:040570000000400047 -:040574000000400043 -:04057800000040003F -:04057C00000040003B -:040580000000400037 -:040584000000400033 -:04058800000040002F -:04058C00000040002B -:040590000000400027 -:040594000000400023 -:04059800000040001F -:04059C00000040001B -:0405A0000000400017 -:0405A4000000400013 -:0405A800000040000F -:0405AC00000040000B -:0405B0000000400007 -:0405B4000000400003 -:0405B80000004000FF -:0405BC0000004000FB -:0405C00000004000F7 -:0405C40000004000F3 -:0405C80000004000EF -:0405CC0000004000EB -:0405D00000004000E7 -:0405D40000004000E3 -:0405D80000004000DF -:0405DC0000004000DB -:0405E00000004000D7 -:0405E40000004000D3 -:0405E80000004000CF -:0405EC0000004000CB -:0405F00000004000C7 -:0405F40000004000C3 -:0405F80000004000BF -:0405FC0000004000BB -:0406000000004000B6 -:0406040000004000B2 -:0406080000004000AE -:04060C0000004000AA -:0406100000004000A6 -:0406140000004000A2 -:04061800000040009E -:04061C00000040009A -:040620000000400096 -:040624000000400092 -:04062800000040008E -:04062C00000040008A -:040630000000400086 -:040634000000400082 -:04063800000040007E -:04063C00000040007A -:040640000000400076 -:040644000000400072 -:04064800000040006E -:04064C00000040006A -:040650000000400066 -:040654000000400062 -:04065800000040005E -:04065C00000040005A -:040660000000400056 -:040664000000400052 -:04066800000040004E -:04066C00000040004A -:040670000000400046 -:040674000000400042 -:04067800000040003E -:04067C00000040003A -:040680000000400036 -:040684000000400032 -:04068800000040002E -:04068C00000040002A -:040690000000400026 -:040694000000400022 -:04069800000040001E -:04069C00000040001A -:0406A0000000400016 -:0406A4000000400012 -:0406A800000040000E -:0406AC00000040000A -:0406B0000000400006 -:0406B4000000400002 -:0406B80000004000FE -:0406BC0000004000FA -:0406C00000004000F6 -:0406C40000004000F2 -:0406C80000004000EE -:0406CC0000004000EA -:0406D00000004000E6 -:0406D40000004000E2 -:0406D80000004000DE -:0406DC0000004000DA -:0406E00000004000D6 -:0406E40000004000D2 -:0406E80000004000CE -:0406EC0000004000CA -:0406F00000004000C6 -:0406F40000004000C2 -:0406F80000004000BE -:0406FC0000004000BA -:0407000000004000B5 -:0407040000004000B1 -:0407080000004000AD -:04070C0000004000A9 -:0407100000004000A5 -:0407140000004000A1 -:04071800000040009D -:04071C000000400099 -:040720000000400095 -:040724000000400091 -:04072800000040008D -:04072C000000400089 -:040730000000400085 -:040734000000400081 -:04073800000040007D -:04073C000000400079 -:040740000000400075 -:040744000000400071 -:04074800000040006D -:04074C000000400069 -:040750000000400065 -:040754000000400061 -:04075800000040005D -:04075C000000400059 -:040760000000400055 -:040764000000400051 -:04076800000040004D -:04076C000000400049 -:040770000000400045 -:040774000000400041 -:04077800000040003D -:04077C000000400039 -:040780000000400035 -:040784000000400031 -:04078800000040002D -:04078C000000400029 -:040790000000400025 -:040794000000400021 -:04079800000040001D -:04079C000000400019 -:0407A0000000400015 -:0407A4000000400011 -:0407A800000040000D -:0407AC000000400009 -:0407B0000000400005 -:0407B4000000400001 -:0407B80000004000FD -:0407BC0000004000F9 -:0407C00000004000F5 -:0407C40000004000F1 -:0407C80000004000ED -:0407CC0000004000E9 -:0407D00000004000E5 -:0407D40000004000E1 -:0407D80000004000DD -:0407DC0000004000D9 -:0407E00000004000D5 -:0407E40000004000D1 -:0407E80000004000CD -:0407EC0000004000C9 -:0407F00000004000C5 -:0407F40000004000C1 -:0407F80000004000BD -:0407FC0000004000B9 -:0408000000004000B4 -:0408040000004000B0 -:0408080000004000AC -:04080C0000004000A8 -:0408100000004000A4 -:0408140000004000A0 -:04081800000040009C -:04081C000000400098 -:040820000000400094 -:040824000000400090 -:04082800000040008C -:04082C000000400088 -:040830000000400084 -:040834000000400080 -:04083800000040007C -:04083C000000400078 -:040840000000400074 -:040844000000400070 -:04084800000040006C -:04084C000000400068 -:040850000000400064 -:040854000000400060 -:04085800000040005C -:04085C000000400058 -:040860000000400054 -:040864000000400050 -:04086800000040004C -:04086C000000400048 -:040870000000400044 -:040874000000400040 -:04087800000040003C -:04087C000000400038 -:040880000000400034 -:040884000000400030 -:04088800000040002C -:04088C000000400028 -:040890000000400024 -:040894000000400020 -:04089800000040001C -:04089C000000400018 -:0408A0000000400014 -:0408A4000000400010 -:0408A800000040000C -:0408AC000000400008 -:0408B0000000400004 -:0408B4000000400000 -:0408B80000004000FC -:0408BC0000004000F8 -:0408C00000004000F4 -:0408C40000004000F0 -:0408C80000004000EC -:0408CC0000004000E8 -:0408D00000004000E4 -:0408D40000004000E0 -:0408D80000004000DC -:0408DC0000004000D8 -:0408E00000004000D4 -:0408E40000004000D0 -:0408E80000004000CC -:0408EC0000004000C8 -:0408F00000004000C4 -:0408F40000004000C0 -:0408F80000004000BC -:0408FC0000004000B8 -:0409000000004000B3 -:0409040000004000AF -:0409080000004000AB -:04090C0000004000A7 -:0409100000004000A3 -:04091400000040009F -:04091800000040009B -:04091C000000400097 -:040920000000400093 -:04092400000040008F -:04092800000040008B -:04092C000000400087 -:040930000000400083 -:04093400000040007F -:04093800000040007B -:04093C000000400077 -:040940000000400073 -:04094400000040006F -:04094800000040006B -:04094C000000400067 -:040950000000400063 -:04095400000040005F -:04095800000040005B -:04095C000000400057 -:040960000000400053 -:04096400000040004F -:04096800000040004B -:04096C000000400047 -:040970000000400043 -:04097400000040003F -:04097800000040003B -:04097C000000400037 -:040980000000400033 -:04098400000040002F -:04098800000040002B -:04098C000000400027 -:040990000000400023 -:04099400000040001F -:04099800000040001B -:04099C000000400017 -:0409A0000000400013 -:0409A400000040000F -:0409A800000040000B -:0409AC000000400007 -:0409B0000000400003 -:0409B40000004000FF -:0409B80000004000FB -:0409BC0000004000F7 -:0409C00000004000F3 -:0409C40000004000EF -:0409C80000004000EB -:0409CC0000004000E7 -:0409D00000004000E3 -:0409D40000004000DF -:0409D80000004000DB -:0409DC0000004000D7 -:0409E00000004000D3 -:0409E40000004000CF -:0409E80000004000CB -:0409EC0000004000C7 -:0409F00000004000C3 -:0409F40000004000BF -:0409F80000004000BB -:0409FC0000004000B7 -:040A000000004000B2 -:040A040000004000AE -:040A080000004000AA -:040A0C0000004000A6 -:040A100000004000A2 -:040A1400000040009E -:040A1800000040009A -:040A1C000000400096 -:040A20000000400092 -:040A2400000040008E -:040A2800000040008A -:040A2C000000400086 -:040A30000000400082 -:040A3400000040007E -:040A3800000040007A -:040A3C000000400076 -:040A40000000400072 -:040A4400000040006E -:040A4800000040006A -:040A4C000000400066 -:040A50000000400062 -:040A5400000040005E -:040A5800000040005A -:040A5C000000400056 -:040A60000000400052 -:040A6400000040004E -:040A6800000040004A -:040A6C000000400046 -:040A70000000400042 -:040A7400000040003E -:040A7800000040003A -:040A7C000000400036 -:040A80000000400032 -:040A8400000040002E -:040A8800000040002A -:040A8C000000400026 -:040A90000000400022 -:040A9400000040001E -:040A9800000040001A -:040A9C000000400016 -:040AA0000000400012 -:040AA400000040000E -:040AA800000040000A -:040AAC000000400006 -:040AB0000000400002 -:040AB40000004000FE -:040AB80000004000FA -:040ABC0000004000F6 -:040AC00000004000F2 -:040AC40000004000EE -:040AC80000004000EA -:040ACC0000004000E6 -:040AD00000004000E2 -:040AD40000004000DE -:040AD80000004000DA -:040ADC0000004000D6 -:040AE00000004000D2 -:040AE40000004000CE -:040AE80000004000CA -:040AEC0000004000C6 -:040AF00000004000C2 -:040AF40000004000BE -:040AF80000004000BA -:040AFC0000004000B6 -:040B000000004000B1 -:040B040000004000AD -:040B080000004000A9 -:040B0C0000004000A5 -:040B100000004000A1 -:040B1400000040009D -:040B18000000400099 -:040B1C000000400095 -:040B20000000400091 -:040B2400000040008D -:040B28000000400089 -:040B2C000000400085 -:040B30000000400081 -:040B3400000040007D -:040B38000000400079 -:040B3C000000400075 -:040B40000000400071 -:040B4400000040006D -:040B48000000400069 -:040B4C000000400065 -:040B50000000400061 -:040B5400000040005D -:040B58000000400059 -:040B5C000000400055 -:040B60000000400051 -:040B6400000040004D -:040B68000000400049 -:040B6C000000400045 -:040B70000000400041 -:040B7400000040003D -:040B78000000400039 -:040B7C000000400035 -:040B80000000400031 -:040B8400000040002D -:040B88000000400029 -:040B8C000000400025 -:040B90000000400021 -:040B9400000040001D -:040B98000000400019 -:040B9C000000400015 -:040BA0000000400011 -:040BA400000040000D -:040BA8000000400009 -:040BAC000000400005 -:040BB0000000400001 -:040BB40000004000FD -:040BB80000004000F9 -:040BBC0000004000F5 -:040BC00000004000F1 -:040BC40000004000ED -:040BC80000004000E9 -:040BCC0000004000E5 -:040BD00000004000E1 -:040BD40000004000DD -:040BD80000004000D9 -:040BDC0000004000D5 -:040BE00000004000D1 -:040BE40000004000CD -:040BE80000004000C9 -:040BEC0000004000C5 -:040BF00000004000C1 -:040BF40000004000BD -:040BF80000004000B9 -:040BFC0000004000B5 -:040C000000004000B0 -:040C040000004000AC -:040C080000004000A8 -:040C0C0000004000A4 -:040C100000004000A0 -:040C1400000040009C -:040C18000000400098 -:040C1C000000400094 -:040C20000000400090 -:040C2400000040008C -:040C28000000400088 -:040C2C000000400084 -:040C30000000400080 -:040C3400000040007C -:040C38000000400078 -:040C3C000000400074 -:040C40000000400070 -:040C4400000040006C -:040C48000000400068 -:040C4C000000400064 -:040C50000000400060 -:040C5400000040005C -:040C58000000400058 -:040C5C000000400054 -:040C60000000400050 -:040C6400000040004C -:040C68000000400048 -:040C6C000000400044 -:040C70000000400040 -:040C7400000040003C -:040C78000000400038 -:040C7C000000400034 -:040C80000000400030 -:040C8400000040002C -:040C88000000400028 -:040C8C000000400024 -:040C90000000400020 -:040C9400000040001C -:040C98000000400018 -:040C9C000000400014 -:040CA0000000400010 -:040CA400000040000C -:040CA8000000400008 -:040CAC000000400004 -:040CB0000000400000 -:040CB40000004000FC -:040CB80000004000F8 -:040CBC0000004000F4 -:040CC00000004000F0 -:040CC40000004000EC -:040CC80000004000E8 -:040CCC0000004000E4 -:040CD00000004000E0 -:040CD40000004000DC -:040CD80000004000D8 -:040CDC0000004000D4 -:040CE00000004000D0 -:040CE40000004000CC -:040CE80000004000C8 -:040CEC0000004000C4 -:040CF00000004000C0 -:040CF40000004000BC -:040CF80000004000B8 -:040CFC0000004000B4 -:040D000000004000AF -:040D040000004000AB -:040D080000004000A7 -:040D0C0000004000A3 -:040D1000000040009F -:040D1400000040009B -:040D18000000400097 -:040D1C000000400093 -:040D2000000040008F -:040D2400000040008B -:040D28000000400087 -:040D2C000000400083 -:040D3000000040007F -:040D3400000040007B -:040D38000000400077 -:040D3C000000400073 -:040D4000000040006F -:040D4400000040006B -:040D48000000400067 -:040D4C000000400063 -:040D5000000040005F -:040D5400000040005B -:040D58000000400057 -:040D5C000000400053 -:040D6000000040004F -:040D6400000040004B -:040D68000000400047 -:040D6C000000400043 -:040D7000000040003F -:040D7400000040003B -:040D78000000400037 -:040D7C000000400033 -:040D8000000040002F -:040D8400000040002B -:040D88000000400027 -:040D8C000000400023 -:040D9000000040001F -:040D9400000040001B -:040D98000000400017 -:040D9C000000400013 -:040DA000000040000F -:040DA400000040000B -:040DA8000000400007 -:040DAC000000400003 -:040DB00000004000FF -:040DB40000004000FB -:040DB80000004000F7 -:040DBC0000004000F3 -:040DC00000004000EF -:040DC40000004000EB -:040DC80000004000E7 -:040DCC0000004000E3 -:040DD00000004000DF -:040DD40000004000DB -:040DD80000004000D7 -:040DDC0000004000D3 -:040DE00000004000CF -:040DE40000004000CB -:040DE80000004000C7 -:040DEC0000004000C3 -:040DF00000004000BF -:040DF40000004000BB -:040DF80000004000B7 -:040DFC0000004000B3 -:040E000000004000AE -:040E040000004000AA -:040E080000004000A6 -:040E0C0000004000A2 -:040E1000000040009E -:040E1400000040009A -:040E18000000400096 -:040E1C000000400092 -:040E2000000040008E -:040E2400000040008A -:040E28000000400086 -:040E2C000000400082 -:040E3000000040007E -:040E3400000040007A -:040E38000000400076 -:040E3C000000400072 -:040E4000000040006E -:040E4400000040006A -:040E48000000400066 -:040E4C000000400062 -:040E5000000040005E -:040E5400000040005A -:040E58000000400056 -:040E5C000000400052 -:040E6000000040004E -:040E6400000040004A -:040E68000000400046 -:040E6C000000400042 -:040E7000000040003E -:040E7400000040003A -:040E78000000400036 -:040E7C000000400032 -:040E8000000040002E -:040E8400000040002A -:040E88000000400026 -:040E8C000000400022 -:040E9000000040001E -:040E9400000040001A -:040E98000000400016 -:040E9C000000400012 -:040EA000000040000E -:040EA400000040000A -:040EA8000000400006 -:040EAC000000400002 -:040EB00000004000FE -:040EB40000004000FA -:040EB80000004000F6 -:040EBC0000004000F2 -:040EC00000004000EE -:040EC40000004000EA -:040EC80000004000E6 -:040ECC0000004000E2 -:040ED00000004000DE -:040ED40000004000DA -:040ED80000004000D6 -:040EDC0000004000D2 -:040EE00000004000CE -:040EE40000004000CA -:040EE80000004000C6 -:040EEC0000004000C2 -:040EF00000004000BE -:040EF40000004000BA -:040EF80000004000B6 -:040EFC0000004000B2 -:040F000000004000AD -:040F040000004000A9 -:040F080000004000A5 -:040F0C0000004000A1 -:040F1000000040009D -:040F14000000400099 -:040F18000000400095 -:040F1C000000400091 -:040F2000000040008D -:040F24000000400089 -:040F28000000400085 -:040F2C000000400081 -:040F3000000040007D -:040F34000000400079 -:040F38000000400075 -:040F3C000000400071 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_3.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_3.hex deleted file mode 100644 index 8d9baf110abf454d594f9aae6ac37e0d087ea75f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_3.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400040000004000B8 -:0400080000004000B4 -:04000C0000004000B0 -:0400100000004000AC -:0400140000004000A8 -:0400180000004000A4 -:04001C0000004000A0 -:04002000000040009C -:040024000000400098 -:040028000000400094 -:04002C000000400090 -:04003000000040008C -:040034000000400088 -:040038000000400084 -:04003C000000400080 -:04004000000040007C -:040044000000400078 -:040048000000400074 -:04004C000000400070 -:04005000000040006C -:040054000000400068 -:040058000000400064 -:04005C000000400060 -:04006000000040005C -:040064000000400058 -:040068000000400054 -:04006C000000400050 -:04007000000040004C -:040074000000400048 -:040078000000400044 -:04007C000000400040 -:04008000000040003C -:040084000000400038 -:040088000000400034 -:04008C000000400030 -:04009000000040002C -:040094000000400028 -:040098000000400024 -:04009C000000400020 -:0400A000000040001C -:0400A4000000400018 -:0400A8000000400014 -:0400AC000000400010 -:0400B000000040000C -:0400B4000000400008 -:0400B8000000400004 -:0400BC000000400000 -:0400C00000004000FC -:0400C40000004000F8 -:0400C80000004000F4 -:0400CC0000004000F0 -:0400D00000004000EC -:0400D40000004000E8 -:0400D80000004000E4 -:0400DC0000004000E0 -:0400E00000004000DC -:0400E40000004000D8 -:0400E80000004000D4 -:0400EC0000004000D0 -:0400F00000004000CC -:0400F40000004000C8 -:0400F80000004000C4 -:0400FC0000004000C0 -:0401000000004000BB -:0401040000004000B7 -:0401080000004000B3 -:04010C0000004000AF -:0401100000004000AB -:0401140000004000A7 -:0401180000004000A3 -:04011C00000040009F -:04012000000040009B -:040124000000400097 -:040128000000400093 -:04012C00000040008F -:04013000000040008B -:040134000000400087 -:040138000000400083 -:04013C00000040007F -:04014000000040007B -:040144000000400077 -:040148000000400073 -:04014C00000040006F -:04015000000040006B -:040154000000400067 -:040158000000400063 -:04015C00000040005F -:04016000000040005B -:040164000000400057 -:040168000000400053 -:04016C00000040004F -:04017000000040004B -:040174000000400047 -:040178000000400043 -:04017C00000040003F -:04018000000040003B -:040184000000400037 -:040188000000400033 -:04018C00000040002F -:04019000000040002B -:040194000000400027 -:040198000000400023 -:04019C00000040001F -:0401A000000040001B -:0401A4000000400017 -:0401A8000000400013 -:0401AC00000040000F -:0401B000000040000B -:0401B4000000400007 -:0401B8000000400003 -:0401BC0000004000FF -:0401C00000004000FB -:0401C40000004000F7 -:0401C80000004000F3 -:0401CC0000004000EF -:0401D00000004000EB -:0401D40000004000E7 -:0401D80000004000E3 -:0401DC0000004000DF -:0401E00000004000DB -:0401E40000004000D7 -:0401E80000004000D3 -:0401EC0000004000CF -:0401F00000004000CB -:0401F40000004000C7 -:0401F80000004000C3 -:0401FC0000004000BF -:0402000000004000BA -:0402040000004000B6 -:0402080000004000B2 -:04020C0000004000AE -:0402100000004000AA -:0402140000004000A6 -:0402180000004000A2 -:04021C00000040009E -:04022000000040009A -:040224000000400096 -:040228000000400092 -:04022C00000040008E -:04023000000040008A -:040234000000400086 -:040238000000400082 -:04023C00000040007E -:04024000000040007A -:040244000000400076 -:040248000000400072 -:04024C00000040006E -:04025000000040006A -:040254000000400066 -:040258000000400062 -:04025C00000040005E -:04026000000040005A -:040264000000400056 -:040268000000400052 -:04026C00000040004E -:04027000000040004A -:040274000000400046 -:040278000000400042 -:04027C00000040003E -:04028000000040003A -:040284000000400036 -:040288000000400032 -:04028C00000040002E -:04029000000040002A -:040294000000400026 -:040298000000400022 -:04029C00000040001E -:0402A000000040001A -:0402A4000000400016 -:0402A8000000400012 -:0402AC00000040000E -:0402B000000040000A -:0402B4000000400006 -:0402B8000000400002 -:0402BC0000004000FE -:0402C00000004000FA -:0402C40000004000F6 -:0402C80000004000F2 -:0402CC0000004000EE -:0402D00000004000EA -:0402D40000004000E6 -:0402D80000004000E2 -:0402DC0000004000DE -:0402E00000004000DA -:0402E40000004000D6 -:0402E80000004000D2 -:0402EC0000004000CE -:0402F00000004000CA -:0402F40000004000C6 -:0402F80000004000C2 -:0402FC0000004000BE -:0403000000004000B9 -:0403040000004000B5 -:0403080000004000B1 -:04030C0000004000AD -:0403100000004000A9 -:0403140000004000A5 -:0403180000004000A1 -:04031C00000040009D -:040320000000400099 -:040324000000400095 -:040328000000400091 -:04032C00000040008D -:040330000000400089 -:040334000000400085 -:040338000000400081 -:04033C00000040007D -:040340000000400079 -:040344000000400075 -:040348000000400071 -:04034C00000040006D -:040350000000400069 -:040354000000400065 -:040358000000400061 -:04035C00000040005D -:040360000000400059 -:040364000000400055 -:040368000000400051 -:04036C00000040004D -:040370000000400049 -:040374000000400045 -:040378000000400041 -:04037C00000040003D -:040380000000400039 -:040384000000400035 -:040388000000400031 -:04038C00000040002D -:040390000000400029 -:040394000000400025 -:040398000000400021 -:04039C00000040001D -:0403A0000000400019 -:0403A4000000400015 -:0403A8000000400011 -:0403AC00000040000D -:0403B0000000400009 -:0403B4000000400005 -:0403B8000000400001 -:0403BC0000004000FD -:0403C00000004000F9 -:0403C40000004000F5 -:0403C80000004000F1 -:0403CC0000004000ED -:0403D00000004000E9 -:0403D40000004000E5 -:0403D80000004000E1 -:0403DC0000004000DD -:0403E00000004000D9 -:0403E40000004000D5 -:0403E80000004000D1 -:0403EC0000004000CD -:0403F00000004000C9 -:0403F40000004000C5 -:0403F80000004000C1 -:0403FC0000004000BD -:0404000000004000B8 -:0404040000004000B4 -:0404080000004000B0 -:04040C0000004000AC -:0404100000004000A8 -:0404140000004000A4 -:0404180000004000A0 -:04041C00000040009C -:040420000000400098 -:040424000000400094 -:040428000000400090 -:04042C00000040008C -:040430000000400088 -:040434000000400084 -:040438000000400080 -:04043C00000040007C -:040440000000400078 -:040444000000400074 -:040448000000400070 -:04044C00000040006C -:040450000000400068 -:040454000000400064 -:040458000000400060 -:04045C00000040005C -:040460000000400058 -:040464000000400054 -:040468000000400050 -:04046C00000040004C -:040470000000400048 -:040474000000400044 -:040478000000400040 -:04047C00000040003C -:040480000000400038 -:040484000000400034 -:040488000000400030 -:04048C00000040002C -:040490000000400028 -:040494000000400024 -:040498000000400020 -:04049C00000040001C -:0404A0000000400018 -:0404A4000000400014 -:0404A8000000400010 -:0404AC00000040000C -:0404B0000000400008 -:0404B4000000400004 -:0404B8000000400000 -:0404BC0000004000FC -:0404C00000004000F8 -:0404C40000004000F4 -:0404C80000004000F0 -:0404CC0000004000EC -:0404D00000004000E8 -:0404D40000004000E4 -:0404D80000004000E0 -:0404DC0000004000DC -:0404E00000004000D8 -:0404E40000004000D4 -:0404E80000004000D0 -:0404EC0000004000CC -:0404F00000004000C8 -:0404F40000004000C4 -:0404F80000004000C0 -:0404FC0000004000BC -:0405000000004000B7 -:0405040000004000B3 -:0405080000004000AF -:04050C0000004000AB -:0405100000004000A7 -:0405140000004000A3 -:04051800000040009F -:04051C00000040009B -:040520000000400097 -:040524000000400093 -:04052800000040008F -:04052C00000040008B -:040530000000400087 -:040534000000400083 -:04053800000040007F -:04053C00000040007B -:040540000000400077 -:040544000000400073 -:04054800000040006F -:04054C00000040006B -:040550000000400067 -:040554000000400063 -:04055800000040005F -:04055C00000040005B -:040560000000400057 -:040564000000400053 -:04056800000040004F -:04056C00000040004B -:040570000000400047 -:040574000000400043 -:04057800000040003F -:04057C00000040003B -:040580000000400037 -:040584000000400033 -:04058800000040002F -:04058C00000040002B -:040590000000400027 -:040594000000400023 -:04059800000040001F -:04059C00000040001B -:0405A0000000400017 -:0405A4000000400013 -:0405A800000040000F -:0405AC00000040000B -:0405B0000000400007 -:0405B4000000400003 -:0405B80000004000FF -:0405BC0000004000FB -:0405C00000004000F7 -:0405C40000004000F3 -:0405C80000004000EF -:0405CC0000004000EB -:0405D00000004000E7 -:0405D40000004000E3 -:0405D80000004000DF -:0405DC0000004000DB -:0405E00000004000D7 -:0405E40000004000D3 -:0405E80000004000CF -:0405EC0000004000CB -:0405F00000004000C7 -:0405F40000004000C3 -:0405F80000004000BF -:0405FC0000004000BB -:0406000000004000B6 -:0406040000004000B2 -:0406080000004000AE -:04060C0000004000AA -:0406100000004000A6 -:0406140000004000A2 -:04061800000040009E -:04061C00000040009A -:040620000000400096 -:040624000000400092 -:04062800000040008E -:04062C00000040008A -:040630000000400086 -:040634000000400082 -:04063800000040007E -:04063C00000040007A -:040640000000400076 -:040644000000400072 -:04064800000040006E -:04064C00000040006A -:040650000000400066 -:040654000000400062 -:04065800000040005E -:04065C00000040005A -:040660000000400056 -:040664000000400052 -:04066800000040004E -:04066C00000040004A -:040670000000400046 -:040674000000400042 -:04067800000040003E -:04067C00000040003A -:040680000000400036 -:040684000000400032 -:04068800000040002E -:04068C00000040002A -:040690000000400026 -:040694000000400022 -:04069800000040001E -:04069C00000040001A -:0406A0000000400016 -:0406A4000000400012 -:0406A800000040000E -:0406AC00000040000A -:0406B0000000400006 -:0406B4000000400002 -:0406B80000004000FE -:0406BC0000004000FA -:0406C00000004000F6 -:0406C40000004000F2 -:0406C80000004000EE -:0406CC0000004000EA -:0406D00000004000E6 -:0406D40000004000E2 -:0406D80000004000DE -:0406DC0000004000DA -:0406E00000004000D6 -:0406E40000004000D2 -:0406E80000004000CE -:0406EC0000004000CA -:0406F00000004000C6 -:0406F40000004000C2 -:0406F80000004000BE -:0406FC0000004000BA -:0407000000004000B5 -:0407040000004000B1 -:0407080000004000AD -:04070C0000004000A9 -:0407100000004000A5 -:0407140000004000A1 -:04071800000040009D -:04071C000000400099 -:040720000000400095 -:040724000000400091 -:04072800000040008D -:04072C000000400089 -:040730000000400085 -:040734000000400081 -:04073800000040007D -:04073C000000400079 -:040740000000400075 -:040744000000400071 -:04074800000040006D -:04074C000000400069 -:040750000000400065 -:040754000000400061 -:04075800000040005D -:04075C000000400059 -:040760000000400055 -:040764000000400051 -:04076800000040004D -:04076C000000400049 -:040770000000400045 -:040774000000400041 -:04077800000040003D -:04077C000000400039 -:040780000000400035 -:040784000000400031 -:04078800000040002D -:04078C000000400029 -:040790000000400025 -:040794000000400021 -:04079800000040001D -:04079C000000400019 -:0407A0000000400015 -:0407A4000000400011 -:0407A800000040000D -:0407AC000000400009 -:0407B0000000400005 -:0407B4000000400001 -:0407B80000004000FD -:0407BC0000004000F9 -:0407C00000004000F5 -:0407C40000004000F1 -:0407C80000004000ED -:0407CC0000004000E9 -:0407D00000004000E5 -:0407D40000004000E1 -:0407D80000004000DD -:0407DC0000004000D9 -:0407E00000004000D5 -:0407E40000004000D1 -:0407E80000004000CD -:0407EC0000004000C9 -:0407F00000004000C5 -:0407F40000004000C1 -:0407F80000004000BD -:0407FC0000004000B9 -:0408000000004000B4 -:0408040000004000B0 -:0408080000004000AC -:04080C0000004000A8 -:0408100000004000A4 -:0408140000004000A0 -:04081800000040009C -:04081C000000400098 -:040820000000400094 -:040824000000400090 -:04082800000040008C -:04082C000000400088 -:040830000000400084 -:040834000000400080 -:04083800000040007C -:04083C000000400078 -:040840000000400074 -:040844000000400070 -:04084800000040006C -:04084C000000400068 -:040850000000400064 -:040854000000400060 -:04085800000040005C -:04085C000000400058 -:040860000000400054 -:040864000000400050 -:04086800000040004C -:04086C000000400048 -:040870000000400044 -:040874000000400040 -:04087800000040003C -:04087C000000400038 -:040880000000400034 -:040884000000400030 -:04088800000040002C -:04088C000000400028 -:040890000000400024 -:040894000000400020 -:04089800000040001C -:04089C000000400018 -:0408A0000000400014 -:0408A4000000400010 -:0408A800000040000C -:0408AC000000400008 -:0408B0000000400004 -:0408B4000000400000 -:0408B80000004000FC -:0408BC0000004000F8 -:0408C00000004000F4 -:0408C40000004000F0 -:0408C80000004000EC -:0408CC0000004000E8 -:0408D00000004000E4 -:0408D40000004000E0 -:0408D80000004000DC -:0408DC0000004000D8 -:0408E00000004000D4 -:0408E40000004000D0 -:0408E80000004000CC -:0408EC0000004000C8 -:0408F00000004000C4 -:0408F40000004000C0 -:0408F80000004000BC -:0408FC0000004000B8 -:0409000000004000B3 -:0409040000004000AF -:0409080000004000AB -:04090C0000004000A7 -:0409100000004000A3 -:04091400000040009F -:04091800000040009B -:04091C000000400097 -:040920000000400093 -:04092400000040008F -:04092800000040008B -:04092C000000400087 -:040930000000400083 -:04093400000040007F -:04093800000040007B -:04093C000000400077 -:040940000000400073 -:04094400000040006F -:04094800000040006B -:04094C000000400067 -:040950000000400063 -:04095400000040005F -:04095800000040005B -:04095C000000400057 -:040960000000400053 -:04096400000040004F -:04096800000040004B -:04096C000000400047 -:040970000000400043 -:04097400000040003F -:04097800000040003B -:04097C000000400037 -:040980000000400033 -:04098400000040002F -:04098800000040002B -:04098C000000400027 -:040990000000400023 -:04099400000040001F -:04099800000040001B -:04099C000000400017 -:0409A0000000400013 -:0409A400000040000F -:0409A800000040000B -:0409AC000000400007 -:0409B0000000400003 -:0409B40000004000FF -:0409B80000004000FB -:0409BC0000004000F7 -:0409C00000004000F3 -:0409C40000004000EF -:0409C80000004000EB -:0409CC0000004000E7 -:0409D00000004000E3 -:0409D40000004000DF -:0409D80000004000DB -:0409DC0000004000D7 -:0409E00000004000D3 -:0409E40000004000CF -:0409E80000004000CB -:0409EC0000004000C7 -:0409F00000004000C3 -:0409F40000004000BF -:0409F80000004000BB -:0409FC0000004000B7 -:040A000000004000B2 -:040A040000004000AE -:040A080000004000AA -:040A0C0000004000A6 -:040A100000004000A2 -:040A1400000040009E -:040A1800000040009A -:040A1C000000400096 -:040A20000000400092 -:040A2400000040008E -:040A2800000040008A -:040A2C000000400086 -:040A30000000400082 -:040A3400000040007E -:040A3800000040007A -:040A3C000000400076 -:040A40000000400072 -:040A4400000040006E -:040A4800000040006A -:040A4C000000400066 -:040A50000000400062 -:040A5400000040005E -:040A5800000040005A -:040A5C000000400056 -:040A60000000400052 -:040A6400000040004E -:040A6800000040004A -:040A6C000000400046 -:040A70000000400042 -:040A7400000040003E -:040A7800000040003A -:040A7C000000400036 -:040A80000000400032 -:040A8400000040002E -:040A8800000040002A -:040A8C000000400026 -:040A90000000400022 -:040A9400000040001E -:040A9800000040001A -:040A9C000000400016 -:040AA0000000400012 -:040AA400000040000E -:040AA800000040000A -:040AAC000000400006 -:040AB0000000400002 -:040AB40000004000FE -:040AB80000004000FA -:040ABC0000004000F6 -:040AC00000004000F2 -:040AC40000004000EE -:040AC80000004000EA -:040ACC0000004000E6 -:040AD00000004000E2 -:040AD40000004000DE -:040AD80000004000DA -:040ADC0000004000D6 -:040AE00000004000D2 -:040AE40000004000CE -:040AE80000004000CA -:040AEC0000004000C6 -:040AF00000004000C2 -:040AF40000004000BE -:040AF80000004000BA -:040AFC0000004000B6 -:040B000000004000B1 -:040B040000004000AD -:040B080000004000A9 -:040B0C0000004000A5 -:040B100000004000A1 -:040B1400000040009D -:040B18000000400099 -:040B1C000000400095 -:040B20000000400091 -:040B2400000040008D -:040B28000000400089 -:040B2C000000400085 -:040B30000000400081 -:040B3400000040007D -:040B38000000400079 -:040B3C000000400075 -:040B40000000400071 -:040B4400000040006D -:040B48000000400069 -:040B4C000000400065 -:040B50000000400061 -:040B5400000040005D -:040B58000000400059 -:040B5C000000400055 -:040B60000000400051 -:040B6400000040004D -:040B68000000400049 -:040B6C000000400045 -:040B70000000400041 -:040B7400000040003D -:040B78000000400039 -:040B7C000000400035 -:040B80000000400031 -:040B8400000040002D -:040B88000000400029 -:040B8C000000400025 -:040B90000000400021 -:040B9400000040001D -:040B98000000400019 -:040B9C000000400015 -:040BA0000000400011 -:040BA400000040000D -:040BA8000000400009 -:040BAC000000400005 -:040BB0000000400001 -:040BB40000004000FD -:040BB80000004000F9 -:040BBC0000004000F5 -:040BC00000004000F1 -:040BC40000004000ED -:040BC80000004000E9 -:040BCC0000004000E5 -:040BD00000004000E1 -:040BD40000004000DD -:040BD80000004000D9 -:040BDC0000004000D5 -:040BE00000004000D1 -:040BE40000004000CD -:040BE80000004000C9 -:040BEC0000004000C5 -:040BF00000004000C1 -:040BF40000004000BD -:040BF80000004000B9 -:040BFC0000004000B5 -:040C000000004000B0 -:040C040000004000AC -:040C080000004000A8 -:040C0C0000004000A4 -:040C100000004000A0 -:040C1400000040009C -:040C18000000400098 -:040C1C000000400094 -:040C20000000400090 -:040C2400000040008C -:040C28000000400088 -:040C2C000000400084 -:040C30000000400080 -:040C3400000040007C -:040C38000000400078 -:040C3C000000400074 -:040C40000000400070 -:040C4400000040006C -:040C48000000400068 -:040C4C000000400064 -:040C50000000400060 -:040C5400000040005C -:040C58000000400058 -:040C5C000000400054 -:040C60000000400050 -:040C6400000040004C -:040C68000000400048 -:040C6C000000400044 -:040C70000000400040 -:040C7400000040003C -:040C78000000400038 -:040C7C000000400034 -:040C80000000400030 -:040C8400000040002C -:040C88000000400028 -:040C8C000000400024 -:040C90000000400020 -:040C9400000040001C -:040C98000000400018 -:040C9C000000400014 -:040CA0000000400010 -:040CA400000040000C -:040CA8000000400008 -:040CAC000000400004 -:040CB0000000400000 -:040CB40000004000FC -:040CB80000004000F8 -:040CBC0000004000F4 -:040CC00000004000F0 -:040CC40000004000EC -:040CC80000004000E8 -:040CCC0000004000E4 -:040CD00000004000E0 -:040CD40000004000DC -:040CD80000004000D8 -:040CDC0000004000D4 -:040CE00000004000D0 -:040CE40000004000CC -:040CE80000004000C8 -:040CEC0000004000C4 -:040CF00000004000C0 -:040CF40000004000BC -:040CF80000004000B8 -:040CFC0000004000B4 -:040D000000004000AF -:040D040000004000AB -:040D080000004000A7 -:040D0C0000004000A3 -:040D1000000040009F -:040D1400000040009B -:040D18000000400097 -:040D1C000000400093 -:040D2000000040008F -:040D2400000040008B -:040D28000000400087 -:040D2C000000400083 -:040D3000000040007F -:040D3400000040007B -:040D38000000400077 -:040D3C000000400073 -:040D4000000040006F -:040D4400000040006B -:040D48000000400067 -:040D4C000000400063 -:040D5000000040005F -:040D5400000040005B -:040D58000000400057 -:040D5C000000400053 -:040D6000000040004F -:040D6400000040004B -:040D68000000400047 -:040D6C000000400043 -:040D7000000040003F -:040D7400000040003B -:040D78000000400037 -:040D7C000000400033 -:040D8000000040002F -:040D8400000040002B -:040D88000000400027 -:040D8C000000400023 -:040D9000000040001F -:040D9400000040001B -:040D98000000400017 -:040D9C000000400013 -:040DA000000040000F -:040DA400000040000B -:040DA8000000400007 -:040DAC000000400003 -:040DB00000004000FF -:040DB40000004000FB -:040DB80000004000F7 -:040DBC0000004000F3 -:040DC00000004000EF -:040DC40000004000EB -:040DC80000004000E7 -:040DCC0000004000E3 -:040DD00000004000DF -:040DD40000004000DB -:040DD80000004000D7 -:040DDC0000004000D3 -:040DE00000004000CF -:040DE40000004000CB -:040DE80000004000C7 -:040DEC0000004000C3 -:040DF00000004000BF -:040DF40000004000BB -:040DF80000004000B7 -:040DFC0000004000B3 -:040E000000004000AE -:040E040000004000AA -:040E080000004000A6 -:040E0C0000004000A2 -:040E1000000040009E -:040E1400000040009A -:040E18000000400096 -:040E1C000000400092 -:040E2000000040008E -:040E2400000040008A -:040E28000000400086 -:040E2C000000400082 -:040E3000000040007E -:040E3400000040007A -:040E38000000400076 -:040E3C000000400072 -:040E4000000040006E -:040E4400000040006A -:040E48000000400066 -:040E4C000000400062 -:040E5000000040005E -:040E5400000040005A -:040E58000000400056 -:040E5C000000400052 -:040E6000000040004E -:040E6400000040004A -:040E68000000400046 -:040E6C000000400042 -:040E7000000040003E -:040E7400000040003A -:040E78000000400036 -:040E7C000000400032 -:040E8000000040002E -:040E8400000040002A -:040E88000000400026 -:040E8C000000400022 -:040E9000000040001E -:040E9400000040001A -:040E98000000400016 -:040E9C000000400012 -:040EA000000040000E -:040EA400000040000A -:040EA8000000400006 -:040EAC000000400002 -:040EB00000004000FE -:040EB40000004000FA -:040EB80000004000F6 -:040EBC0000004000F2 -:040EC00000004000EE -:040EC40000004000EA -:040EC80000004000E6 -:040ECC0000004000E2 -:040ED00000004000DE -:040ED40000004000DA -:040ED80000004000D6 -:040EDC0000004000D2 -:040EE00000004000CE -:040EE40000004000CA -:040EE80000004000C6 -:040EEC0000004000C2 -:040EF00000004000BE -:040EF40000004000BA -:040EF80000004000B6 -:040EFC0000004000B2 -:040F000000004000AD -:040F040000004000A9 -:040F080000004000A5 -:040F0C0000004000A1 -:040F1000000040009D -:040F14000000400099 -:040F18000000400095 -:040F1C000000400091 -:040F2000000040008D -:040F24000000400089 -:040F28000000400085 -:040F2C000000400081 -:040F3000000040007D -:040F34000000400079 -:040F38000000400075 -:040F3C000000400071 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_4.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_4.hex deleted file mode 100644 index 8d9baf110abf454d594f9aae6ac37e0d087ea75f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_4.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400040000004000B8 -:0400080000004000B4 -:04000C0000004000B0 -:0400100000004000AC -:0400140000004000A8 -:0400180000004000A4 -:04001C0000004000A0 -:04002000000040009C -:040024000000400098 -:040028000000400094 -:04002C000000400090 -:04003000000040008C -:040034000000400088 -:040038000000400084 -:04003C000000400080 -:04004000000040007C -:040044000000400078 -:040048000000400074 -:04004C000000400070 -:04005000000040006C -:040054000000400068 -:040058000000400064 -:04005C000000400060 -:04006000000040005C -:040064000000400058 -:040068000000400054 -:04006C000000400050 -:04007000000040004C -:040074000000400048 -:040078000000400044 -:04007C000000400040 -:04008000000040003C -:040084000000400038 -:040088000000400034 -:04008C000000400030 -:04009000000040002C -:040094000000400028 -:040098000000400024 -:04009C000000400020 -:0400A000000040001C -:0400A4000000400018 -:0400A8000000400014 -:0400AC000000400010 -:0400B000000040000C -:0400B4000000400008 -:0400B8000000400004 -:0400BC000000400000 -:0400C00000004000FC -:0400C40000004000F8 -:0400C80000004000F4 -:0400CC0000004000F0 -:0400D00000004000EC -:0400D40000004000E8 -:0400D80000004000E4 -:0400DC0000004000E0 -:0400E00000004000DC -:0400E40000004000D8 -:0400E80000004000D4 -:0400EC0000004000D0 -:0400F00000004000CC -:0400F40000004000C8 -:0400F80000004000C4 -:0400FC0000004000C0 -:0401000000004000BB -:0401040000004000B7 -:0401080000004000B3 -:04010C0000004000AF -:0401100000004000AB -:0401140000004000A7 -:0401180000004000A3 -:04011C00000040009F -:04012000000040009B -:040124000000400097 -:040128000000400093 -:04012C00000040008F -:04013000000040008B -:040134000000400087 -:040138000000400083 -:04013C00000040007F -:04014000000040007B -:040144000000400077 -:040148000000400073 -:04014C00000040006F -:04015000000040006B -:040154000000400067 -:040158000000400063 -:04015C00000040005F -:04016000000040005B -:040164000000400057 -:040168000000400053 -:04016C00000040004F -:04017000000040004B -:040174000000400047 -:040178000000400043 -:04017C00000040003F -:04018000000040003B -:040184000000400037 -:040188000000400033 -:04018C00000040002F -:04019000000040002B -:040194000000400027 -:040198000000400023 -:04019C00000040001F -:0401A000000040001B -:0401A4000000400017 -:0401A8000000400013 -:0401AC00000040000F -:0401B000000040000B -:0401B4000000400007 -:0401B8000000400003 -:0401BC0000004000FF -:0401C00000004000FB -:0401C40000004000F7 -:0401C80000004000F3 -:0401CC0000004000EF -:0401D00000004000EB -:0401D40000004000E7 -:0401D80000004000E3 -:0401DC0000004000DF -:0401E00000004000DB -:0401E40000004000D7 -:0401E80000004000D3 -:0401EC0000004000CF -:0401F00000004000CB -:0401F40000004000C7 -:0401F80000004000C3 -:0401FC0000004000BF -:0402000000004000BA -:0402040000004000B6 -:0402080000004000B2 -:04020C0000004000AE -:0402100000004000AA -:0402140000004000A6 -:0402180000004000A2 -:04021C00000040009E -:04022000000040009A -:040224000000400096 -:040228000000400092 -:04022C00000040008E -:04023000000040008A -:040234000000400086 -:040238000000400082 -:04023C00000040007E -:04024000000040007A -:040244000000400076 -:040248000000400072 -:04024C00000040006E -:04025000000040006A -:040254000000400066 -:040258000000400062 -:04025C00000040005E -:04026000000040005A -:040264000000400056 -:040268000000400052 -:04026C00000040004E -:04027000000040004A -:040274000000400046 -:040278000000400042 -:04027C00000040003E -:04028000000040003A -:040284000000400036 -:040288000000400032 -:04028C00000040002E -:04029000000040002A -:040294000000400026 -:040298000000400022 -:04029C00000040001E -:0402A000000040001A -:0402A4000000400016 -:0402A8000000400012 -:0402AC00000040000E -:0402B000000040000A -:0402B4000000400006 -:0402B8000000400002 -:0402BC0000004000FE -:0402C00000004000FA -:0402C40000004000F6 -:0402C80000004000F2 -:0402CC0000004000EE -:0402D00000004000EA -:0402D40000004000E6 -:0402D80000004000E2 -:0402DC0000004000DE -:0402E00000004000DA -:0402E40000004000D6 -:0402E80000004000D2 -:0402EC0000004000CE -:0402F00000004000CA -:0402F40000004000C6 -:0402F80000004000C2 -:0402FC0000004000BE -:0403000000004000B9 -:0403040000004000B5 -:0403080000004000B1 -:04030C0000004000AD -:0403100000004000A9 -:0403140000004000A5 -:0403180000004000A1 -:04031C00000040009D -:040320000000400099 -:040324000000400095 -:040328000000400091 -:04032C00000040008D -:040330000000400089 -:040334000000400085 -:040338000000400081 -:04033C00000040007D -:040340000000400079 -:040344000000400075 -:040348000000400071 -:04034C00000040006D -:040350000000400069 -:040354000000400065 -:040358000000400061 -:04035C00000040005D -:040360000000400059 -:040364000000400055 -:040368000000400051 -:04036C00000040004D -:040370000000400049 -:040374000000400045 -:040378000000400041 -:04037C00000040003D -:040380000000400039 -:040384000000400035 -:040388000000400031 -:04038C00000040002D -:040390000000400029 -:040394000000400025 -:040398000000400021 -:04039C00000040001D -:0403A0000000400019 -:0403A4000000400015 -:0403A8000000400011 -:0403AC00000040000D -:0403B0000000400009 -:0403B4000000400005 -:0403B8000000400001 -:0403BC0000004000FD -:0403C00000004000F9 -:0403C40000004000F5 -:0403C80000004000F1 -:0403CC0000004000ED -:0403D00000004000E9 -:0403D40000004000E5 -:0403D80000004000E1 -:0403DC0000004000DD -:0403E00000004000D9 -:0403E40000004000D5 -:0403E80000004000D1 -:0403EC0000004000CD -:0403F00000004000C9 -:0403F40000004000C5 -:0403F80000004000C1 -:0403FC0000004000BD -:0404000000004000B8 -:0404040000004000B4 -:0404080000004000B0 -:04040C0000004000AC -:0404100000004000A8 -:0404140000004000A4 -:0404180000004000A0 -:04041C00000040009C -:040420000000400098 -:040424000000400094 -:040428000000400090 -:04042C00000040008C -:040430000000400088 -:040434000000400084 -:040438000000400080 -:04043C00000040007C -:040440000000400078 -:040444000000400074 -:040448000000400070 -:04044C00000040006C -:040450000000400068 -:040454000000400064 -:040458000000400060 -:04045C00000040005C -:040460000000400058 -:040464000000400054 -:040468000000400050 -:04046C00000040004C -:040470000000400048 -:040474000000400044 -:040478000000400040 -:04047C00000040003C -:040480000000400038 -:040484000000400034 -:040488000000400030 -:04048C00000040002C -:040490000000400028 -:040494000000400024 -:040498000000400020 -:04049C00000040001C -:0404A0000000400018 -:0404A4000000400014 -:0404A8000000400010 -:0404AC00000040000C -:0404B0000000400008 -:0404B4000000400004 -:0404B8000000400000 -:0404BC0000004000FC -:0404C00000004000F8 -:0404C40000004000F4 -:0404C80000004000F0 -:0404CC0000004000EC -:0404D00000004000E8 -:0404D40000004000E4 -:0404D80000004000E0 -:0404DC0000004000DC -:0404E00000004000D8 -:0404E40000004000D4 -:0404E80000004000D0 -:0404EC0000004000CC -:0404F00000004000C8 -:0404F40000004000C4 -:0404F80000004000C0 -:0404FC0000004000BC -:0405000000004000B7 -:0405040000004000B3 -:0405080000004000AF -:04050C0000004000AB -:0405100000004000A7 -:0405140000004000A3 -:04051800000040009F -:04051C00000040009B -:040520000000400097 -:040524000000400093 -:04052800000040008F -:04052C00000040008B -:040530000000400087 -:040534000000400083 -:04053800000040007F -:04053C00000040007B -:040540000000400077 -:040544000000400073 -:04054800000040006F -:04054C00000040006B -:040550000000400067 -:040554000000400063 -:04055800000040005F -:04055C00000040005B -:040560000000400057 -:040564000000400053 -:04056800000040004F -:04056C00000040004B -:040570000000400047 -:040574000000400043 -:04057800000040003F -:04057C00000040003B -:040580000000400037 -:040584000000400033 -:04058800000040002F -:04058C00000040002B -:040590000000400027 -:040594000000400023 -:04059800000040001F -:04059C00000040001B -:0405A0000000400017 -:0405A4000000400013 -:0405A800000040000F -:0405AC00000040000B -:0405B0000000400007 -:0405B4000000400003 -:0405B80000004000FF -:0405BC0000004000FB -:0405C00000004000F7 -:0405C40000004000F3 -:0405C80000004000EF -:0405CC0000004000EB -:0405D00000004000E7 -:0405D40000004000E3 -:0405D80000004000DF -:0405DC0000004000DB -:0405E00000004000D7 -:0405E40000004000D3 -:0405E80000004000CF -:0405EC0000004000CB -:0405F00000004000C7 -:0405F40000004000C3 -:0405F80000004000BF -:0405FC0000004000BB -:0406000000004000B6 -:0406040000004000B2 -:0406080000004000AE -:04060C0000004000AA -:0406100000004000A6 -:0406140000004000A2 -:04061800000040009E -:04061C00000040009A -:040620000000400096 -:040624000000400092 -:04062800000040008E -:04062C00000040008A -:040630000000400086 -:040634000000400082 -:04063800000040007E -:04063C00000040007A -:040640000000400076 -:040644000000400072 -:04064800000040006E -:04064C00000040006A -:040650000000400066 -:040654000000400062 -:04065800000040005E -:04065C00000040005A -:040660000000400056 -:040664000000400052 -:04066800000040004E -:04066C00000040004A -:040670000000400046 -:040674000000400042 -:04067800000040003E -:04067C00000040003A -:040680000000400036 -:040684000000400032 -:04068800000040002E -:04068C00000040002A -:040690000000400026 -:040694000000400022 -:04069800000040001E -:04069C00000040001A -:0406A0000000400016 -:0406A4000000400012 -:0406A800000040000E -:0406AC00000040000A -:0406B0000000400006 -:0406B4000000400002 -:0406B80000004000FE -:0406BC0000004000FA -:0406C00000004000F6 -:0406C40000004000F2 -:0406C80000004000EE -:0406CC0000004000EA -:0406D00000004000E6 -:0406D40000004000E2 -:0406D80000004000DE -:0406DC0000004000DA -:0406E00000004000D6 -:0406E40000004000D2 -:0406E80000004000CE -:0406EC0000004000CA -:0406F00000004000C6 -:0406F40000004000C2 -:0406F80000004000BE -:0406FC0000004000BA -:0407000000004000B5 -:0407040000004000B1 -:0407080000004000AD -:04070C0000004000A9 -:0407100000004000A5 -:0407140000004000A1 -:04071800000040009D -:04071C000000400099 -:040720000000400095 -:040724000000400091 -:04072800000040008D -:04072C000000400089 -:040730000000400085 -:040734000000400081 -:04073800000040007D -:04073C000000400079 -:040740000000400075 -:040744000000400071 -:04074800000040006D -:04074C000000400069 -:040750000000400065 -:040754000000400061 -:04075800000040005D -:04075C000000400059 -:040760000000400055 -:040764000000400051 -:04076800000040004D -:04076C000000400049 -:040770000000400045 -:040774000000400041 -:04077800000040003D -:04077C000000400039 -:040780000000400035 -:040784000000400031 -:04078800000040002D -:04078C000000400029 -:040790000000400025 -:040794000000400021 -:04079800000040001D -:04079C000000400019 -:0407A0000000400015 -:0407A4000000400011 -:0407A800000040000D -:0407AC000000400009 -:0407B0000000400005 -:0407B4000000400001 -:0407B80000004000FD -:0407BC0000004000F9 -:0407C00000004000F5 -:0407C40000004000F1 -:0407C80000004000ED -:0407CC0000004000E9 -:0407D00000004000E5 -:0407D40000004000E1 -:0407D80000004000DD -:0407DC0000004000D9 -:0407E00000004000D5 -:0407E40000004000D1 -:0407E80000004000CD -:0407EC0000004000C9 -:0407F00000004000C5 -:0407F40000004000C1 -:0407F80000004000BD -:0407FC0000004000B9 -:0408000000004000B4 -:0408040000004000B0 -:0408080000004000AC -:04080C0000004000A8 -:0408100000004000A4 -:0408140000004000A0 -:04081800000040009C -:04081C000000400098 -:040820000000400094 -:040824000000400090 -:04082800000040008C -:04082C000000400088 -:040830000000400084 -:040834000000400080 -:04083800000040007C -:04083C000000400078 -:040840000000400074 -:040844000000400070 -:04084800000040006C -:04084C000000400068 -:040850000000400064 -:040854000000400060 -:04085800000040005C -:04085C000000400058 -:040860000000400054 -:040864000000400050 -:04086800000040004C -:04086C000000400048 -:040870000000400044 -:040874000000400040 -:04087800000040003C -:04087C000000400038 -:040880000000400034 -:040884000000400030 -:04088800000040002C -:04088C000000400028 -:040890000000400024 -:040894000000400020 -:04089800000040001C -:04089C000000400018 -:0408A0000000400014 -:0408A4000000400010 -:0408A800000040000C -:0408AC000000400008 -:0408B0000000400004 -:0408B4000000400000 -:0408B80000004000FC -:0408BC0000004000F8 -:0408C00000004000F4 -:0408C40000004000F0 -:0408C80000004000EC -:0408CC0000004000E8 -:0408D00000004000E4 -:0408D40000004000E0 -:0408D80000004000DC -:0408DC0000004000D8 -:0408E00000004000D4 -:0408E40000004000D0 -:0408E80000004000CC -:0408EC0000004000C8 -:0408F00000004000C4 -:0408F40000004000C0 -:0408F80000004000BC -:0408FC0000004000B8 -:0409000000004000B3 -:0409040000004000AF -:0409080000004000AB -:04090C0000004000A7 -:0409100000004000A3 -:04091400000040009F -:04091800000040009B -:04091C000000400097 -:040920000000400093 -:04092400000040008F -:04092800000040008B -:04092C000000400087 -:040930000000400083 -:04093400000040007F -:04093800000040007B -:04093C000000400077 -:040940000000400073 -:04094400000040006F -:04094800000040006B -:04094C000000400067 -:040950000000400063 -:04095400000040005F -:04095800000040005B -:04095C000000400057 -:040960000000400053 -:04096400000040004F -:04096800000040004B -:04096C000000400047 -:040970000000400043 -:04097400000040003F -:04097800000040003B -:04097C000000400037 -:040980000000400033 -:04098400000040002F -:04098800000040002B -:04098C000000400027 -:040990000000400023 -:04099400000040001F -:04099800000040001B -:04099C000000400017 -:0409A0000000400013 -:0409A400000040000F -:0409A800000040000B -:0409AC000000400007 -:0409B0000000400003 -:0409B40000004000FF -:0409B80000004000FB -:0409BC0000004000F7 -:0409C00000004000F3 -:0409C40000004000EF -:0409C80000004000EB -:0409CC0000004000E7 -:0409D00000004000E3 -:0409D40000004000DF -:0409D80000004000DB -:0409DC0000004000D7 -:0409E00000004000D3 -:0409E40000004000CF -:0409E80000004000CB -:0409EC0000004000C7 -:0409F00000004000C3 -:0409F40000004000BF -:0409F80000004000BB -:0409FC0000004000B7 -:040A000000004000B2 -:040A040000004000AE -:040A080000004000AA -:040A0C0000004000A6 -:040A100000004000A2 -:040A1400000040009E -:040A1800000040009A -:040A1C000000400096 -:040A20000000400092 -:040A2400000040008E -:040A2800000040008A -:040A2C000000400086 -:040A30000000400082 -:040A3400000040007E -:040A3800000040007A -:040A3C000000400076 -:040A40000000400072 -:040A4400000040006E -:040A4800000040006A -:040A4C000000400066 -:040A50000000400062 -:040A5400000040005E -:040A5800000040005A -:040A5C000000400056 -:040A60000000400052 -:040A6400000040004E -:040A6800000040004A -:040A6C000000400046 -:040A70000000400042 -:040A7400000040003E -:040A7800000040003A -:040A7C000000400036 -:040A80000000400032 -:040A8400000040002E -:040A8800000040002A -:040A8C000000400026 -:040A90000000400022 -:040A9400000040001E -:040A9800000040001A -:040A9C000000400016 -:040AA0000000400012 -:040AA400000040000E -:040AA800000040000A -:040AAC000000400006 -:040AB0000000400002 -:040AB40000004000FE -:040AB80000004000FA -:040ABC0000004000F6 -:040AC00000004000F2 -:040AC40000004000EE -:040AC80000004000EA -:040ACC0000004000E6 -:040AD00000004000E2 -:040AD40000004000DE -:040AD80000004000DA -:040ADC0000004000D6 -:040AE00000004000D2 -:040AE40000004000CE -:040AE80000004000CA -:040AEC0000004000C6 -:040AF00000004000C2 -:040AF40000004000BE -:040AF80000004000BA -:040AFC0000004000B6 -:040B000000004000B1 -:040B040000004000AD -:040B080000004000A9 -:040B0C0000004000A5 -:040B100000004000A1 -:040B1400000040009D -:040B18000000400099 -:040B1C000000400095 -:040B20000000400091 -:040B2400000040008D -:040B28000000400089 -:040B2C000000400085 -:040B30000000400081 -:040B3400000040007D -:040B38000000400079 -:040B3C000000400075 -:040B40000000400071 -:040B4400000040006D -:040B48000000400069 -:040B4C000000400065 -:040B50000000400061 -:040B5400000040005D -:040B58000000400059 -:040B5C000000400055 -:040B60000000400051 -:040B6400000040004D -:040B68000000400049 -:040B6C000000400045 -:040B70000000400041 -:040B7400000040003D -:040B78000000400039 -:040B7C000000400035 -:040B80000000400031 -:040B8400000040002D -:040B88000000400029 -:040B8C000000400025 -:040B90000000400021 -:040B9400000040001D -:040B98000000400019 -:040B9C000000400015 -:040BA0000000400011 -:040BA400000040000D -:040BA8000000400009 -:040BAC000000400005 -:040BB0000000400001 -:040BB40000004000FD -:040BB80000004000F9 -:040BBC0000004000F5 -:040BC00000004000F1 -:040BC40000004000ED -:040BC80000004000E9 -:040BCC0000004000E5 -:040BD00000004000E1 -:040BD40000004000DD -:040BD80000004000D9 -:040BDC0000004000D5 -:040BE00000004000D1 -:040BE40000004000CD -:040BE80000004000C9 -:040BEC0000004000C5 -:040BF00000004000C1 -:040BF40000004000BD -:040BF80000004000B9 -:040BFC0000004000B5 -:040C000000004000B0 -:040C040000004000AC -:040C080000004000A8 -:040C0C0000004000A4 -:040C100000004000A0 -:040C1400000040009C -:040C18000000400098 -:040C1C000000400094 -:040C20000000400090 -:040C2400000040008C -:040C28000000400088 -:040C2C000000400084 -:040C30000000400080 -:040C3400000040007C -:040C38000000400078 -:040C3C000000400074 -:040C40000000400070 -:040C4400000040006C -:040C48000000400068 -:040C4C000000400064 -:040C50000000400060 -:040C5400000040005C -:040C58000000400058 -:040C5C000000400054 -:040C60000000400050 -:040C6400000040004C -:040C68000000400048 -:040C6C000000400044 -:040C70000000400040 -:040C7400000040003C -:040C78000000400038 -:040C7C000000400034 -:040C80000000400030 -:040C8400000040002C -:040C88000000400028 -:040C8C000000400024 -:040C90000000400020 -:040C9400000040001C -:040C98000000400018 -:040C9C000000400014 -:040CA0000000400010 -:040CA400000040000C -:040CA8000000400008 -:040CAC000000400004 -:040CB0000000400000 -:040CB40000004000FC -:040CB80000004000F8 -:040CBC0000004000F4 -:040CC00000004000F0 -:040CC40000004000EC -:040CC80000004000E8 -:040CCC0000004000E4 -:040CD00000004000E0 -:040CD40000004000DC -:040CD80000004000D8 -:040CDC0000004000D4 -:040CE00000004000D0 -:040CE40000004000CC -:040CE80000004000C8 -:040CEC0000004000C4 -:040CF00000004000C0 -:040CF40000004000BC -:040CF80000004000B8 -:040CFC0000004000B4 -:040D000000004000AF -:040D040000004000AB -:040D080000004000A7 -:040D0C0000004000A3 -:040D1000000040009F -:040D1400000040009B -:040D18000000400097 -:040D1C000000400093 -:040D2000000040008F -:040D2400000040008B -:040D28000000400087 -:040D2C000000400083 -:040D3000000040007F -:040D3400000040007B -:040D38000000400077 -:040D3C000000400073 -:040D4000000040006F -:040D4400000040006B -:040D48000000400067 -:040D4C000000400063 -:040D5000000040005F -:040D5400000040005B -:040D58000000400057 -:040D5C000000400053 -:040D6000000040004F -:040D6400000040004B -:040D68000000400047 -:040D6C000000400043 -:040D7000000040003F -:040D7400000040003B -:040D78000000400037 -:040D7C000000400033 -:040D8000000040002F -:040D8400000040002B -:040D88000000400027 -:040D8C000000400023 -:040D9000000040001F -:040D9400000040001B -:040D98000000400017 -:040D9C000000400013 -:040DA000000040000F -:040DA400000040000B -:040DA8000000400007 -:040DAC000000400003 -:040DB00000004000FF -:040DB40000004000FB -:040DB80000004000F7 -:040DBC0000004000F3 -:040DC00000004000EF -:040DC40000004000EB -:040DC80000004000E7 -:040DCC0000004000E3 -:040DD00000004000DF -:040DD40000004000DB -:040DD80000004000D7 -:040DDC0000004000D3 -:040DE00000004000CF -:040DE40000004000CB -:040DE80000004000C7 -:040DEC0000004000C3 -:040DF00000004000BF -:040DF40000004000BB -:040DF80000004000B7 -:040DFC0000004000B3 -:040E000000004000AE -:040E040000004000AA -:040E080000004000A6 -:040E0C0000004000A2 -:040E1000000040009E -:040E1400000040009A -:040E18000000400096 -:040E1C000000400092 -:040E2000000040008E -:040E2400000040008A -:040E28000000400086 -:040E2C000000400082 -:040E3000000040007E -:040E3400000040007A -:040E38000000400076 -:040E3C000000400072 -:040E4000000040006E -:040E4400000040006A -:040E48000000400066 -:040E4C000000400062 -:040E5000000040005E -:040E5400000040005A -:040E58000000400056 -:040E5C000000400052 -:040E6000000040004E -:040E6400000040004A -:040E68000000400046 -:040E6C000000400042 -:040E7000000040003E -:040E7400000040003A -:040E78000000400036 -:040E7C000000400032 -:040E8000000040002E -:040E8400000040002A -:040E88000000400026 -:040E8C000000400022 -:040E9000000040001E -:040E9400000040001A -:040E98000000400016 -:040E9C000000400012 -:040EA000000040000E -:040EA400000040000A -:040EA8000000400006 -:040EAC000000400002 -:040EB00000004000FE -:040EB40000004000FA -:040EB80000004000F6 -:040EBC0000004000F2 -:040EC00000004000EE -:040EC40000004000EA -:040EC80000004000E6 -:040ECC0000004000E2 -:040ED00000004000DE -:040ED40000004000DA -:040ED80000004000D6 -:040EDC0000004000D2 -:040EE00000004000CE -:040EE40000004000CA -:040EE80000004000C6 -:040EEC0000004000C2 -:040EF00000004000BE -:040EF40000004000BA -:040EF80000004000B6 -:040EFC0000004000B2 -:040F000000004000AD -:040F040000004000A9 -:040F080000004000A5 -:040F0C0000004000A1 -:040F1000000040009D -:040F14000000400099 -:040F18000000400095 -:040F1C000000400091 -:040F2000000040008D -:040F24000000400089 -:040F28000000400085 -:040F2C000000400081 -:040F3000000040007D -:040F34000000400079 -:040F38000000400075 -:040F3C000000400071 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_5.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_5.hex deleted file mode 100644 index 8d9baf110abf454d594f9aae6ac37e0d087ea75f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_5.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400040000004000B8 -:0400080000004000B4 -:04000C0000004000B0 -:0400100000004000AC -:0400140000004000A8 -:0400180000004000A4 -:04001C0000004000A0 -:04002000000040009C -:040024000000400098 -:040028000000400094 -:04002C000000400090 -:04003000000040008C -:040034000000400088 -:040038000000400084 -:04003C000000400080 -:04004000000040007C -:040044000000400078 -:040048000000400074 -:04004C000000400070 -:04005000000040006C -:040054000000400068 -:040058000000400064 -:04005C000000400060 -:04006000000040005C -:040064000000400058 -:040068000000400054 -:04006C000000400050 -:04007000000040004C -:040074000000400048 -:040078000000400044 -:04007C000000400040 -:04008000000040003C -:040084000000400038 -:040088000000400034 -:04008C000000400030 -:04009000000040002C -:040094000000400028 -:040098000000400024 -:04009C000000400020 -:0400A000000040001C -:0400A4000000400018 -:0400A8000000400014 -:0400AC000000400010 -:0400B000000040000C -:0400B4000000400008 -:0400B8000000400004 -:0400BC000000400000 -:0400C00000004000FC -:0400C40000004000F8 -:0400C80000004000F4 -:0400CC0000004000F0 -:0400D00000004000EC -:0400D40000004000E8 -:0400D80000004000E4 -:0400DC0000004000E0 -:0400E00000004000DC -:0400E40000004000D8 -:0400E80000004000D4 -:0400EC0000004000D0 -:0400F00000004000CC -:0400F40000004000C8 -:0400F80000004000C4 -:0400FC0000004000C0 -:0401000000004000BB -:0401040000004000B7 -:0401080000004000B3 -:04010C0000004000AF -:0401100000004000AB -:0401140000004000A7 -:0401180000004000A3 -:04011C00000040009F -:04012000000040009B -:040124000000400097 -:040128000000400093 -:04012C00000040008F -:04013000000040008B -:040134000000400087 -:040138000000400083 -:04013C00000040007F -:04014000000040007B -:040144000000400077 -:040148000000400073 -:04014C00000040006F -:04015000000040006B -:040154000000400067 -:040158000000400063 -:04015C00000040005F -:04016000000040005B -:040164000000400057 -:040168000000400053 -:04016C00000040004F -:04017000000040004B -:040174000000400047 -:040178000000400043 -:04017C00000040003F -:04018000000040003B -:040184000000400037 -:040188000000400033 -:04018C00000040002F -:04019000000040002B -:040194000000400027 -:040198000000400023 -:04019C00000040001F -:0401A000000040001B -:0401A4000000400017 -:0401A8000000400013 -:0401AC00000040000F -:0401B000000040000B -:0401B4000000400007 -:0401B8000000400003 -:0401BC0000004000FF -:0401C00000004000FB -:0401C40000004000F7 -:0401C80000004000F3 -:0401CC0000004000EF -:0401D00000004000EB -:0401D40000004000E7 -:0401D80000004000E3 -:0401DC0000004000DF -:0401E00000004000DB -:0401E40000004000D7 -:0401E80000004000D3 -:0401EC0000004000CF -:0401F00000004000CB -:0401F40000004000C7 -:0401F80000004000C3 -:0401FC0000004000BF -:0402000000004000BA -:0402040000004000B6 -:0402080000004000B2 -:04020C0000004000AE -:0402100000004000AA -:0402140000004000A6 -:0402180000004000A2 -:04021C00000040009E -:04022000000040009A -:040224000000400096 -:040228000000400092 -:04022C00000040008E -:04023000000040008A -:040234000000400086 -:040238000000400082 -:04023C00000040007E -:04024000000040007A -:040244000000400076 -:040248000000400072 -:04024C00000040006E -:04025000000040006A -:040254000000400066 -:040258000000400062 -:04025C00000040005E -:04026000000040005A -:040264000000400056 -:040268000000400052 -:04026C00000040004E -:04027000000040004A -:040274000000400046 -:040278000000400042 -:04027C00000040003E -:04028000000040003A -:040284000000400036 -:040288000000400032 -:04028C00000040002E -:04029000000040002A -:040294000000400026 -:040298000000400022 -:04029C00000040001E -:0402A000000040001A -:0402A4000000400016 -:0402A8000000400012 -:0402AC00000040000E -:0402B000000040000A -:0402B4000000400006 -:0402B8000000400002 -:0402BC0000004000FE -:0402C00000004000FA -:0402C40000004000F6 -:0402C80000004000F2 -:0402CC0000004000EE -:0402D00000004000EA -:0402D40000004000E6 -:0402D80000004000E2 -:0402DC0000004000DE -:0402E00000004000DA -:0402E40000004000D6 -:0402E80000004000D2 -:0402EC0000004000CE -:0402F00000004000CA -:0402F40000004000C6 -:0402F80000004000C2 -:0402FC0000004000BE -:0403000000004000B9 -:0403040000004000B5 -:0403080000004000B1 -:04030C0000004000AD -:0403100000004000A9 -:0403140000004000A5 -:0403180000004000A1 -:04031C00000040009D -:040320000000400099 -:040324000000400095 -:040328000000400091 -:04032C00000040008D -:040330000000400089 -:040334000000400085 -:040338000000400081 -:04033C00000040007D -:040340000000400079 -:040344000000400075 -:040348000000400071 -:04034C00000040006D -:040350000000400069 -:040354000000400065 -:040358000000400061 -:04035C00000040005D -:040360000000400059 -:040364000000400055 -:040368000000400051 -:04036C00000040004D -:040370000000400049 -:040374000000400045 -:040378000000400041 -:04037C00000040003D -:040380000000400039 -:040384000000400035 -:040388000000400031 -:04038C00000040002D -:040390000000400029 -:040394000000400025 -:040398000000400021 -:04039C00000040001D -:0403A0000000400019 -:0403A4000000400015 -:0403A8000000400011 -:0403AC00000040000D -:0403B0000000400009 -:0403B4000000400005 -:0403B8000000400001 -:0403BC0000004000FD -:0403C00000004000F9 -:0403C40000004000F5 -:0403C80000004000F1 -:0403CC0000004000ED -:0403D00000004000E9 -:0403D40000004000E5 -:0403D80000004000E1 -:0403DC0000004000DD -:0403E00000004000D9 -:0403E40000004000D5 -:0403E80000004000D1 -:0403EC0000004000CD -:0403F00000004000C9 -:0403F40000004000C5 -:0403F80000004000C1 -:0403FC0000004000BD -:0404000000004000B8 -:0404040000004000B4 -:0404080000004000B0 -:04040C0000004000AC -:0404100000004000A8 -:0404140000004000A4 -:0404180000004000A0 -:04041C00000040009C -:040420000000400098 -:040424000000400094 -:040428000000400090 -:04042C00000040008C -:040430000000400088 -:040434000000400084 -:040438000000400080 -:04043C00000040007C -:040440000000400078 -:040444000000400074 -:040448000000400070 -:04044C00000040006C -:040450000000400068 -:040454000000400064 -:040458000000400060 -:04045C00000040005C -:040460000000400058 -:040464000000400054 -:040468000000400050 -:04046C00000040004C -:040470000000400048 -:040474000000400044 -:040478000000400040 -:04047C00000040003C -:040480000000400038 -:040484000000400034 -:040488000000400030 -:04048C00000040002C -:040490000000400028 -:040494000000400024 -:040498000000400020 -:04049C00000040001C -:0404A0000000400018 -:0404A4000000400014 -:0404A8000000400010 -:0404AC00000040000C -:0404B0000000400008 -:0404B4000000400004 -:0404B8000000400000 -:0404BC0000004000FC -:0404C00000004000F8 -:0404C40000004000F4 -:0404C80000004000F0 -:0404CC0000004000EC -:0404D00000004000E8 -:0404D40000004000E4 -:0404D80000004000E0 -:0404DC0000004000DC -:0404E00000004000D8 -:0404E40000004000D4 -:0404E80000004000D0 -:0404EC0000004000CC -:0404F00000004000C8 -:0404F40000004000C4 -:0404F80000004000C0 -:0404FC0000004000BC -:0405000000004000B7 -:0405040000004000B3 -:0405080000004000AF -:04050C0000004000AB -:0405100000004000A7 -:0405140000004000A3 -:04051800000040009F -:04051C00000040009B -:040520000000400097 -:040524000000400093 -:04052800000040008F -:04052C00000040008B -:040530000000400087 -:040534000000400083 -:04053800000040007F -:04053C00000040007B -:040540000000400077 -:040544000000400073 -:04054800000040006F -:04054C00000040006B -:040550000000400067 -:040554000000400063 -:04055800000040005F -:04055C00000040005B -:040560000000400057 -:040564000000400053 -:04056800000040004F -:04056C00000040004B -:040570000000400047 -:040574000000400043 -:04057800000040003F -:04057C00000040003B -:040580000000400037 -:040584000000400033 -:04058800000040002F -:04058C00000040002B -:040590000000400027 -:040594000000400023 -:04059800000040001F -:04059C00000040001B -:0405A0000000400017 -:0405A4000000400013 -:0405A800000040000F -:0405AC00000040000B -:0405B0000000400007 -:0405B4000000400003 -:0405B80000004000FF -:0405BC0000004000FB -:0405C00000004000F7 -:0405C40000004000F3 -:0405C80000004000EF -:0405CC0000004000EB -:0405D00000004000E7 -:0405D40000004000E3 -:0405D80000004000DF -:0405DC0000004000DB -:0405E00000004000D7 -:0405E40000004000D3 -:0405E80000004000CF -:0405EC0000004000CB -:0405F00000004000C7 -:0405F40000004000C3 -:0405F80000004000BF -:0405FC0000004000BB -:0406000000004000B6 -:0406040000004000B2 -:0406080000004000AE -:04060C0000004000AA -:0406100000004000A6 -:0406140000004000A2 -:04061800000040009E -:04061C00000040009A -:040620000000400096 -:040624000000400092 -:04062800000040008E -:04062C00000040008A -:040630000000400086 -:040634000000400082 -:04063800000040007E -:04063C00000040007A -:040640000000400076 -:040644000000400072 -:04064800000040006E -:04064C00000040006A -:040650000000400066 -:040654000000400062 -:04065800000040005E -:04065C00000040005A -:040660000000400056 -:040664000000400052 -:04066800000040004E -:04066C00000040004A -:040670000000400046 -:040674000000400042 -:04067800000040003E -:04067C00000040003A -:040680000000400036 -:040684000000400032 -:04068800000040002E -:04068C00000040002A -:040690000000400026 -:040694000000400022 -:04069800000040001E -:04069C00000040001A -:0406A0000000400016 -:0406A4000000400012 -:0406A800000040000E -:0406AC00000040000A -:0406B0000000400006 -:0406B4000000400002 -:0406B80000004000FE -:0406BC0000004000FA -:0406C00000004000F6 -:0406C40000004000F2 -:0406C80000004000EE -:0406CC0000004000EA -:0406D00000004000E6 -:0406D40000004000E2 -:0406D80000004000DE -:0406DC0000004000DA -:0406E00000004000D6 -:0406E40000004000D2 -:0406E80000004000CE -:0406EC0000004000CA -:0406F00000004000C6 -:0406F40000004000C2 -:0406F80000004000BE -:0406FC0000004000BA -:0407000000004000B5 -:0407040000004000B1 -:0407080000004000AD -:04070C0000004000A9 -:0407100000004000A5 -:0407140000004000A1 -:04071800000040009D -:04071C000000400099 -:040720000000400095 -:040724000000400091 -:04072800000040008D -:04072C000000400089 -:040730000000400085 -:040734000000400081 -:04073800000040007D -:04073C000000400079 -:040740000000400075 -:040744000000400071 -:04074800000040006D -:04074C000000400069 -:040750000000400065 -:040754000000400061 -:04075800000040005D -:04075C000000400059 -:040760000000400055 -:040764000000400051 -:04076800000040004D -:04076C000000400049 -:040770000000400045 -:040774000000400041 -:04077800000040003D -:04077C000000400039 -:040780000000400035 -:040784000000400031 -:04078800000040002D -:04078C000000400029 -:040790000000400025 -:040794000000400021 -:04079800000040001D -:04079C000000400019 -:0407A0000000400015 -:0407A4000000400011 -:0407A800000040000D -:0407AC000000400009 -:0407B0000000400005 -:0407B4000000400001 -:0407B80000004000FD -:0407BC0000004000F9 -:0407C00000004000F5 -:0407C40000004000F1 -:0407C80000004000ED -:0407CC0000004000E9 -:0407D00000004000E5 -:0407D40000004000E1 -:0407D80000004000DD -:0407DC0000004000D9 -:0407E00000004000D5 -:0407E40000004000D1 -:0407E80000004000CD -:0407EC0000004000C9 -:0407F00000004000C5 -:0407F40000004000C1 -:0407F80000004000BD -:0407FC0000004000B9 -:0408000000004000B4 -:0408040000004000B0 -:0408080000004000AC -:04080C0000004000A8 -:0408100000004000A4 -:0408140000004000A0 -:04081800000040009C -:04081C000000400098 -:040820000000400094 -:040824000000400090 -:04082800000040008C -:04082C000000400088 -:040830000000400084 -:040834000000400080 -:04083800000040007C -:04083C000000400078 -:040840000000400074 -:040844000000400070 -:04084800000040006C -:04084C000000400068 -:040850000000400064 -:040854000000400060 -:04085800000040005C -:04085C000000400058 -:040860000000400054 -:040864000000400050 -:04086800000040004C -:04086C000000400048 -:040870000000400044 -:040874000000400040 -:04087800000040003C -:04087C000000400038 -:040880000000400034 -:040884000000400030 -:04088800000040002C -:04088C000000400028 -:040890000000400024 -:040894000000400020 -:04089800000040001C -:04089C000000400018 -:0408A0000000400014 -:0408A4000000400010 -:0408A800000040000C -:0408AC000000400008 -:0408B0000000400004 -:0408B4000000400000 -:0408B80000004000FC -:0408BC0000004000F8 -:0408C00000004000F4 -:0408C40000004000F0 -:0408C80000004000EC -:0408CC0000004000E8 -:0408D00000004000E4 -:0408D40000004000E0 -:0408D80000004000DC -:0408DC0000004000D8 -:0408E00000004000D4 -:0408E40000004000D0 -:0408E80000004000CC -:0408EC0000004000C8 -:0408F00000004000C4 -:0408F40000004000C0 -:0408F80000004000BC -:0408FC0000004000B8 -:0409000000004000B3 -:0409040000004000AF -:0409080000004000AB -:04090C0000004000A7 -:0409100000004000A3 -:04091400000040009F -:04091800000040009B -:04091C000000400097 -:040920000000400093 -:04092400000040008F -:04092800000040008B -:04092C000000400087 -:040930000000400083 -:04093400000040007F -:04093800000040007B -:04093C000000400077 -:040940000000400073 -:04094400000040006F -:04094800000040006B -:04094C000000400067 -:040950000000400063 -:04095400000040005F -:04095800000040005B -:04095C000000400057 -:040960000000400053 -:04096400000040004F -:04096800000040004B -:04096C000000400047 -:040970000000400043 -:04097400000040003F -:04097800000040003B -:04097C000000400037 -:040980000000400033 -:04098400000040002F -:04098800000040002B -:04098C000000400027 -:040990000000400023 -:04099400000040001F -:04099800000040001B -:04099C000000400017 -:0409A0000000400013 -:0409A400000040000F -:0409A800000040000B -:0409AC000000400007 -:0409B0000000400003 -:0409B40000004000FF -:0409B80000004000FB -:0409BC0000004000F7 -:0409C00000004000F3 -:0409C40000004000EF -:0409C80000004000EB -:0409CC0000004000E7 -:0409D00000004000E3 -:0409D40000004000DF -:0409D80000004000DB -:0409DC0000004000D7 -:0409E00000004000D3 -:0409E40000004000CF -:0409E80000004000CB -:0409EC0000004000C7 -:0409F00000004000C3 -:0409F40000004000BF -:0409F80000004000BB -:0409FC0000004000B7 -:040A000000004000B2 -:040A040000004000AE -:040A080000004000AA -:040A0C0000004000A6 -:040A100000004000A2 -:040A1400000040009E -:040A1800000040009A -:040A1C000000400096 -:040A20000000400092 -:040A2400000040008E -:040A2800000040008A -:040A2C000000400086 -:040A30000000400082 -:040A3400000040007E -:040A3800000040007A -:040A3C000000400076 -:040A40000000400072 -:040A4400000040006E -:040A4800000040006A -:040A4C000000400066 -:040A50000000400062 -:040A5400000040005E -:040A5800000040005A -:040A5C000000400056 -:040A60000000400052 -:040A6400000040004E -:040A6800000040004A -:040A6C000000400046 -:040A70000000400042 -:040A7400000040003E -:040A7800000040003A -:040A7C000000400036 -:040A80000000400032 -:040A8400000040002E -:040A8800000040002A -:040A8C000000400026 -:040A90000000400022 -:040A9400000040001E -:040A9800000040001A -:040A9C000000400016 -:040AA0000000400012 -:040AA400000040000E -:040AA800000040000A -:040AAC000000400006 -:040AB0000000400002 -:040AB40000004000FE -:040AB80000004000FA -:040ABC0000004000F6 -:040AC00000004000F2 -:040AC40000004000EE -:040AC80000004000EA -:040ACC0000004000E6 -:040AD00000004000E2 -:040AD40000004000DE -:040AD80000004000DA -:040ADC0000004000D6 -:040AE00000004000D2 -:040AE40000004000CE -:040AE80000004000CA -:040AEC0000004000C6 -:040AF00000004000C2 -:040AF40000004000BE -:040AF80000004000BA -:040AFC0000004000B6 -:040B000000004000B1 -:040B040000004000AD -:040B080000004000A9 -:040B0C0000004000A5 -:040B100000004000A1 -:040B1400000040009D -:040B18000000400099 -:040B1C000000400095 -:040B20000000400091 -:040B2400000040008D -:040B28000000400089 -:040B2C000000400085 -:040B30000000400081 -:040B3400000040007D -:040B38000000400079 -:040B3C000000400075 -:040B40000000400071 -:040B4400000040006D -:040B48000000400069 -:040B4C000000400065 -:040B50000000400061 -:040B5400000040005D -:040B58000000400059 -:040B5C000000400055 -:040B60000000400051 -:040B6400000040004D -:040B68000000400049 -:040B6C000000400045 -:040B70000000400041 -:040B7400000040003D -:040B78000000400039 -:040B7C000000400035 -:040B80000000400031 -:040B8400000040002D -:040B88000000400029 -:040B8C000000400025 -:040B90000000400021 -:040B9400000040001D -:040B98000000400019 -:040B9C000000400015 -:040BA0000000400011 -:040BA400000040000D -:040BA8000000400009 -:040BAC000000400005 -:040BB0000000400001 -:040BB40000004000FD -:040BB80000004000F9 -:040BBC0000004000F5 -:040BC00000004000F1 -:040BC40000004000ED -:040BC80000004000E9 -:040BCC0000004000E5 -:040BD00000004000E1 -:040BD40000004000DD -:040BD80000004000D9 -:040BDC0000004000D5 -:040BE00000004000D1 -:040BE40000004000CD -:040BE80000004000C9 -:040BEC0000004000C5 -:040BF00000004000C1 -:040BF40000004000BD -:040BF80000004000B9 -:040BFC0000004000B5 -:040C000000004000B0 -:040C040000004000AC -:040C080000004000A8 -:040C0C0000004000A4 -:040C100000004000A0 -:040C1400000040009C -:040C18000000400098 -:040C1C000000400094 -:040C20000000400090 -:040C2400000040008C -:040C28000000400088 -:040C2C000000400084 -:040C30000000400080 -:040C3400000040007C -:040C38000000400078 -:040C3C000000400074 -:040C40000000400070 -:040C4400000040006C -:040C48000000400068 -:040C4C000000400064 -:040C50000000400060 -:040C5400000040005C -:040C58000000400058 -:040C5C000000400054 -:040C60000000400050 -:040C6400000040004C -:040C68000000400048 -:040C6C000000400044 -:040C70000000400040 -:040C7400000040003C -:040C78000000400038 -:040C7C000000400034 -:040C80000000400030 -:040C8400000040002C -:040C88000000400028 -:040C8C000000400024 -:040C90000000400020 -:040C9400000040001C -:040C98000000400018 -:040C9C000000400014 -:040CA0000000400010 -:040CA400000040000C -:040CA8000000400008 -:040CAC000000400004 -:040CB0000000400000 -:040CB40000004000FC -:040CB80000004000F8 -:040CBC0000004000F4 -:040CC00000004000F0 -:040CC40000004000EC -:040CC80000004000E8 -:040CCC0000004000E4 -:040CD00000004000E0 -:040CD40000004000DC -:040CD80000004000D8 -:040CDC0000004000D4 -:040CE00000004000D0 -:040CE40000004000CC -:040CE80000004000C8 -:040CEC0000004000C4 -:040CF00000004000C0 -:040CF40000004000BC -:040CF80000004000B8 -:040CFC0000004000B4 -:040D000000004000AF -:040D040000004000AB -:040D080000004000A7 -:040D0C0000004000A3 -:040D1000000040009F -:040D1400000040009B -:040D18000000400097 -:040D1C000000400093 -:040D2000000040008F -:040D2400000040008B -:040D28000000400087 -:040D2C000000400083 -:040D3000000040007F -:040D3400000040007B -:040D38000000400077 -:040D3C000000400073 -:040D4000000040006F -:040D4400000040006B -:040D48000000400067 -:040D4C000000400063 -:040D5000000040005F -:040D5400000040005B -:040D58000000400057 -:040D5C000000400053 -:040D6000000040004F -:040D6400000040004B -:040D68000000400047 -:040D6C000000400043 -:040D7000000040003F -:040D7400000040003B -:040D78000000400037 -:040D7C000000400033 -:040D8000000040002F -:040D8400000040002B -:040D88000000400027 -:040D8C000000400023 -:040D9000000040001F -:040D9400000040001B -:040D98000000400017 -:040D9C000000400013 -:040DA000000040000F -:040DA400000040000B -:040DA8000000400007 -:040DAC000000400003 -:040DB00000004000FF -:040DB40000004000FB -:040DB80000004000F7 -:040DBC0000004000F3 -:040DC00000004000EF -:040DC40000004000EB -:040DC80000004000E7 -:040DCC0000004000E3 -:040DD00000004000DF -:040DD40000004000DB -:040DD80000004000D7 -:040DDC0000004000D3 -:040DE00000004000CF -:040DE40000004000CB -:040DE80000004000C7 -:040DEC0000004000C3 -:040DF00000004000BF -:040DF40000004000BB -:040DF80000004000B7 -:040DFC0000004000B3 -:040E000000004000AE -:040E040000004000AA -:040E080000004000A6 -:040E0C0000004000A2 -:040E1000000040009E -:040E1400000040009A -:040E18000000400096 -:040E1C000000400092 -:040E2000000040008E -:040E2400000040008A -:040E28000000400086 -:040E2C000000400082 -:040E3000000040007E -:040E3400000040007A -:040E38000000400076 -:040E3C000000400072 -:040E4000000040006E -:040E4400000040006A -:040E48000000400066 -:040E4C000000400062 -:040E5000000040005E -:040E5400000040005A -:040E58000000400056 -:040E5C000000400052 -:040E6000000040004E -:040E6400000040004A -:040E68000000400046 -:040E6C000000400042 -:040E7000000040003E -:040E7400000040003A -:040E78000000400036 -:040E7C000000400032 -:040E8000000040002E -:040E8400000040002A -:040E88000000400026 -:040E8C000000400022 -:040E9000000040001E -:040E9400000040001A -:040E98000000400016 -:040E9C000000400012 -:040EA000000040000E -:040EA400000040000A -:040EA8000000400006 -:040EAC000000400002 -:040EB00000004000FE -:040EB40000004000FA -:040EB80000004000F6 -:040EBC0000004000F2 -:040EC00000004000EE -:040EC40000004000EA -:040EC80000004000E6 -:040ECC0000004000E2 -:040ED00000004000DE -:040ED40000004000DA -:040ED80000004000D6 -:040EDC0000004000D2 -:040EE00000004000CE -:040EE40000004000CA -:040EE80000004000C6 -:040EEC0000004000C2 -:040EF00000004000BE -:040EF40000004000BA -:040EF80000004000B6 -:040EFC0000004000B2 -:040F000000004000AD -:040F040000004000A9 -:040F080000004000A5 -:040F0C0000004000A1 -:040F1000000040009D -:040F14000000400099 -:040F18000000400095 -:040F1C000000400091 -:040F2000000040008D -:040F24000000400089 -:040F28000000400085 -:040F2C000000400081 -:040F3000000040007D -:040F34000000400079 -:040F38000000400075 -:040F3C000000400071 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_6.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_6.hex deleted file mode 100644 index 8d9baf110abf454d594f9aae6ac37e0d087ea75f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_6.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400040000004000B8 -:0400080000004000B4 -:04000C0000004000B0 -:0400100000004000AC -:0400140000004000A8 -:0400180000004000A4 -:04001C0000004000A0 -:04002000000040009C -:040024000000400098 -:040028000000400094 -:04002C000000400090 -:04003000000040008C -:040034000000400088 -:040038000000400084 -:04003C000000400080 -:04004000000040007C -:040044000000400078 -:040048000000400074 -:04004C000000400070 -:04005000000040006C -:040054000000400068 -:040058000000400064 -:04005C000000400060 -:04006000000040005C -:040064000000400058 -:040068000000400054 -:04006C000000400050 -:04007000000040004C -:040074000000400048 -:040078000000400044 -:04007C000000400040 -:04008000000040003C -:040084000000400038 -:040088000000400034 -:04008C000000400030 -:04009000000040002C -:040094000000400028 -:040098000000400024 -:04009C000000400020 -:0400A000000040001C -:0400A4000000400018 -:0400A8000000400014 -:0400AC000000400010 -:0400B000000040000C -:0400B4000000400008 -:0400B8000000400004 -:0400BC000000400000 -:0400C00000004000FC -:0400C40000004000F8 -:0400C80000004000F4 -:0400CC0000004000F0 -:0400D00000004000EC -:0400D40000004000E8 -:0400D80000004000E4 -:0400DC0000004000E0 -:0400E00000004000DC -:0400E40000004000D8 -:0400E80000004000D4 -:0400EC0000004000D0 -:0400F00000004000CC -:0400F40000004000C8 -:0400F80000004000C4 -:0400FC0000004000C0 -:0401000000004000BB -:0401040000004000B7 -:0401080000004000B3 -:04010C0000004000AF -:0401100000004000AB -:0401140000004000A7 -:0401180000004000A3 -:04011C00000040009F -:04012000000040009B -:040124000000400097 -:040128000000400093 -:04012C00000040008F -:04013000000040008B -:040134000000400087 -:040138000000400083 -:04013C00000040007F -:04014000000040007B -:040144000000400077 -:040148000000400073 -:04014C00000040006F -:04015000000040006B -:040154000000400067 -:040158000000400063 -:04015C00000040005F -:04016000000040005B -:040164000000400057 -:040168000000400053 -:04016C00000040004F -:04017000000040004B -:040174000000400047 -:040178000000400043 -:04017C00000040003F -:04018000000040003B -:040184000000400037 -:040188000000400033 -:04018C00000040002F -:04019000000040002B -:040194000000400027 -:040198000000400023 -:04019C00000040001F -:0401A000000040001B -:0401A4000000400017 -:0401A8000000400013 -:0401AC00000040000F -:0401B000000040000B -:0401B4000000400007 -:0401B8000000400003 -:0401BC0000004000FF -:0401C00000004000FB -:0401C40000004000F7 -:0401C80000004000F3 -:0401CC0000004000EF -:0401D00000004000EB -:0401D40000004000E7 -:0401D80000004000E3 -:0401DC0000004000DF -:0401E00000004000DB -:0401E40000004000D7 -:0401E80000004000D3 -:0401EC0000004000CF -:0401F00000004000CB -:0401F40000004000C7 -:0401F80000004000C3 -:0401FC0000004000BF -:0402000000004000BA -:0402040000004000B6 -:0402080000004000B2 -:04020C0000004000AE -:0402100000004000AA -:0402140000004000A6 -:0402180000004000A2 -:04021C00000040009E -:04022000000040009A -:040224000000400096 -:040228000000400092 -:04022C00000040008E -:04023000000040008A -:040234000000400086 -:040238000000400082 -:04023C00000040007E -:04024000000040007A -:040244000000400076 -:040248000000400072 -:04024C00000040006E -:04025000000040006A -:040254000000400066 -:040258000000400062 -:04025C00000040005E -:04026000000040005A -:040264000000400056 -:040268000000400052 -:04026C00000040004E -:04027000000040004A -:040274000000400046 -:040278000000400042 -:04027C00000040003E -:04028000000040003A -:040284000000400036 -:040288000000400032 -:04028C00000040002E -:04029000000040002A -:040294000000400026 -:040298000000400022 -:04029C00000040001E -:0402A000000040001A -:0402A4000000400016 -:0402A8000000400012 -:0402AC00000040000E -:0402B000000040000A -:0402B4000000400006 -:0402B8000000400002 -:0402BC0000004000FE -:0402C00000004000FA -:0402C40000004000F6 -:0402C80000004000F2 -:0402CC0000004000EE -:0402D00000004000EA -:0402D40000004000E6 -:0402D80000004000E2 -:0402DC0000004000DE -:0402E00000004000DA -:0402E40000004000D6 -:0402E80000004000D2 -:0402EC0000004000CE -:0402F00000004000CA -:0402F40000004000C6 -:0402F80000004000C2 -:0402FC0000004000BE -:0403000000004000B9 -:0403040000004000B5 -:0403080000004000B1 -:04030C0000004000AD -:0403100000004000A9 -:0403140000004000A5 -:0403180000004000A1 -:04031C00000040009D -:040320000000400099 -:040324000000400095 -:040328000000400091 -:04032C00000040008D -:040330000000400089 -:040334000000400085 -:040338000000400081 -:04033C00000040007D -:040340000000400079 -:040344000000400075 -:040348000000400071 -:04034C00000040006D -:040350000000400069 -:040354000000400065 -:040358000000400061 -:04035C00000040005D -:040360000000400059 -:040364000000400055 -:040368000000400051 -:04036C00000040004D -:040370000000400049 -:040374000000400045 -:040378000000400041 -:04037C00000040003D -:040380000000400039 -:040384000000400035 -:040388000000400031 -:04038C00000040002D -:040390000000400029 -:040394000000400025 -:040398000000400021 -:04039C00000040001D -:0403A0000000400019 -:0403A4000000400015 -:0403A8000000400011 -:0403AC00000040000D -:0403B0000000400009 -:0403B4000000400005 -:0403B8000000400001 -:0403BC0000004000FD -:0403C00000004000F9 -:0403C40000004000F5 -:0403C80000004000F1 -:0403CC0000004000ED -:0403D00000004000E9 -:0403D40000004000E5 -:0403D80000004000E1 -:0403DC0000004000DD -:0403E00000004000D9 -:0403E40000004000D5 -:0403E80000004000D1 -:0403EC0000004000CD -:0403F00000004000C9 -:0403F40000004000C5 -:0403F80000004000C1 -:0403FC0000004000BD -:0404000000004000B8 -:0404040000004000B4 -:0404080000004000B0 -:04040C0000004000AC -:0404100000004000A8 -:0404140000004000A4 -:0404180000004000A0 -:04041C00000040009C -:040420000000400098 -:040424000000400094 -:040428000000400090 -:04042C00000040008C -:040430000000400088 -:040434000000400084 -:040438000000400080 -:04043C00000040007C -:040440000000400078 -:040444000000400074 -:040448000000400070 -:04044C00000040006C -:040450000000400068 -:040454000000400064 -:040458000000400060 -:04045C00000040005C -:040460000000400058 -:040464000000400054 -:040468000000400050 -:04046C00000040004C -:040470000000400048 -:040474000000400044 -:040478000000400040 -:04047C00000040003C -:040480000000400038 -:040484000000400034 -:040488000000400030 -:04048C00000040002C -:040490000000400028 -:040494000000400024 -:040498000000400020 -:04049C00000040001C -:0404A0000000400018 -:0404A4000000400014 -:0404A8000000400010 -:0404AC00000040000C -:0404B0000000400008 -:0404B4000000400004 -:0404B8000000400000 -:0404BC0000004000FC -:0404C00000004000F8 -:0404C40000004000F4 -:0404C80000004000F0 -:0404CC0000004000EC -:0404D00000004000E8 -:0404D40000004000E4 -:0404D80000004000E0 -:0404DC0000004000DC -:0404E00000004000D8 -:0404E40000004000D4 -:0404E80000004000D0 -:0404EC0000004000CC -:0404F00000004000C8 -:0404F40000004000C4 -:0404F80000004000C0 -:0404FC0000004000BC -:0405000000004000B7 -:0405040000004000B3 -:0405080000004000AF -:04050C0000004000AB -:0405100000004000A7 -:0405140000004000A3 -:04051800000040009F -:04051C00000040009B -:040520000000400097 -:040524000000400093 -:04052800000040008F -:04052C00000040008B -:040530000000400087 -:040534000000400083 -:04053800000040007F -:04053C00000040007B -:040540000000400077 -:040544000000400073 -:04054800000040006F -:04054C00000040006B -:040550000000400067 -:040554000000400063 -:04055800000040005F -:04055C00000040005B -:040560000000400057 -:040564000000400053 -:04056800000040004F -:04056C00000040004B -:040570000000400047 -:040574000000400043 -:04057800000040003F -:04057C00000040003B -:040580000000400037 -:040584000000400033 -:04058800000040002F -:04058C00000040002B -:040590000000400027 -:040594000000400023 -:04059800000040001F -:04059C00000040001B -:0405A0000000400017 -:0405A4000000400013 -:0405A800000040000F -:0405AC00000040000B -:0405B0000000400007 -:0405B4000000400003 -:0405B80000004000FF -:0405BC0000004000FB -:0405C00000004000F7 -:0405C40000004000F3 -:0405C80000004000EF -:0405CC0000004000EB -:0405D00000004000E7 -:0405D40000004000E3 -:0405D80000004000DF -:0405DC0000004000DB -:0405E00000004000D7 -:0405E40000004000D3 -:0405E80000004000CF -:0405EC0000004000CB -:0405F00000004000C7 -:0405F40000004000C3 -:0405F80000004000BF -:0405FC0000004000BB -:0406000000004000B6 -:0406040000004000B2 -:0406080000004000AE -:04060C0000004000AA -:0406100000004000A6 -:0406140000004000A2 -:04061800000040009E -:04061C00000040009A -:040620000000400096 -:040624000000400092 -:04062800000040008E -:04062C00000040008A -:040630000000400086 -:040634000000400082 -:04063800000040007E -:04063C00000040007A -:040640000000400076 -:040644000000400072 -:04064800000040006E -:04064C00000040006A -:040650000000400066 -:040654000000400062 -:04065800000040005E -:04065C00000040005A -:040660000000400056 -:040664000000400052 -:04066800000040004E -:04066C00000040004A -:040670000000400046 -:040674000000400042 -:04067800000040003E -:04067C00000040003A -:040680000000400036 -:040684000000400032 -:04068800000040002E -:04068C00000040002A -:040690000000400026 -:040694000000400022 -:04069800000040001E -:04069C00000040001A -:0406A0000000400016 -:0406A4000000400012 -:0406A800000040000E -:0406AC00000040000A -:0406B0000000400006 -:0406B4000000400002 -:0406B80000004000FE -:0406BC0000004000FA -:0406C00000004000F6 -:0406C40000004000F2 -:0406C80000004000EE -:0406CC0000004000EA -:0406D00000004000E6 -:0406D40000004000E2 -:0406D80000004000DE -:0406DC0000004000DA -:0406E00000004000D6 -:0406E40000004000D2 -:0406E80000004000CE -:0406EC0000004000CA -:0406F00000004000C6 -:0406F40000004000C2 -:0406F80000004000BE -:0406FC0000004000BA -:0407000000004000B5 -:0407040000004000B1 -:0407080000004000AD -:04070C0000004000A9 -:0407100000004000A5 -:0407140000004000A1 -:04071800000040009D -:04071C000000400099 -:040720000000400095 -:040724000000400091 -:04072800000040008D -:04072C000000400089 -:040730000000400085 -:040734000000400081 -:04073800000040007D -:04073C000000400079 -:040740000000400075 -:040744000000400071 -:04074800000040006D -:04074C000000400069 -:040750000000400065 -:040754000000400061 -:04075800000040005D -:04075C000000400059 -:040760000000400055 -:040764000000400051 -:04076800000040004D -:04076C000000400049 -:040770000000400045 -:040774000000400041 -:04077800000040003D -:04077C000000400039 -:040780000000400035 -:040784000000400031 -:04078800000040002D -:04078C000000400029 -:040790000000400025 -:040794000000400021 -:04079800000040001D -:04079C000000400019 -:0407A0000000400015 -:0407A4000000400011 -:0407A800000040000D -:0407AC000000400009 -:0407B0000000400005 -:0407B4000000400001 -:0407B80000004000FD -:0407BC0000004000F9 -:0407C00000004000F5 -:0407C40000004000F1 -:0407C80000004000ED -:0407CC0000004000E9 -:0407D00000004000E5 -:0407D40000004000E1 -:0407D80000004000DD -:0407DC0000004000D9 -:0407E00000004000D5 -:0407E40000004000D1 -:0407E80000004000CD -:0407EC0000004000C9 -:0407F00000004000C5 -:0407F40000004000C1 -:0407F80000004000BD -:0407FC0000004000B9 -:0408000000004000B4 -:0408040000004000B0 -:0408080000004000AC -:04080C0000004000A8 -:0408100000004000A4 -:0408140000004000A0 -:04081800000040009C -:04081C000000400098 -:040820000000400094 -:040824000000400090 -:04082800000040008C -:04082C000000400088 -:040830000000400084 -:040834000000400080 -:04083800000040007C -:04083C000000400078 -:040840000000400074 -:040844000000400070 -:04084800000040006C -:04084C000000400068 -:040850000000400064 -:040854000000400060 -:04085800000040005C -:04085C000000400058 -:040860000000400054 -:040864000000400050 -:04086800000040004C -:04086C000000400048 -:040870000000400044 -:040874000000400040 -:04087800000040003C -:04087C000000400038 -:040880000000400034 -:040884000000400030 -:04088800000040002C -:04088C000000400028 -:040890000000400024 -:040894000000400020 -:04089800000040001C -:04089C000000400018 -:0408A0000000400014 -:0408A4000000400010 -:0408A800000040000C -:0408AC000000400008 -:0408B0000000400004 -:0408B4000000400000 -:0408B80000004000FC -:0408BC0000004000F8 -:0408C00000004000F4 -:0408C40000004000F0 -:0408C80000004000EC -:0408CC0000004000E8 -:0408D00000004000E4 -:0408D40000004000E0 -:0408D80000004000DC -:0408DC0000004000D8 -:0408E00000004000D4 -:0408E40000004000D0 -:0408E80000004000CC -:0408EC0000004000C8 -:0408F00000004000C4 -:0408F40000004000C0 -:0408F80000004000BC -:0408FC0000004000B8 -:0409000000004000B3 -:0409040000004000AF -:0409080000004000AB -:04090C0000004000A7 -:0409100000004000A3 -:04091400000040009F -:04091800000040009B -:04091C000000400097 -:040920000000400093 -:04092400000040008F -:04092800000040008B -:04092C000000400087 -:040930000000400083 -:04093400000040007F -:04093800000040007B -:04093C000000400077 -:040940000000400073 -:04094400000040006F -:04094800000040006B -:04094C000000400067 -:040950000000400063 -:04095400000040005F -:04095800000040005B -:04095C000000400057 -:040960000000400053 -:04096400000040004F -:04096800000040004B -:04096C000000400047 -:040970000000400043 -:04097400000040003F -:04097800000040003B -:04097C000000400037 -:040980000000400033 -:04098400000040002F -:04098800000040002B -:04098C000000400027 -:040990000000400023 -:04099400000040001F -:04099800000040001B -:04099C000000400017 -:0409A0000000400013 -:0409A400000040000F -:0409A800000040000B -:0409AC000000400007 -:0409B0000000400003 -:0409B40000004000FF -:0409B80000004000FB -:0409BC0000004000F7 -:0409C00000004000F3 -:0409C40000004000EF -:0409C80000004000EB -:0409CC0000004000E7 -:0409D00000004000E3 -:0409D40000004000DF -:0409D80000004000DB -:0409DC0000004000D7 -:0409E00000004000D3 -:0409E40000004000CF -:0409E80000004000CB -:0409EC0000004000C7 -:0409F00000004000C3 -:0409F40000004000BF -:0409F80000004000BB -:0409FC0000004000B7 -:040A000000004000B2 -:040A040000004000AE -:040A080000004000AA -:040A0C0000004000A6 -:040A100000004000A2 -:040A1400000040009E -:040A1800000040009A -:040A1C000000400096 -:040A20000000400092 -:040A2400000040008E -:040A2800000040008A -:040A2C000000400086 -:040A30000000400082 -:040A3400000040007E -:040A3800000040007A -:040A3C000000400076 -:040A40000000400072 -:040A4400000040006E -:040A4800000040006A -:040A4C000000400066 -:040A50000000400062 -:040A5400000040005E -:040A5800000040005A -:040A5C000000400056 -:040A60000000400052 -:040A6400000040004E -:040A6800000040004A -:040A6C000000400046 -:040A70000000400042 -:040A7400000040003E -:040A7800000040003A -:040A7C000000400036 -:040A80000000400032 -:040A8400000040002E -:040A8800000040002A -:040A8C000000400026 -:040A90000000400022 -:040A9400000040001E -:040A9800000040001A -:040A9C000000400016 -:040AA0000000400012 -:040AA400000040000E -:040AA800000040000A -:040AAC000000400006 -:040AB0000000400002 -:040AB40000004000FE -:040AB80000004000FA -:040ABC0000004000F6 -:040AC00000004000F2 -:040AC40000004000EE -:040AC80000004000EA -:040ACC0000004000E6 -:040AD00000004000E2 -:040AD40000004000DE -:040AD80000004000DA -:040ADC0000004000D6 -:040AE00000004000D2 -:040AE40000004000CE -:040AE80000004000CA -:040AEC0000004000C6 -:040AF00000004000C2 -:040AF40000004000BE -:040AF80000004000BA -:040AFC0000004000B6 -:040B000000004000B1 -:040B040000004000AD -:040B080000004000A9 -:040B0C0000004000A5 -:040B100000004000A1 -:040B1400000040009D -:040B18000000400099 -:040B1C000000400095 -:040B20000000400091 -:040B2400000040008D -:040B28000000400089 -:040B2C000000400085 -:040B30000000400081 -:040B3400000040007D -:040B38000000400079 -:040B3C000000400075 -:040B40000000400071 -:040B4400000040006D -:040B48000000400069 -:040B4C000000400065 -:040B50000000400061 -:040B5400000040005D -:040B58000000400059 -:040B5C000000400055 -:040B60000000400051 -:040B6400000040004D -:040B68000000400049 -:040B6C000000400045 -:040B70000000400041 -:040B7400000040003D -:040B78000000400039 -:040B7C000000400035 -:040B80000000400031 -:040B8400000040002D -:040B88000000400029 -:040B8C000000400025 -:040B90000000400021 -:040B9400000040001D -:040B98000000400019 -:040B9C000000400015 -:040BA0000000400011 -:040BA400000040000D -:040BA8000000400009 -:040BAC000000400005 -:040BB0000000400001 -:040BB40000004000FD -:040BB80000004000F9 -:040BBC0000004000F5 -:040BC00000004000F1 -:040BC40000004000ED -:040BC80000004000E9 -:040BCC0000004000E5 -:040BD00000004000E1 -:040BD40000004000DD -:040BD80000004000D9 -:040BDC0000004000D5 -:040BE00000004000D1 -:040BE40000004000CD -:040BE80000004000C9 -:040BEC0000004000C5 -:040BF00000004000C1 -:040BF40000004000BD -:040BF80000004000B9 -:040BFC0000004000B5 -:040C000000004000B0 -:040C040000004000AC -:040C080000004000A8 -:040C0C0000004000A4 -:040C100000004000A0 -:040C1400000040009C -:040C18000000400098 -:040C1C000000400094 -:040C20000000400090 -:040C2400000040008C -:040C28000000400088 -:040C2C000000400084 -:040C30000000400080 -:040C3400000040007C -:040C38000000400078 -:040C3C000000400074 -:040C40000000400070 -:040C4400000040006C -:040C48000000400068 -:040C4C000000400064 -:040C50000000400060 -:040C5400000040005C -:040C58000000400058 -:040C5C000000400054 -:040C60000000400050 -:040C6400000040004C -:040C68000000400048 -:040C6C000000400044 -:040C70000000400040 -:040C7400000040003C -:040C78000000400038 -:040C7C000000400034 -:040C80000000400030 -:040C8400000040002C -:040C88000000400028 -:040C8C000000400024 -:040C90000000400020 -:040C9400000040001C -:040C98000000400018 -:040C9C000000400014 -:040CA0000000400010 -:040CA400000040000C -:040CA8000000400008 -:040CAC000000400004 -:040CB0000000400000 -:040CB40000004000FC -:040CB80000004000F8 -:040CBC0000004000F4 -:040CC00000004000F0 -:040CC40000004000EC -:040CC80000004000E8 -:040CCC0000004000E4 -:040CD00000004000E0 -:040CD40000004000DC -:040CD80000004000D8 -:040CDC0000004000D4 -:040CE00000004000D0 -:040CE40000004000CC -:040CE80000004000C8 -:040CEC0000004000C4 -:040CF00000004000C0 -:040CF40000004000BC -:040CF80000004000B8 -:040CFC0000004000B4 -:040D000000004000AF -:040D040000004000AB -:040D080000004000A7 -:040D0C0000004000A3 -:040D1000000040009F -:040D1400000040009B -:040D18000000400097 -:040D1C000000400093 -:040D2000000040008F -:040D2400000040008B -:040D28000000400087 -:040D2C000000400083 -:040D3000000040007F -:040D3400000040007B -:040D38000000400077 -:040D3C000000400073 -:040D4000000040006F -:040D4400000040006B -:040D48000000400067 -:040D4C000000400063 -:040D5000000040005F -:040D5400000040005B -:040D58000000400057 -:040D5C000000400053 -:040D6000000040004F -:040D6400000040004B -:040D68000000400047 -:040D6C000000400043 -:040D7000000040003F -:040D7400000040003B -:040D78000000400037 -:040D7C000000400033 -:040D8000000040002F -:040D8400000040002B -:040D88000000400027 -:040D8C000000400023 -:040D9000000040001F -:040D9400000040001B -:040D98000000400017 -:040D9C000000400013 -:040DA000000040000F -:040DA400000040000B -:040DA8000000400007 -:040DAC000000400003 -:040DB00000004000FF -:040DB40000004000FB -:040DB80000004000F7 -:040DBC0000004000F3 -:040DC00000004000EF -:040DC40000004000EB -:040DC80000004000E7 -:040DCC0000004000E3 -:040DD00000004000DF -:040DD40000004000DB -:040DD80000004000D7 -:040DDC0000004000D3 -:040DE00000004000CF -:040DE40000004000CB -:040DE80000004000C7 -:040DEC0000004000C3 -:040DF00000004000BF -:040DF40000004000BB -:040DF80000004000B7 -:040DFC0000004000B3 -:040E000000004000AE -:040E040000004000AA -:040E080000004000A6 -:040E0C0000004000A2 -:040E1000000040009E -:040E1400000040009A -:040E18000000400096 -:040E1C000000400092 -:040E2000000040008E -:040E2400000040008A -:040E28000000400086 -:040E2C000000400082 -:040E3000000040007E -:040E3400000040007A -:040E38000000400076 -:040E3C000000400072 -:040E4000000040006E -:040E4400000040006A -:040E48000000400066 -:040E4C000000400062 -:040E5000000040005E -:040E5400000040005A -:040E58000000400056 -:040E5C000000400052 -:040E6000000040004E -:040E6400000040004A -:040E68000000400046 -:040E6C000000400042 -:040E7000000040003E -:040E7400000040003A -:040E78000000400036 -:040E7C000000400032 -:040E8000000040002E -:040E8400000040002A -:040E88000000400026 -:040E8C000000400022 -:040E9000000040001E -:040E9400000040001A -:040E98000000400016 -:040E9C000000400012 -:040EA000000040000E -:040EA400000040000A -:040EA8000000400006 -:040EAC000000400002 -:040EB00000004000FE -:040EB40000004000FA -:040EB80000004000F6 -:040EBC0000004000F2 -:040EC00000004000EE -:040EC40000004000EA -:040EC80000004000E6 -:040ECC0000004000E2 -:040ED00000004000DE -:040ED40000004000DA -:040ED80000004000D6 -:040EDC0000004000D2 -:040EE00000004000CE -:040EE40000004000CA -:040EE80000004000C6 -:040EEC0000004000C2 -:040EF00000004000BE -:040EF40000004000BA -:040EF80000004000B6 -:040EFC0000004000B2 -:040F000000004000AD -:040F040000004000A9 -:040F080000004000A5 -:040F0C0000004000A1 -:040F1000000040009D -:040F14000000400099 -:040F18000000400095 -:040F1C000000400091 -:040F2000000040008D -:040F24000000400089 -:040F28000000400085 -:040F2C000000400081 -:040F3000000040007D -:040F34000000400079 -:040F38000000400075 -:040F3C000000400071 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_7.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_7.hex deleted file mode 100644 index 8d9baf110abf454d594f9aae6ac37e0d087ea75f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_7.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400040000004000B8 -:0400080000004000B4 -:04000C0000004000B0 -:0400100000004000AC -:0400140000004000A8 -:0400180000004000A4 -:04001C0000004000A0 -:04002000000040009C -:040024000000400098 -:040028000000400094 -:04002C000000400090 -:04003000000040008C -:040034000000400088 -:040038000000400084 -:04003C000000400080 -:04004000000040007C -:040044000000400078 -:040048000000400074 -:04004C000000400070 -:04005000000040006C -:040054000000400068 -:040058000000400064 -:04005C000000400060 -:04006000000040005C -:040064000000400058 -:040068000000400054 -:04006C000000400050 -:04007000000040004C -:040074000000400048 -:040078000000400044 -:04007C000000400040 -:04008000000040003C -:040084000000400038 -:040088000000400034 -:04008C000000400030 -:04009000000040002C -:040094000000400028 -:040098000000400024 -:04009C000000400020 -:0400A000000040001C -:0400A4000000400018 -:0400A8000000400014 -:0400AC000000400010 -:0400B000000040000C -:0400B4000000400008 -:0400B8000000400004 -:0400BC000000400000 -:0400C00000004000FC -:0400C40000004000F8 -:0400C80000004000F4 -:0400CC0000004000F0 -:0400D00000004000EC -:0400D40000004000E8 -:0400D80000004000E4 -:0400DC0000004000E0 -:0400E00000004000DC -:0400E40000004000D8 -:0400E80000004000D4 -:0400EC0000004000D0 -:0400F00000004000CC -:0400F40000004000C8 -:0400F80000004000C4 -:0400FC0000004000C0 -:0401000000004000BB -:0401040000004000B7 -:0401080000004000B3 -:04010C0000004000AF -:0401100000004000AB -:0401140000004000A7 -:0401180000004000A3 -:04011C00000040009F -:04012000000040009B -:040124000000400097 -:040128000000400093 -:04012C00000040008F -:04013000000040008B -:040134000000400087 -:040138000000400083 -:04013C00000040007F -:04014000000040007B -:040144000000400077 -:040148000000400073 -:04014C00000040006F -:04015000000040006B -:040154000000400067 -:040158000000400063 -:04015C00000040005F -:04016000000040005B -:040164000000400057 -:040168000000400053 -:04016C00000040004F -:04017000000040004B -:040174000000400047 -:040178000000400043 -:04017C00000040003F -:04018000000040003B -:040184000000400037 -:040188000000400033 -:04018C00000040002F -:04019000000040002B -:040194000000400027 -:040198000000400023 -:04019C00000040001F -:0401A000000040001B -:0401A4000000400017 -:0401A8000000400013 -:0401AC00000040000F -:0401B000000040000B -:0401B4000000400007 -:0401B8000000400003 -:0401BC0000004000FF -:0401C00000004000FB -:0401C40000004000F7 -:0401C80000004000F3 -:0401CC0000004000EF -:0401D00000004000EB -:0401D40000004000E7 -:0401D80000004000E3 -:0401DC0000004000DF -:0401E00000004000DB -:0401E40000004000D7 -:0401E80000004000D3 -:0401EC0000004000CF -:0401F00000004000CB -:0401F40000004000C7 -:0401F80000004000C3 -:0401FC0000004000BF -:0402000000004000BA -:0402040000004000B6 -:0402080000004000B2 -:04020C0000004000AE -:0402100000004000AA -:0402140000004000A6 -:0402180000004000A2 -:04021C00000040009E -:04022000000040009A -:040224000000400096 -:040228000000400092 -:04022C00000040008E -:04023000000040008A -:040234000000400086 -:040238000000400082 -:04023C00000040007E -:04024000000040007A -:040244000000400076 -:040248000000400072 -:04024C00000040006E -:04025000000040006A -:040254000000400066 -:040258000000400062 -:04025C00000040005E -:04026000000040005A -:040264000000400056 -:040268000000400052 -:04026C00000040004E -:04027000000040004A -:040274000000400046 -:040278000000400042 -:04027C00000040003E -:04028000000040003A -:040284000000400036 -:040288000000400032 -:04028C00000040002E -:04029000000040002A -:040294000000400026 -:040298000000400022 -:04029C00000040001E -:0402A000000040001A -:0402A4000000400016 -:0402A8000000400012 -:0402AC00000040000E -:0402B000000040000A -:0402B4000000400006 -:0402B8000000400002 -:0402BC0000004000FE -:0402C00000004000FA -:0402C40000004000F6 -:0402C80000004000F2 -:0402CC0000004000EE -:0402D00000004000EA -:0402D40000004000E6 -:0402D80000004000E2 -:0402DC0000004000DE -:0402E00000004000DA -:0402E40000004000D6 -:0402E80000004000D2 -:0402EC0000004000CE -:0402F00000004000CA -:0402F40000004000C6 -:0402F80000004000C2 -:0402FC0000004000BE -:0403000000004000B9 -:0403040000004000B5 -:0403080000004000B1 -:04030C0000004000AD -:0403100000004000A9 -:0403140000004000A5 -:0403180000004000A1 -:04031C00000040009D -:040320000000400099 -:040324000000400095 -:040328000000400091 -:04032C00000040008D -:040330000000400089 -:040334000000400085 -:040338000000400081 -:04033C00000040007D -:040340000000400079 -:040344000000400075 -:040348000000400071 -:04034C00000040006D -:040350000000400069 -:040354000000400065 -:040358000000400061 -:04035C00000040005D -:040360000000400059 -:040364000000400055 -:040368000000400051 -:04036C00000040004D -:040370000000400049 -:040374000000400045 -:040378000000400041 -:04037C00000040003D -:040380000000400039 -:040384000000400035 -:040388000000400031 -:04038C00000040002D -:040390000000400029 -:040394000000400025 -:040398000000400021 -:04039C00000040001D -:0403A0000000400019 -:0403A4000000400015 -:0403A8000000400011 -:0403AC00000040000D -:0403B0000000400009 -:0403B4000000400005 -:0403B8000000400001 -:0403BC0000004000FD -:0403C00000004000F9 -:0403C40000004000F5 -:0403C80000004000F1 -:0403CC0000004000ED -:0403D00000004000E9 -:0403D40000004000E5 -:0403D80000004000E1 -:0403DC0000004000DD -:0403E00000004000D9 -:0403E40000004000D5 -:0403E80000004000D1 -:0403EC0000004000CD -:0403F00000004000C9 -:0403F40000004000C5 -:0403F80000004000C1 -:0403FC0000004000BD -:0404000000004000B8 -:0404040000004000B4 -:0404080000004000B0 -:04040C0000004000AC -:0404100000004000A8 -:0404140000004000A4 -:0404180000004000A0 -:04041C00000040009C -:040420000000400098 -:040424000000400094 -:040428000000400090 -:04042C00000040008C -:040430000000400088 -:040434000000400084 -:040438000000400080 -:04043C00000040007C -:040440000000400078 -:040444000000400074 -:040448000000400070 -:04044C00000040006C -:040450000000400068 -:040454000000400064 -:040458000000400060 -:04045C00000040005C -:040460000000400058 -:040464000000400054 -:040468000000400050 -:04046C00000040004C -:040470000000400048 -:040474000000400044 -:040478000000400040 -:04047C00000040003C -:040480000000400038 -:040484000000400034 -:040488000000400030 -:04048C00000040002C -:040490000000400028 -:040494000000400024 -:040498000000400020 -:04049C00000040001C -:0404A0000000400018 -:0404A4000000400014 -:0404A8000000400010 -:0404AC00000040000C -:0404B0000000400008 -:0404B4000000400004 -:0404B8000000400000 -:0404BC0000004000FC -:0404C00000004000F8 -:0404C40000004000F4 -:0404C80000004000F0 -:0404CC0000004000EC -:0404D00000004000E8 -:0404D40000004000E4 -:0404D80000004000E0 -:0404DC0000004000DC -:0404E00000004000D8 -:0404E40000004000D4 -:0404E80000004000D0 -:0404EC0000004000CC -:0404F00000004000C8 -:0404F40000004000C4 -:0404F80000004000C0 -:0404FC0000004000BC -:0405000000004000B7 -:0405040000004000B3 -:0405080000004000AF -:04050C0000004000AB -:0405100000004000A7 -:0405140000004000A3 -:04051800000040009F -:04051C00000040009B -:040520000000400097 -:040524000000400093 -:04052800000040008F -:04052C00000040008B -:040530000000400087 -:040534000000400083 -:04053800000040007F -:04053C00000040007B -:040540000000400077 -:040544000000400073 -:04054800000040006F -:04054C00000040006B -:040550000000400067 -:040554000000400063 -:04055800000040005F -:04055C00000040005B -:040560000000400057 -:040564000000400053 -:04056800000040004F -:04056C00000040004B -:040570000000400047 -:040574000000400043 -:04057800000040003F -:04057C00000040003B -:040580000000400037 -:040584000000400033 -:04058800000040002F -:04058C00000040002B -:040590000000400027 -:040594000000400023 -:04059800000040001F -:04059C00000040001B -:0405A0000000400017 -:0405A4000000400013 -:0405A800000040000F -:0405AC00000040000B -:0405B0000000400007 -:0405B4000000400003 -:0405B80000004000FF -:0405BC0000004000FB -:0405C00000004000F7 -:0405C40000004000F3 -:0405C80000004000EF -:0405CC0000004000EB -:0405D00000004000E7 -:0405D40000004000E3 -:0405D80000004000DF -:0405DC0000004000DB -:0405E00000004000D7 -:0405E40000004000D3 -:0405E80000004000CF -:0405EC0000004000CB -:0405F00000004000C7 -:0405F40000004000C3 -:0405F80000004000BF -:0405FC0000004000BB -:0406000000004000B6 -:0406040000004000B2 -:0406080000004000AE -:04060C0000004000AA -:0406100000004000A6 -:0406140000004000A2 -:04061800000040009E -:04061C00000040009A -:040620000000400096 -:040624000000400092 -:04062800000040008E -:04062C00000040008A -:040630000000400086 -:040634000000400082 -:04063800000040007E -:04063C00000040007A -:040640000000400076 -:040644000000400072 -:04064800000040006E -:04064C00000040006A -:040650000000400066 -:040654000000400062 -:04065800000040005E -:04065C00000040005A -:040660000000400056 -:040664000000400052 -:04066800000040004E -:04066C00000040004A -:040670000000400046 -:040674000000400042 -:04067800000040003E -:04067C00000040003A -:040680000000400036 -:040684000000400032 -:04068800000040002E -:04068C00000040002A -:040690000000400026 -:040694000000400022 -:04069800000040001E -:04069C00000040001A -:0406A0000000400016 -:0406A4000000400012 -:0406A800000040000E -:0406AC00000040000A -:0406B0000000400006 -:0406B4000000400002 -:0406B80000004000FE -:0406BC0000004000FA -:0406C00000004000F6 -:0406C40000004000F2 -:0406C80000004000EE -:0406CC0000004000EA -:0406D00000004000E6 -:0406D40000004000E2 -:0406D80000004000DE -:0406DC0000004000DA -:0406E00000004000D6 -:0406E40000004000D2 -:0406E80000004000CE -:0406EC0000004000CA -:0406F00000004000C6 -:0406F40000004000C2 -:0406F80000004000BE -:0406FC0000004000BA -:0407000000004000B5 -:0407040000004000B1 -:0407080000004000AD -:04070C0000004000A9 -:0407100000004000A5 -:0407140000004000A1 -:04071800000040009D -:04071C000000400099 -:040720000000400095 -:040724000000400091 -:04072800000040008D -:04072C000000400089 -:040730000000400085 -:040734000000400081 -:04073800000040007D -:04073C000000400079 -:040740000000400075 -:040744000000400071 -:04074800000040006D -:04074C000000400069 -:040750000000400065 -:040754000000400061 -:04075800000040005D -:04075C000000400059 -:040760000000400055 -:040764000000400051 -:04076800000040004D -:04076C000000400049 -:040770000000400045 -:040774000000400041 -:04077800000040003D -:04077C000000400039 -:040780000000400035 -:040784000000400031 -:04078800000040002D -:04078C000000400029 -:040790000000400025 -:040794000000400021 -:04079800000040001D -:04079C000000400019 -:0407A0000000400015 -:0407A4000000400011 -:0407A800000040000D -:0407AC000000400009 -:0407B0000000400005 -:0407B4000000400001 -:0407B80000004000FD -:0407BC0000004000F9 -:0407C00000004000F5 -:0407C40000004000F1 -:0407C80000004000ED -:0407CC0000004000E9 -:0407D00000004000E5 -:0407D40000004000E1 -:0407D80000004000DD -:0407DC0000004000D9 -:0407E00000004000D5 -:0407E40000004000D1 -:0407E80000004000CD -:0407EC0000004000C9 -:0407F00000004000C5 -:0407F40000004000C1 -:0407F80000004000BD -:0407FC0000004000B9 -:0408000000004000B4 -:0408040000004000B0 -:0408080000004000AC -:04080C0000004000A8 -:0408100000004000A4 -:0408140000004000A0 -:04081800000040009C -:04081C000000400098 -:040820000000400094 -:040824000000400090 -:04082800000040008C -:04082C000000400088 -:040830000000400084 -:040834000000400080 -:04083800000040007C -:04083C000000400078 -:040840000000400074 -:040844000000400070 -:04084800000040006C -:04084C000000400068 -:040850000000400064 -:040854000000400060 -:04085800000040005C -:04085C000000400058 -:040860000000400054 -:040864000000400050 -:04086800000040004C -:04086C000000400048 -:040870000000400044 -:040874000000400040 -:04087800000040003C -:04087C000000400038 -:040880000000400034 -:040884000000400030 -:04088800000040002C -:04088C000000400028 -:040890000000400024 -:040894000000400020 -:04089800000040001C -:04089C000000400018 -:0408A0000000400014 -:0408A4000000400010 -:0408A800000040000C -:0408AC000000400008 -:0408B0000000400004 -:0408B4000000400000 -:0408B80000004000FC -:0408BC0000004000F8 -:0408C00000004000F4 -:0408C40000004000F0 -:0408C80000004000EC -:0408CC0000004000E8 -:0408D00000004000E4 -:0408D40000004000E0 -:0408D80000004000DC -:0408DC0000004000D8 -:0408E00000004000D4 -:0408E40000004000D0 -:0408E80000004000CC -:0408EC0000004000C8 -:0408F00000004000C4 -:0408F40000004000C0 -:0408F80000004000BC -:0408FC0000004000B8 -:0409000000004000B3 -:0409040000004000AF -:0409080000004000AB -:04090C0000004000A7 -:0409100000004000A3 -:04091400000040009F -:04091800000040009B -:04091C000000400097 -:040920000000400093 -:04092400000040008F -:04092800000040008B -:04092C000000400087 -:040930000000400083 -:04093400000040007F -:04093800000040007B -:04093C000000400077 -:040940000000400073 -:04094400000040006F -:04094800000040006B -:04094C000000400067 -:040950000000400063 -:04095400000040005F -:04095800000040005B -:04095C000000400057 -:040960000000400053 -:04096400000040004F -:04096800000040004B -:04096C000000400047 -:040970000000400043 -:04097400000040003F -:04097800000040003B -:04097C000000400037 -:040980000000400033 -:04098400000040002F -:04098800000040002B -:04098C000000400027 -:040990000000400023 -:04099400000040001F -:04099800000040001B -:04099C000000400017 -:0409A0000000400013 -:0409A400000040000F -:0409A800000040000B -:0409AC000000400007 -:0409B0000000400003 -:0409B40000004000FF -:0409B80000004000FB -:0409BC0000004000F7 -:0409C00000004000F3 -:0409C40000004000EF -:0409C80000004000EB -:0409CC0000004000E7 -:0409D00000004000E3 -:0409D40000004000DF -:0409D80000004000DB -:0409DC0000004000D7 -:0409E00000004000D3 -:0409E40000004000CF -:0409E80000004000CB -:0409EC0000004000C7 -:0409F00000004000C3 -:0409F40000004000BF -:0409F80000004000BB -:0409FC0000004000B7 -:040A000000004000B2 -:040A040000004000AE -:040A080000004000AA -:040A0C0000004000A6 -:040A100000004000A2 -:040A1400000040009E -:040A1800000040009A -:040A1C000000400096 -:040A20000000400092 -:040A2400000040008E -:040A2800000040008A -:040A2C000000400086 -:040A30000000400082 -:040A3400000040007E -:040A3800000040007A -:040A3C000000400076 -:040A40000000400072 -:040A4400000040006E -:040A4800000040006A -:040A4C000000400066 -:040A50000000400062 -:040A5400000040005E -:040A5800000040005A -:040A5C000000400056 -:040A60000000400052 -:040A6400000040004E -:040A6800000040004A -:040A6C000000400046 -:040A70000000400042 -:040A7400000040003E -:040A7800000040003A -:040A7C000000400036 -:040A80000000400032 -:040A8400000040002E -:040A8800000040002A -:040A8C000000400026 -:040A90000000400022 -:040A9400000040001E -:040A9800000040001A -:040A9C000000400016 -:040AA0000000400012 -:040AA400000040000E -:040AA800000040000A -:040AAC000000400006 -:040AB0000000400002 -:040AB40000004000FE -:040AB80000004000FA -:040ABC0000004000F6 -:040AC00000004000F2 -:040AC40000004000EE -:040AC80000004000EA -:040ACC0000004000E6 -:040AD00000004000E2 -:040AD40000004000DE -:040AD80000004000DA -:040ADC0000004000D6 -:040AE00000004000D2 -:040AE40000004000CE -:040AE80000004000CA -:040AEC0000004000C6 -:040AF00000004000C2 -:040AF40000004000BE -:040AF80000004000BA -:040AFC0000004000B6 -:040B000000004000B1 -:040B040000004000AD -:040B080000004000A9 -:040B0C0000004000A5 -:040B100000004000A1 -:040B1400000040009D -:040B18000000400099 -:040B1C000000400095 -:040B20000000400091 -:040B2400000040008D -:040B28000000400089 -:040B2C000000400085 -:040B30000000400081 -:040B3400000040007D -:040B38000000400079 -:040B3C000000400075 -:040B40000000400071 -:040B4400000040006D -:040B48000000400069 -:040B4C000000400065 -:040B50000000400061 -:040B5400000040005D -:040B58000000400059 -:040B5C000000400055 -:040B60000000400051 -:040B6400000040004D -:040B68000000400049 -:040B6C000000400045 -:040B70000000400041 -:040B7400000040003D -:040B78000000400039 -:040B7C000000400035 -:040B80000000400031 -:040B8400000040002D -:040B88000000400029 -:040B8C000000400025 -:040B90000000400021 -:040B9400000040001D -:040B98000000400019 -:040B9C000000400015 -:040BA0000000400011 -:040BA400000040000D -:040BA8000000400009 -:040BAC000000400005 -:040BB0000000400001 -:040BB40000004000FD -:040BB80000004000F9 -:040BBC0000004000F5 -:040BC00000004000F1 -:040BC40000004000ED -:040BC80000004000E9 -:040BCC0000004000E5 -:040BD00000004000E1 -:040BD40000004000DD -:040BD80000004000D9 -:040BDC0000004000D5 -:040BE00000004000D1 -:040BE40000004000CD -:040BE80000004000C9 -:040BEC0000004000C5 -:040BF00000004000C1 -:040BF40000004000BD -:040BF80000004000B9 -:040BFC0000004000B5 -:040C000000004000B0 -:040C040000004000AC -:040C080000004000A8 -:040C0C0000004000A4 -:040C100000004000A0 -:040C1400000040009C -:040C18000000400098 -:040C1C000000400094 -:040C20000000400090 -:040C2400000040008C -:040C28000000400088 -:040C2C000000400084 -:040C30000000400080 -:040C3400000040007C -:040C38000000400078 -:040C3C000000400074 -:040C40000000400070 -:040C4400000040006C -:040C48000000400068 -:040C4C000000400064 -:040C50000000400060 -:040C5400000040005C -:040C58000000400058 -:040C5C000000400054 -:040C60000000400050 -:040C6400000040004C -:040C68000000400048 -:040C6C000000400044 -:040C70000000400040 -:040C7400000040003C -:040C78000000400038 -:040C7C000000400034 -:040C80000000400030 -:040C8400000040002C -:040C88000000400028 -:040C8C000000400024 -:040C90000000400020 -:040C9400000040001C -:040C98000000400018 -:040C9C000000400014 -:040CA0000000400010 -:040CA400000040000C -:040CA8000000400008 -:040CAC000000400004 -:040CB0000000400000 -:040CB40000004000FC -:040CB80000004000F8 -:040CBC0000004000F4 -:040CC00000004000F0 -:040CC40000004000EC -:040CC80000004000E8 -:040CCC0000004000E4 -:040CD00000004000E0 -:040CD40000004000DC -:040CD80000004000D8 -:040CDC0000004000D4 -:040CE00000004000D0 -:040CE40000004000CC -:040CE80000004000C8 -:040CEC0000004000C4 -:040CF00000004000C0 -:040CF40000004000BC -:040CF80000004000B8 -:040CFC0000004000B4 -:040D000000004000AF -:040D040000004000AB -:040D080000004000A7 -:040D0C0000004000A3 -:040D1000000040009F -:040D1400000040009B -:040D18000000400097 -:040D1C000000400093 -:040D2000000040008F -:040D2400000040008B -:040D28000000400087 -:040D2C000000400083 -:040D3000000040007F -:040D3400000040007B -:040D38000000400077 -:040D3C000000400073 -:040D4000000040006F -:040D4400000040006B -:040D48000000400067 -:040D4C000000400063 -:040D5000000040005F -:040D5400000040005B -:040D58000000400057 -:040D5C000000400053 -:040D6000000040004F -:040D6400000040004B -:040D68000000400047 -:040D6C000000400043 -:040D7000000040003F -:040D7400000040003B -:040D78000000400037 -:040D7C000000400033 -:040D8000000040002F -:040D8400000040002B -:040D88000000400027 -:040D8C000000400023 -:040D9000000040001F -:040D9400000040001B -:040D98000000400017 -:040D9C000000400013 -:040DA000000040000F -:040DA400000040000B -:040DA8000000400007 -:040DAC000000400003 -:040DB00000004000FF -:040DB40000004000FB -:040DB80000004000F7 -:040DBC0000004000F3 -:040DC00000004000EF -:040DC40000004000EB -:040DC80000004000E7 -:040DCC0000004000E3 -:040DD00000004000DF -:040DD40000004000DB -:040DD80000004000D7 -:040DDC0000004000D3 -:040DE00000004000CF -:040DE40000004000CB -:040DE80000004000C7 -:040DEC0000004000C3 -:040DF00000004000BF -:040DF40000004000BB -:040DF80000004000B7 -:040DFC0000004000B3 -:040E000000004000AE -:040E040000004000AA -:040E080000004000A6 -:040E0C0000004000A2 -:040E1000000040009E -:040E1400000040009A -:040E18000000400096 -:040E1C000000400092 -:040E2000000040008E -:040E2400000040008A -:040E28000000400086 -:040E2C000000400082 -:040E3000000040007E -:040E3400000040007A -:040E38000000400076 -:040E3C000000400072 -:040E4000000040006E -:040E4400000040006A -:040E48000000400066 -:040E4C000000400062 -:040E5000000040005E -:040E5400000040005A -:040E58000000400056 -:040E5C000000400052 -:040E6000000040004E -:040E6400000040004A -:040E68000000400046 -:040E6C000000400042 -:040E7000000040003E -:040E7400000040003A -:040E78000000400036 -:040E7C000000400032 -:040E8000000040002E -:040E8400000040002A -:040E88000000400026 -:040E8C000000400022 -:040E9000000040001E -:040E9400000040001A -:040E98000000400016 -:040E9C000000400012 -:040EA000000040000E -:040EA400000040000A -:040EA8000000400006 -:040EAC000000400002 -:040EB00000004000FE -:040EB40000004000FA -:040EB80000004000F6 -:040EBC0000004000F2 -:040EC00000004000EE -:040EC40000004000EA -:040EC80000004000E6 -:040ECC0000004000E2 -:040ED00000004000DE -:040ED40000004000DA -:040ED80000004000D6 -:040EDC0000004000D2 -:040EE00000004000CE -:040EE40000004000CA -:040EE80000004000C6 -:040EEC0000004000C2 -:040EF00000004000BE -:040EF40000004000BA -:040EF80000004000B6 -:040EFC0000004000B2 -:040F000000004000AD -:040F040000004000A9 -:040F080000004000A5 -:040F0C0000004000A1 -:040F1000000040009D -:040F14000000400099 -:040F18000000400095 -:040F1C000000400091 -:040F2000000040008D -:040F24000000400089 -:040F28000000400085 -:040F2C000000400081 -:040F3000000040007D -:040F34000000400079 -:040F38000000400075 -:040F3C000000400071 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_8.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_8.hex deleted file mode 100644 index 8d9baf110abf454d594f9aae6ac37e0d087ea75f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_8.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400040000004000B8 -:0400080000004000B4 -:04000C0000004000B0 -:0400100000004000AC -:0400140000004000A8 -:0400180000004000A4 -:04001C0000004000A0 -:04002000000040009C -:040024000000400098 -:040028000000400094 -:04002C000000400090 -:04003000000040008C -:040034000000400088 -:040038000000400084 -:04003C000000400080 -:04004000000040007C -:040044000000400078 -:040048000000400074 -:04004C000000400070 -:04005000000040006C -:040054000000400068 -:040058000000400064 -:04005C000000400060 -:04006000000040005C -:040064000000400058 -:040068000000400054 -:04006C000000400050 -:04007000000040004C -:040074000000400048 -:040078000000400044 -:04007C000000400040 -:04008000000040003C -:040084000000400038 -:040088000000400034 -:04008C000000400030 -:04009000000040002C -:040094000000400028 -:040098000000400024 -:04009C000000400020 -:0400A000000040001C -:0400A4000000400018 -:0400A8000000400014 -:0400AC000000400010 -:0400B000000040000C -:0400B4000000400008 -:0400B8000000400004 -:0400BC000000400000 -:0400C00000004000FC -:0400C40000004000F8 -:0400C80000004000F4 -:0400CC0000004000F0 -:0400D00000004000EC -:0400D40000004000E8 -:0400D80000004000E4 -:0400DC0000004000E0 -:0400E00000004000DC -:0400E40000004000D8 -:0400E80000004000D4 -:0400EC0000004000D0 -:0400F00000004000CC -:0400F40000004000C8 -:0400F80000004000C4 -:0400FC0000004000C0 -:0401000000004000BB -:0401040000004000B7 -:0401080000004000B3 -:04010C0000004000AF -:0401100000004000AB -:0401140000004000A7 -:0401180000004000A3 -:04011C00000040009F -:04012000000040009B -:040124000000400097 -:040128000000400093 -:04012C00000040008F -:04013000000040008B -:040134000000400087 -:040138000000400083 -:04013C00000040007F -:04014000000040007B -:040144000000400077 -:040148000000400073 -:04014C00000040006F -:04015000000040006B -:040154000000400067 -:040158000000400063 -:04015C00000040005F -:04016000000040005B -:040164000000400057 -:040168000000400053 -:04016C00000040004F -:04017000000040004B -:040174000000400047 -:040178000000400043 -:04017C00000040003F -:04018000000040003B -:040184000000400037 -:040188000000400033 -:04018C00000040002F -:04019000000040002B -:040194000000400027 -:040198000000400023 -:04019C00000040001F -:0401A000000040001B -:0401A4000000400017 -:0401A8000000400013 -:0401AC00000040000F -:0401B000000040000B -:0401B4000000400007 -:0401B8000000400003 -:0401BC0000004000FF -:0401C00000004000FB -:0401C40000004000F7 -:0401C80000004000F3 -:0401CC0000004000EF -:0401D00000004000EB -:0401D40000004000E7 -:0401D80000004000E3 -:0401DC0000004000DF -:0401E00000004000DB -:0401E40000004000D7 -:0401E80000004000D3 -:0401EC0000004000CF -:0401F00000004000CB -:0401F40000004000C7 -:0401F80000004000C3 -:0401FC0000004000BF -:0402000000004000BA -:0402040000004000B6 -:0402080000004000B2 -:04020C0000004000AE -:0402100000004000AA -:0402140000004000A6 -:0402180000004000A2 -:04021C00000040009E -:04022000000040009A -:040224000000400096 -:040228000000400092 -:04022C00000040008E -:04023000000040008A -:040234000000400086 -:040238000000400082 -:04023C00000040007E -:04024000000040007A -:040244000000400076 -:040248000000400072 -:04024C00000040006E -:04025000000040006A -:040254000000400066 -:040258000000400062 -:04025C00000040005E -:04026000000040005A -:040264000000400056 -:040268000000400052 -:04026C00000040004E -:04027000000040004A -:040274000000400046 -:040278000000400042 -:04027C00000040003E -:04028000000040003A -:040284000000400036 -:040288000000400032 -:04028C00000040002E -:04029000000040002A -:040294000000400026 -:040298000000400022 -:04029C00000040001E -:0402A000000040001A -:0402A4000000400016 -:0402A8000000400012 -:0402AC00000040000E -:0402B000000040000A -:0402B4000000400006 -:0402B8000000400002 -:0402BC0000004000FE -:0402C00000004000FA -:0402C40000004000F6 -:0402C80000004000F2 -:0402CC0000004000EE -:0402D00000004000EA -:0402D40000004000E6 -:0402D80000004000E2 -:0402DC0000004000DE -:0402E00000004000DA -:0402E40000004000D6 -:0402E80000004000D2 -:0402EC0000004000CE -:0402F00000004000CA -:0402F40000004000C6 -:0402F80000004000C2 -:0402FC0000004000BE -:0403000000004000B9 -:0403040000004000B5 -:0403080000004000B1 -:04030C0000004000AD -:0403100000004000A9 -:0403140000004000A5 -:0403180000004000A1 -:04031C00000040009D -:040320000000400099 -:040324000000400095 -:040328000000400091 -:04032C00000040008D -:040330000000400089 -:040334000000400085 -:040338000000400081 -:04033C00000040007D -:040340000000400079 -:040344000000400075 -:040348000000400071 -:04034C00000040006D -:040350000000400069 -:040354000000400065 -:040358000000400061 -:04035C00000040005D -:040360000000400059 -:040364000000400055 -:040368000000400051 -:04036C00000040004D -:040370000000400049 -:040374000000400045 -:040378000000400041 -:04037C00000040003D -:040380000000400039 -:040384000000400035 -:040388000000400031 -:04038C00000040002D -:040390000000400029 -:040394000000400025 -:040398000000400021 -:04039C00000040001D -:0403A0000000400019 -:0403A4000000400015 -:0403A8000000400011 -:0403AC00000040000D -:0403B0000000400009 -:0403B4000000400005 -:0403B8000000400001 -:0403BC0000004000FD -:0403C00000004000F9 -:0403C40000004000F5 -:0403C80000004000F1 -:0403CC0000004000ED -:0403D00000004000E9 -:0403D40000004000E5 -:0403D80000004000E1 -:0403DC0000004000DD -:0403E00000004000D9 -:0403E40000004000D5 -:0403E80000004000D1 -:0403EC0000004000CD -:0403F00000004000C9 -:0403F40000004000C5 -:0403F80000004000C1 -:0403FC0000004000BD -:0404000000004000B8 -:0404040000004000B4 -:0404080000004000B0 -:04040C0000004000AC -:0404100000004000A8 -:0404140000004000A4 -:0404180000004000A0 -:04041C00000040009C -:040420000000400098 -:040424000000400094 -:040428000000400090 -:04042C00000040008C -:040430000000400088 -:040434000000400084 -:040438000000400080 -:04043C00000040007C -:040440000000400078 -:040444000000400074 -:040448000000400070 -:04044C00000040006C -:040450000000400068 -:040454000000400064 -:040458000000400060 -:04045C00000040005C -:040460000000400058 -:040464000000400054 -:040468000000400050 -:04046C00000040004C -:040470000000400048 -:040474000000400044 -:040478000000400040 -:04047C00000040003C -:040480000000400038 -:040484000000400034 -:040488000000400030 -:04048C00000040002C -:040490000000400028 -:040494000000400024 -:040498000000400020 -:04049C00000040001C -:0404A0000000400018 -:0404A4000000400014 -:0404A8000000400010 -:0404AC00000040000C -:0404B0000000400008 -:0404B4000000400004 -:0404B8000000400000 -:0404BC0000004000FC -:0404C00000004000F8 -:0404C40000004000F4 -:0404C80000004000F0 -:0404CC0000004000EC -:0404D00000004000E8 -:0404D40000004000E4 -:0404D80000004000E0 -:0404DC0000004000DC -:0404E00000004000D8 -:0404E40000004000D4 -:0404E80000004000D0 -:0404EC0000004000CC -:0404F00000004000C8 -:0404F40000004000C4 -:0404F80000004000C0 -:0404FC0000004000BC -:0405000000004000B7 -:0405040000004000B3 -:0405080000004000AF -:04050C0000004000AB -:0405100000004000A7 -:0405140000004000A3 -:04051800000040009F -:04051C00000040009B -:040520000000400097 -:040524000000400093 -:04052800000040008F -:04052C00000040008B -:040530000000400087 -:040534000000400083 -:04053800000040007F -:04053C00000040007B -:040540000000400077 -:040544000000400073 -:04054800000040006F -:04054C00000040006B -:040550000000400067 -:040554000000400063 -:04055800000040005F -:04055C00000040005B -:040560000000400057 -:040564000000400053 -:04056800000040004F -:04056C00000040004B -:040570000000400047 -:040574000000400043 -:04057800000040003F -:04057C00000040003B -:040580000000400037 -:040584000000400033 -:04058800000040002F -:04058C00000040002B -:040590000000400027 -:040594000000400023 -:04059800000040001F -:04059C00000040001B -:0405A0000000400017 -:0405A4000000400013 -:0405A800000040000F -:0405AC00000040000B -:0405B0000000400007 -:0405B4000000400003 -:0405B80000004000FF -:0405BC0000004000FB -:0405C00000004000F7 -:0405C40000004000F3 -:0405C80000004000EF -:0405CC0000004000EB -:0405D00000004000E7 -:0405D40000004000E3 -:0405D80000004000DF -:0405DC0000004000DB -:0405E00000004000D7 -:0405E40000004000D3 -:0405E80000004000CF -:0405EC0000004000CB -:0405F00000004000C7 -:0405F40000004000C3 -:0405F80000004000BF -:0405FC0000004000BB -:0406000000004000B6 -:0406040000004000B2 -:0406080000004000AE -:04060C0000004000AA -:0406100000004000A6 -:0406140000004000A2 -:04061800000040009E -:04061C00000040009A -:040620000000400096 -:040624000000400092 -:04062800000040008E -:04062C00000040008A -:040630000000400086 -:040634000000400082 -:04063800000040007E -:04063C00000040007A -:040640000000400076 -:040644000000400072 -:04064800000040006E -:04064C00000040006A -:040650000000400066 -:040654000000400062 -:04065800000040005E -:04065C00000040005A -:040660000000400056 -:040664000000400052 -:04066800000040004E -:04066C00000040004A -:040670000000400046 -:040674000000400042 -:04067800000040003E -:04067C00000040003A -:040680000000400036 -:040684000000400032 -:04068800000040002E -:04068C00000040002A -:040690000000400026 -:040694000000400022 -:04069800000040001E -:04069C00000040001A -:0406A0000000400016 -:0406A4000000400012 -:0406A800000040000E -:0406AC00000040000A -:0406B0000000400006 -:0406B4000000400002 -:0406B80000004000FE -:0406BC0000004000FA -:0406C00000004000F6 -:0406C40000004000F2 -:0406C80000004000EE -:0406CC0000004000EA -:0406D00000004000E6 -:0406D40000004000E2 -:0406D80000004000DE -:0406DC0000004000DA -:0406E00000004000D6 -:0406E40000004000D2 -:0406E80000004000CE -:0406EC0000004000CA -:0406F00000004000C6 -:0406F40000004000C2 -:0406F80000004000BE -:0406FC0000004000BA -:0407000000004000B5 -:0407040000004000B1 -:0407080000004000AD -:04070C0000004000A9 -:0407100000004000A5 -:0407140000004000A1 -:04071800000040009D -:04071C000000400099 -:040720000000400095 -:040724000000400091 -:04072800000040008D -:04072C000000400089 -:040730000000400085 -:040734000000400081 -:04073800000040007D -:04073C000000400079 -:040740000000400075 -:040744000000400071 -:04074800000040006D -:04074C000000400069 -:040750000000400065 -:040754000000400061 -:04075800000040005D -:04075C000000400059 -:040760000000400055 -:040764000000400051 -:04076800000040004D -:04076C000000400049 -:040770000000400045 -:040774000000400041 -:04077800000040003D -:04077C000000400039 -:040780000000400035 -:040784000000400031 -:04078800000040002D -:04078C000000400029 -:040790000000400025 -:040794000000400021 -:04079800000040001D -:04079C000000400019 -:0407A0000000400015 -:0407A4000000400011 -:0407A800000040000D -:0407AC000000400009 -:0407B0000000400005 -:0407B4000000400001 -:0407B80000004000FD -:0407BC0000004000F9 -:0407C00000004000F5 -:0407C40000004000F1 -:0407C80000004000ED -:0407CC0000004000E9 -:0407D00000004000E5 -:0407D40000004000E1 -:0407D80000004000DD -:0407DC0000004000D9 -:0407E00000004000D5 -:0407E40000004000D1 -:0407E80000004000CD -:0407EC0000004000C9 -:0407F00000004000C5 -:0407F40000004000C1 -:0407F80000004000BD -:0407FC0000004000B9 -:0408000000004000B4 -:0408040000004000B0 -:0408080000004000AC -:04080C0000004000A8 -:0408100000004000A4 -:0408140000004000A0 -:04081800000040009C -:04081C000000400098 -:040820000000400094 -:040824000000400090 -:04082800000040008C -:04082C000000400088 -:040830000000400084 -:040834000000400080 -:04083800000040007C -:04083C000000400078 -:040840000000400074 -:040844000000400070 -:04084800000040006C -:04084C000000400068 -:040850000000400064 -:040854000000400060 -:04085800000040005C -:04085C000000400058 -:040860000000400054 -:040864000000400050 -:04086800000040004C -:04086C000000400048 -:040870000000400044 -:040874000000400040 -:04087800000040003C -:04087C000000400038 -:040880000000400034 -:040884000000400030 -:04088800000040002C -:04088C000000400028 -:040890000000400024 -:040894000000400020 -:04089800000040001C -:04089C000000400018 -:0408A0000000400014 -:0408A4000000400010 -:0408A800000040000C -:0408AC000000400008 -:0408B0000000400004 -:0408B4000000400000 -:0408B80000004000FC -:0408BC0000004000F8 -:0408C00000004000F4 -:0408C40000004000F0 -:0408C80000004000EC -:0408CC0000004000E8 -:0408D00000004000E4 -:0408D40000004000E0 -:0408D80000004000DC -:0408DC0000004000D8 -:0408E00000004000D4 -:0408E40000004000D0 -:0408E80000004000CC -:0408EC0000004000C8 -:0408F00000004000C4 -:0408F40000004000C0 -:0408F80000004000BC -:0408FC0000004000B8 -:0409000000004000B3 -:0409040000004000AF -:0409080000004000AB -:04090C0000004000A7 -:0409100000004000A3 -:04091400000040009F -:04091800000040009B -:04091C000000400097 -:040920000000400093 -:04092400000040008F -:04092800000040008B -:04092C000000400087 -:040930000000400083 -:04093400000040007F -:04093800000040007B -:04093C000000400077 -:040940000000400073 -:04094400000040006F -:04094800000040006B -:04094C000000400067 -:040950000000400063 -:04095400000040005F -:04095800000040005B -:04095C000000400057 -:040960000000400053 -:04096400000040004F -:04096800000040004B -:04096C000000400047 -:040970000000400043 -:04097400000040003F -:04097800000040003B -:04097C000000400037 -:040980000000400033 -:04098400000040002F -:04098800000040002B -:04098C000000400027 -:040990000000400023 -:04099400000040001F -:04099800000040001B -:04099C000000400017 -:0409A0000000400013 -:0409A400000040000F -:0409A800000040000B -:0409AC000000400007 -:0409B0000000400003 -:0409B40000004000FF -:0409B80000004000FB -:0409BC0000004000F7 -:0409C00000004000F3 -:0409C40000004000EF -:0409C80000004000EB -:0409CC0000004000E7 -:0409D00000004000E3 -:0409D40000004000DF -:0409D80000004000DB -:0409DC0000004000D7 -:0409E00000004000D3 -:0409E40000004000CF -:0409E80000004000CB -:0409EC0000004000C7 -:0409F00000004000C3 -:0409F40000004000BF -:0409F80000004000BB -:0409FC0000004000B7 -:040A000000004000B2 -:040A040000004000AE -:040A080000004000AA -:040A0C0000004000A6 -:040A100000004000A2 -:040A1400000040009E -:040A1800000040009A -:040A1C000000400096 -:040A20000000400092 -:040A2400000040008E -:040A2800000040008A -:040A2C000000400086 -:040A30000000400082 -:040A3400000040007E -:040A3800000040007A -:040A3C000000400076 -:040A40000000400072 -:040A4400000040006E -:040A4800000040006A -:040A4C000000400066 -:040A50000000400062 -:040A5400000040005E -:040A5800000040005A -:040A5C000000400056 -:040A60000000400052 -:040A6400000040004E -:040A6800000040004A -:040A6C000000400046 -:040A70000000400042 -:040A7400000040003E -:040A7800000040003A -:040A7C000000400036 -:040A80000000400032 -:040A8400000040002E -:040A8800000040002A -:040A8C000000400026 -:040A90000000400022 -:040A9400000040001E -:040A9800000040001A -:040A9C000000400016 -:040AA0000000400012 -:040AA400000040000E -:040AA800000040000A -:040AAC000000400006 -:040AB0000000400002 -:040AB40000004000FE -:040AB80000004000FA -:040ABC0000004000F6 -:040AC00000004000F2 -:040AC40000004000EE -:040AC80000004000EA -:040ACC0000004000E6 -:040AD00000004000E2 -:040AD40000004000DE -:040AD80000004000DA -:040ADC0000004000D6 -:040AE00000004000D2 -:040AE40000004000CE -:040AE80000004000CA -:040AEC0000004000C6 -:040AF00000004000C2 -:040AF40000004000BE -:040AF80000004000BA -:040AFC0000004000B6 -:040B000000004000B1 -:040B040000004000AD -:040B080000004000A9 -:040B0C0000004000A5 -:040B100000004000A1 -:040B1400000040009D -:040B18000000400099 -:040B1C000000400095 -:040B20000000400091 -:040B2400000040008D -:040B28000000400089 -:040B2C000000400085 -:040B30000000400081 -:040B3400000040007D -:040B38000000400079 -:040B3C000000400075 -:040B40000000400071 -:040B4400000040006D -:040B48000000400069 -:040B4C000000400065 -:040B50000000400061 -:040B5400000040005D -:040B58000000400059 -:040B5C000000400055 -:040B60000000400051 -:040B6400000040004D -:040B68000000400049 -:040B6C000000400045 -:040B70000000400041 -:040B7400000040003D -:040B78000000400039 -:040B7C000000400035 -:040B80000000400031 -:040B8400000040002D -:040B88000000400029 -:040B8C000000400025 -:040B90000000400021 -:040B9400000040001D -:040B98000000400019 -:040B9C000000400015 -:040BA0000000400011 -:040BA400000040000D -:040BA8000000400009 -:040BAC000000400005 -:040BB0000000400001 -:040BB40000004000FD -:040BB80000004000F9 -:040BBC0000004000F5 -:040BC00000004000F1 -:040BC40000004000ED -:040BC80000004000E9 -:040BCC0000004000E5 -:040BD00000004000E1 -:040BD40000004000DD -:040BD80000004000D9 -:040BDC0000004000D5 -:040BE00000004000D1 -:040BE40000004000CD -:040BE80000004000C9 -:040BEC0000004000C5 -:040BF00000004000C1 -:040BF40000004000BD -:040BF80000004000B9 -:040BFC0000004000B5 -:040C000000004000B0 -:040C040000004000AC -:040C080000004000A8 -:040C0C0000004000A4 -:040C100000004000A0 -:040C1400000040009C -:040C18000000400098 -:040C1C000000400094 -:040C20000000400090 -:040C2400000040008C -:040C28000000400088 -:040C2C000000400084 -:040C30000000400080 -:040C3400000040007C -:040C38000000400078 -:040C3C000000400074 -:040C40000000400070 -:040C4400000040006C -:040C48000000400068 -:040C4C000000400064 -:040C50000000400060 -:040C5400000040005C -:040C58000000400058 -:040C5C000000400054 -:040C60000000400050 -:040C6400000040004C -:040C68000000400048 -:040C6C000000400044 -:040C70000000400040 -:040C7400000040003C -:040C78000000400038 -:040C7C000000400034 -:040C80000000400030 -:040C8400000040002C -:040C88000000400028 -:040C8C000000400024 -:040C90000000400020 -:040C9400000040001C -:040C98000000400018 -:040C9C000000400014 -:040CA0000000400010 -:040CA400000040000C -:040CA8000000400008 -:040CAC000000400004 -:040CB0000000400000 -:040CB40000004000FC -:040CB80000004000F8 -:040CBC0000004000F4 -:040CC00000004000F0 -:040CC40000004000EC -:040CC80000004000E8 -:040CCC0000004000E4 -:040CD00000004000E0 -:040CD40000004000DC -:040CD80000004000D8 -:040CDC0000004000D4 -:040CE00000004000D0 -:040CE40000004000CC -:040CE80000004000C8 -:040CEC0000004000C4 -:040CF00000004000C0 -:040CF40000004000BC -:040CF80000004000B8 -:040CFC0000004000B4 -:040D000000004000AF -:040D040000004000AB -:040D080000004000A7 -:040D0C0000004000A3 -:040D1000000040009F -:040D1400000040009B -:040D18000000400097 -:040D1C000000400093 -:040D2000000040008F -:040D2400000040008B -:040D28000000400087 -:040D2C000000400083 -:040D3000000040007F -:040D3400000040007B -:040D38000000400077 -:040D3C000000400073 -:040D4000000040006F -:040D4400000040006B -:040D48000000400067 -:040D4C000000400063 -:040D5000000040005F -:040D5400000040005B -:040D58000000400057 -:040D5C000000400053 -:040D6000000040004F -:040D6400000040004B -:040D68000000400047 -:040D6C000000400043 -:040D7000000040003F -:040D7400000040003B -:040D78000000400037 -:040D7C000000400033 -:040D8000000040002F -:040D8400000040002B -:040D88000000400027 -:040D8C000000400023 -:040D9000000040001F -:040D9400000040001B -:040D98000000400017 -:040D9C000000400013 -:040DA000000040000F -:040DA400000040000B -:040DA8000000400007 -:040DAC000000400003 -:040DB00000004000FF -:040DB40000004000FB -:040DB80000004000F7 -:040DBC0000004000F3 -:040DC00000004000EF -:040DC40000004000EB -:040DC80000004000E7 -:040DCC0000004000E3 -:040DD00000004000DF -:040DD40000004000DB -:040DD80000004000D7 -:040DDC0000004000D3 -:040DE00000004000CF -:040DE40000004000CB -:040DE80000004000C7 -:040DEC0000004000C3 -:040DF00000004000BF -:040DF40000004000BB -:040DF80000004000B7 -:040DFC0000004000B3 -:040E000000004000AE -:040E040000004000AA -:040E080000004000A6 -:040E0C0000004000A2 -:040E1000000040009E -:040E1400000040009A -:040E18000000400096 -:040E1C000000400092 -:040E2000000040008E -:040E2400000040008A -:040E28000000400086 -:040E2C000000400082 -:040E3000000040007E -:040E3400000040007A -:040E38000000400076 -:040E3C000000400072 -:040E4000000040006E -:040E4400000040006A -:040E48000000400066 -:040E4C000000400062 -:040E5000000040005E -:040E5400000040005A -:040E58000000400056 -:040E5C000000400052 -:040E6000000040004E -:040E6400000040004A -:040E68000000400046 -:040E6C000000400042 -:040E7000000040003E -:040E7400000040003A -:040E78000000400036 -:040E7C000000400032 -:040E8000000040002E -:040E8400000040002A -:040E88000000400026 -:040E8C000000400022 -:040E9000000040001E -:040E9400000040001A -:040E98000000400016 -:040E9C000000400012 -:040EA000000040000E -:040EA400000040000A -:040EA8000000400006 -:040EAC000000400002 -:040EB00000004000FE -:040EB40000004000FA -:040EB80000004000F6 -:040EBC0000004000F2 -:040EC00000004000EE -:040EC40000004000EA -:040EC80000004000E6 -:040ECC0000004000E2 -:040ED00000004000DE -:040ED40000004000DA -:040ED80000004000D6 -:040EDC0000004000D2 -:040EE00000004000CE -:040EE40000004000CA -:040EE80000004000C6 -:040EEC0000004000C2 -:040EF00000004000BE -:040EF40000004000BA -:040EF80000004000B6 -:040EFC0000004000B2 -:040F000000004000AD -:040F040000004000A9 -:040F080000004000A5 -:040F0C0000004000A1 -:040F1000000040009D -:040F14000000400099 -:040F18000000400095 -:040F1C000000400091 -:040F2000000040008D -:040F24000000400089 -:040F28000000400085 -:040F2C000000400081 -:040F3000000040007D -:040F34000000400079 -:040F38000000400075 -:040F3C000000400071 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_9.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_9.hex deleted file mode 100644 index 8d9baf110abf454d594f9aae6ac37e0d087ea75f..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/bf_unit_weights_9.hex +++ /dev/null @@ -1,977 +0,0 @@ -:0400000000004000BC -:0400040000004000B8 -:0400080000004000B4 -:04000C0000004000B0 -:0400100000004000AC -:0400140000004000A8 -:0400180000004000A4 -:04001C0000004000A0 -:04002000000040009C -:040024000000400098 -:040028000000400094 -:04002C000000400090 -:04003000000040008C -:040034000000400088 -:040038000000400084 -:04003C000000400080 -:04004000000040007C -:040044000000400078 -:040048000000400074 -:04004C000000400070 -:04005000000040006C -:040054000000400068 -:040058000000400064 -:04005C000000400060 -:04006000000040005C -:040064000000400058 -:040068000000400054 -:04006C000000400050 -:04007000000040004C -:040074000000400048 -:040078000000400044 -:04007C000000400040 -:04008000000040003C -:040084000000400038 -:040088000000400034 -:04008C000000400030 -:04009000000040002C -:040094000000400028 -:040098000000400024 -:04009C000000400020 -:0400A000000040001C -:0400A4000000400018 -:0400A8000000400014 -:0400AC000000400010 -:0400B000000040000C -:0400B4000000400008 -:0400B8000000400004 -:0400BC000000400000 -:0400C00000004000FC -:0400C40000004000F8 -:0400C80000004000F4 -:0400CC0000004000F0 -:0400D00000004000EC -:0400D40000004000E8 -:0400D80000004000E4 -:0400DC0000004000E0 -:0400E00000004000DC -:0400E40000004000D8 -:0400E80000004000D4 -:0400EC0000004000D0 -:0400F00000004000CC -:0400F40000004000C8 -:0400F80000004000C4 -:0400FC0000004000C0 -:0401000000004000BB -:0401040000004000B7 -:0401080000004000B3 -:04010C0000004000AF -:0401100000004000AB -:0401140000004000A7 -:0401180000004000A3 -:04011C00000040009F -:04012000000040009B -:040124000000400097 -:040128000000400093 -:04012C00000040008F -:04013000000040008B -:040134000000400087 -:040138000000400083 -:04013C00000040007F -:04014000000040007B -:040144000000400077 -:040148000000400073 -:04014C00000040006F -:04015000000040006B -:040154000000400067 -:040158000000400063 -:04015C00000040005F -:04016000000040005B -:040164000000400057 -:040168000000400053 -:04016C00000040004F -:04017000000040004B -:040174000000400047 -:040178000000400043 -:04017C00000040003F -:04018000000040003B -:040184000000400037 -:040188000000400033 -:04018C00000040002F -:04019000000040002B -:040194000000400027 -:040198000000400023 -:04019C00000040001F -:0401A000000040001B -:0401A4000000400017 -:0401A8000000400013 -:0401AC00000040000F -:0401B000000040000B -:0401B4000000400007 -:0401B8000000400003 -:0401BC0000004000FF -:0401C00000004000FB -:0401C40000004000F7 -:0401C80000004000F3 -:0401CC0000004000EF -:0401D00000004000EB -:0401D40000004000E7 -:0401D80000004000E3 -:0401DC0000004000DF -:0401E00000004000DB -:0401E40000004000D7 -:0401E80000004000D3 -:0401EC0000004000CF -:0401F00000004000CB -:0401F40000004000C7 -:0401F80000004000C3 -:0401FC0000004000BF -:0402000000004000BA -:0402040000004000B6 -:0402080000004000B2 -:04020C0000004000AE -:0402100000004000AA -:0402140000004000A6 -:0402180000004000A2 -:04021C00000040009E -:04022000000040009A -:040224000000400096 -:040228000000400092 -:04022C00000040008E -:04023000000040008A -:040234000000400086 -:040238000000400082 -:04023C00000040007E -:04024000000040007A -:040244000000400076 -:040248000000400072 -:04024C00000040006E -:04025000000040006A -:040254000000400066 -:040258000000400062 -:04025C00000040005E -:04026000000040005A -:040264000000400056 -:040268000000400052 -:04026C00000040004E -:04027000000040004A -:040274000000400046 -:040278000000400042 -:04027C00000040003E -:04028000000040003A -:040284000000400036 -:040288000000400032 -:04028C00000040002E -:04029000000040002A -:040294000000400026 -:040298000000400022 -:04029C00000040001E -:0402A000000040001A -:0402A4000000400016 -:0402A8000000400012 -:0402AC00000040000E -:0402B000000040000A -:0402B4000000400006 -:0402B8000000400002 -:0402BC0000004000FE -:0402C00000004000FA -:0402C40000004000F6 -:0402C80000004000F2 -:0402CC0000004000EE -:0402D00000004000EA -:0402D40000004000E6 -:0402D80000004000E2 -:0402DC0000004000DE -:0402E00000004000DA -:0402E40000004000D6 -:0402E80000004000D2 -:0402EC0000004000CE -:0402F00000004000CA -:0402F40000004000C6 -:0402F80000004000C2 -:0402FC0000004000BE -:0403000000004000B9 -:0403040000004000B5 -:0403080000004000B1 -:04030C0000004000AD -:0403100000004000A9 -:0403140000004000A5 -:0403180000004000A1 -:04031C00000040009D -:040320000000400099 -:040324000000400095 -:040328000000400091 -:04032C00000040008D -:040330000000400089 -:040334000000400085 -:040338000000400081 -:04033C00000040007D -:040340000000400079 -:040344000000400075 -:040348000000400071 -:04034C00000040006D -:040350000000400069 -:040354000000400065 -:040358000000400061 -:04035C00000040005D -:040360000000400059 -:040364000000400055 -:040368000000400051 -:04036C00000040004D -:040370000000400049 -:040374000000400045 -:040378000000400041 -:04037C00000040003D -:040380000000400039 -:040384000000400035 -:040388000000400031 -:04038C00000040002D -:040390000000400029 -:040394000000400025 -:040398000000400021 -:04039C00000040001D -:0403A0000000400019 -:0403A4000000400015 -:0403A8000000400011 -:0403AC00000040000D -:0403B0000000400009 -:0403B4000000400005 -:0403B8000000400001 -:0403BC0000004000FD -:0403C00000004000F9 -:0403C40000004000F5 -:0403C80000004000F1 -:0403CC0000004000ED -:0403D00000004000E9 -:0403D40000004000E5 -:0403D80000004000E1 -:0403DC0000004000DD -:0403E00000004000D9 -:0403E40000004000D5 -:0403E80000004000D1 -:0403EC0000004000CD -:0403F00000004000C9 -:0403F40000004000C5 -:0403F80000004000C1 -:0403FC0000004000BD -:0404000000004000B8 -:0404040000004000B4 -:0404080000004000B0 -:04040C0000004000AC -:0404100000004000A8 -:0404140000004000A4 -:0404180000004000A0 -:04041C00000040009C -:040420000000400098 -:040424000000400094 -:040428000000400090 -:04042C00000040008C -:040430000000400088 -:040434000000400084 -:040438000000400080 -:04043C00000040007C -:040440000000400078 -:040444000000400074 -:040448000000400070 -:04044C00000040006C -:040450000000400068 -:040454000000400064 -:040458000000400060 -:04045C00000040005C -:040460000000400058 -:040464000000400054 -:040468000000400050 -:04046C00000040004C -:040470000000400048 -:040474000000400044 -:040478000000400040 -:04047C00000040003C -:040480000000400038 -:040484000000400034 -:040488000000400030 -:04048C00000040002C -:040490000000400028 -:040494000000400024 -:040498000000400020 -:04049C00000040001C -:0404A0000000400018 -:0404A4000000400014 -:0404A8000000400010 -:0404AC00000040000C -:0404B0000000400008 -:0404B4000000400004 -:0404B8000000400000 -:0404BC0000004000FC -:0404C00000004000F8 -:0404C40000004000F4 -:0404C80000004000F0 -:0404CC0000004000EC -:0404D00000004000E8 -:0404D40000004000E4 -:0404D80000004000E0 -:0404DC0000004000DC -:0404E00000004000D8 -:0404E40000004000D4 -:0404E80000004000D0 -:0404EC0000004000CC -:0404F00000004000C8 -:0404F40000004000C4 -:0404F80000004000C0 -:0404FC0000004000BC -:0405000000004000B7 -:0405040000004000B3 -:0405080000004000AF -:04050C0000004000AB -:0405100000004000A7 -:0405140000004000A3 -:04051800000040009F -:04051C00000040009B -:040520000000400097 -:040524000000400093 -:04052800000040008F -:04052C00000040008B -:040530000000400087 -:040534000000400083 -:04053800000040007F -:04053C00000040007B -:040540000000400077 -:040544000000400073 -:04054800000040006F -:04054C00000040006B -:040550000000400067 -:040554000000400063 -:04055800000040005F -:04055C00000040005B -:040560000000400057 -:040564000000400053 -:04056800000040004F -:04056C00000040004B -:040570000000400047 -:040574000000400043 -:04057800000040003F -:04057C00000040003B -:040580000000400037 -:040584000000400033 -:04058800000040002F -:04058C00000040002B -:040590000000400027 -:040594000000400023 -:04059800000040001F -:04059C00000040001B -:0405A0000000400017 -:0405A4000000400013 -:0405A800000040000F -:0405AC00000040000B -:0405B0000000400007 -:0405B4000000400003 -:0405B80000004000FF -:0405BC0000004000FB -:0405C00000004000F7 -:0405C40000004000F3 -:0405C80000004000EF -:0405CC0000004000EB -:0405D00000004000E7 -:0405D40000004000E3 -:0405D80000004000DF -:0405DC0000004000DB -:0405E00000004000D7 -:0405E40000004000D3 -:0405E80000004000CF -:0405EC0000004000CB -:0405F00000004000C7 -:0405F40000004000C3 -:0405F80000004000BF -:0405FC0000004000BB -:0406000000004000B6 -:0406040000004000B2 -:0406080000004000AE -:04060C0000004000AA -:0406100000004000A6 -:0406140000004000A2 -:04061800000040009E -:04061C00000040009A -:040620000000400096 -:040624000000400092 -:04062800000040008E -:04062C00000040008A -:040630000000400086 -:040634000000400082 -:04063800000040007E -:04063C00000040007A -:040640000000400076 -:040644000000400072 -:04064800000040006E -:04064C00000040006A -:040650000000400066 -:040654000000400062 -:04065800000040005E -:04065C00000040005A -:040660000000400056 -:040664000000400052 -:04066800000040004E -:04066C00000040004A -:040670000000400046 -:040674000000400042 -:04067800000040003E -:04067C00000040003A -:040680000000400036 -:040684000000400032 -:04068800000040002E -:04068C00000040002A -:040690000000400026 -:040694000000400022 -:04069800000040001E -:04069C00000040001A -:0406A0000000400016 -:0406A4000000400012 -:0406A800000040000E -:0406AC00000040000A -:0406B0000000400006 -:0406B4000000400002 -:0406B80000004000FE -:0406BC0000004000FA -:0406C00000004000F6 -:0406C40000004000F2 -:0406C80000004000EE -:0406CC0000004000EA -:0406D00000004000E6 -:0406D40000004000E2 -:0406D80000004000DE -:0406DC0000004000DA -:0406E00000004000D6 -:0406E40000004000D2 -:0406E80000004000CE -:0406EC0000004000CA -:0406F00000004000C6 -:0406F40000004000C2 -:0406F80000004000BE -:0406FC0000004000BA -:0407000000004000B5 -:0407040000004000B1 -:0407080000004000AD -:04070C0000004000A9 -:0407100000004000A5 -:0407140000004000A1 -:04071800000040009D -:04071C000000400099 -:040720000000400095 -:040724000000400091 -:04072800000040008D -:04072C000000400089 -:040730000000400085 -:040734000000400081 -:04073800000040007D -:04073C000000400079 -:040740000000400075 -:040744000000400071 -:04074800000040006D -:04074C000000400069 -:040750000000400065 -:040754000000400061 -:04075800000040005D -:04075C000000400059 -:040760000000400055 -:040764000000400051 -:04076800000040004D -:04076C000000400049 -:040770000000400045 -:040774000000400041 -:04077800000040003D -:04077C000000400039 -:040780000000400035 -:040784000000400031 -:04078800000040002D -:04078C000000400029 -:040790000000400025 -:040794000000400021 -:04079800000040001D -:04079C000000400019 -:0407A0000000400015 -:0407A4000000400011 -:0407A800000040000D -:0407AC000000400009 -:0407B0000000400005 -:0407B4000000400001 -:0407B80000004000FD -:0407BC0000004000F9 -:0407C00000004000F5 -:0407C40000004000F1 -:0407C80000004000ED -:0407CC0000004000E9 -:0407D00000004000E5 -:0407D40000004000E1 -:0407D80000004000DD -:0407DC0000004000D9 -:0407E00000004000D5 -:0407E40000004000D1 -:0407E80000004000CD -:0407EC0000004000C9 -:0407F00000004000C5 -:0407F40000004000C1 -:0407F80000004000BD -:0407FC0000004000B9 -:0408000000004000B4 -:0408040000004000B0 -:0408080000004000AC -:04080C0000004000A8 -:0408100000004000A4 -:0408140000004000A0 -:04081800000040009C -:04081C000000400098 -:040820000000400094 -:040824000000400090 -:04082800000040008C -:04082C000000400088 -:040830000000400084 -:040834000000400080 -:04083800000040007C -:04083C000000400078 -:040840000000400074 -:040844000000400070 -:04084800000040006C -:04084C000000400068 -:040850000000400064 -:040854000000400060 -:04085800000040005C -:04085C000000400058 -:040860000000400054 -:040864000000400050 -:04086800000040004C -:04086C000000400048 -:040870000000400044 -:040874000000400040 -:04087800000040003C -:04087C000000400038 -:040880000000400034 -:040884000000400030 -:04088800000040002C -:04088C000000400028 -:040890000000400024 -:040894000000400020 -:04089800000040001C -:04089C000000400018 -:0408A0000000400014 -:0408A4000000400010 -:0408A800000040000C -:0408AC000000400008 -:0408B0000000400004 -:0408B4000000400000 -:0408B80000004000FC -:0408BC0000004000F8 -:0408C00000004000F4 -:0408C40000004000F0 -:0408C80000004000EC -:0408CC0000004000E8 -:0408D00000004000E4 -:0408D40000004000E0 -:0408D80000004000DC -:0408DC0000004000D8 -:0408E00000004000D4 -:0408E40000004000D0 -:0408E80000004000CC -:0408EC0000004000C8 -:0408F00000004000C4 -:0408F40000004000C0 -:0408F80000004000BC -:0408FC0000004000B8 -:0409000000004000B3 -:0409040000004000AF -:0409080000004000AB -:04090C0000004000A7 -:0409100000004000A3 -:04091400000040009F -:04091800000040009B -:04091C000000400097 -:040920000000400093 -:04092400000040008F -:04092800000040008B -:04092C000000400087 -:040930000000400083 -:04093400000040007F -:04093800000040007B -:04093C000000400077 -:040940000000400073 -:04094400000040006F -:04094800000040006B -:04094C000000400067 -:040950000000400063 -:04095400000040005F -:04095800000040005B -:04095C000000400057 -:040960000000400053 -:04096400000040004F -:04096800000040004B -:04096C000000400047 -:040970000000400043 -:04097400000040003F -:04097800000040003B -:04097C000000400037 -:040980000000400033 -:04098400000040002F -:04098800000040002B -:04098C000000400027 -:040990000000400023 -:04099400000040001F -:04099800000040001B -:04099C000000400017 -:0409A0000000400013 -:0409A400000040000F -:0409A800000040000B -:0409AC000000400007 -:0409B0000000400003 -:0409B40000004000FF -:0409B80000004000FB -:0409BC0000004000F7 -:0409C00000004000F3 -:0409C40000004000EF -:0409C80000004000EB -:0409CC0000004000E7 -:0409D00000004000E3 -:0409D40000004000DF -:0409D80000004000DB -:0409DC0000004000D7 -:0409E00000004000D3 -:0409E40000004000CF -:0409E80000004000CB -:0409EC0000004000C7 -:0409F00000004000C3 -:0409F40000004000BF -:0409F80000004000BB -:0409FC0000004000B7 -:040A000000004000B2 -:040A040000004000AE -:040A080000004000AA -:040A0C0000004000A6 -:040A100000004000A2 -:040A1400000040009E -:040A1800000040009A -:040A1C000000400096 -:040A20000000400092 -:040A2400000040008E -:040A2800000040008A -:040A2C000000400086 -:040A30000000400082 -:040A3400000040007E -:040A3800000040007A -:040A3C000000400076 -:040A40000000400072 -:040A4400000040006E -:040A4800000040006A -:040A4C000000400066 -:040A50000000400062 -:040A5400000040005E -:040A5800000040005A -:040A5C000000400056 -:040A60000000400052 -:040A6400000040004E -:040A6800000040004A -:040A6C000000400046 -:040A70000000400042 -:040A7400000040003E -:040A7800000040003A -:040A7C000000400036 -:040A80000000400032 -:040A8400000040002E -:040A8800000040002A -:040A8C000000400026 -:040A90000000400022 -:040A9400000040001E -:040A9800000040001A -:040A9C000000400016 -:040AA0000000400012 -:040AA400000040000E -:040AA800000040000A -:040AAC000000400006 -:040AB0000000400002 -:040AB40000004000FE -:040AB80000004000FA -:040ABC0000004000F6 -:040AC00000004000F2 -:040AC40000004000EE -:040AC80000004000EA -:040ACC0000004000E6 -:040AD00000004000E2 -:040AD40000004000DE -:040AD80000004000DA -:040ADC0000004000D6 -:040AE00000004000D2 -:040AE40000004000CE -:040AE80000004000CA -:040AEC0000004000C6 -:040AF00000004000C2 -:040AF40000004000BE -:040AF80000004000BA -:040AFC0000004000B6 -:040B000000004000B1 -:040B040000004000AD -:040B080000004000A9 -:040B0C0000004000A5 -:040B100000004000A1 -:040B1400000040009D -:040B18000000400099 -:040B1C000000400095 -:040B20000000400091 -:040B2400000040008D -:040B28000000400089 -:040B2C000000400085 -:040B30000000400081 -:040B3400000040007D -:040B38000000400079 -:040B3C000000400075 -:040B40000000400071 -:040B4400000040006D -:040B48000000400069 -:040B4C000000400065 -:040B50000000400061 -:040B5400000040005D -:040B58000000400059 -:040B5C000000400055 -:040B60000000400051 -:040B6400000040004D -:040B68000000400049 -:040B6C000000400045 -:040B70000000400041 -:040B7400000040003D -:040B78000000400039 -:040B7C000000400035 -:040B80000000400031 -:040B8400000040002D -:040B88000000400029 -:040B8C000000400025 -:040B90000000400021 -:040B9400000040001D -:040B98000000400019 -:040B9C000000400015 -:040BA0000000400011 -:040BA400000040000D -:040BA8000000400009 -:040BAC000000400005 -:040BB0000000400001 -:040BB40000004000FD -:040BB80000004000F9 -:040BBC0000004000F5 -:040BC00000004000F1 -:040BC40000004000ED -:040BC80000004000E9 -:040BCC0000004000E5 -:040BD00000004000E1 -:040BD40000004000DD -:040BD80000004000D9 -:040BDC0000004000D5 -:040BE00000004000D1 -:040BE40000004000CD -:040BE80000004000C9 -:040BEC0000004000C5 -:040BF00000004000C1 -:040BF40000004000BD -:040BF80000004000B9 -:040BFC0000004000B5 -:040C000000004000B0 -:040C040000004000AC -:040C080000004000A8 -:040C0C0000004000A4 -:040C100000004000A0 -:040C1400000040009C -:040C18000000400098 -:040C1C000000400094 -:040C20000000400090 -:040C2400000040008C -:040C28000000400088 -:040C2C000000400084 -:040C30000000400080 -:040C3400000040007C -:040C38000000400078 -:040C3C000000400074 -:040C40000000400070 -:040C4400000040006C -:040C48000000400068 -:040C4C000000400064 -:040C50000000400060 -:040C5400000040005C -:040C58000000400058 -:040C5C000000400054 -:040C60000000400050 -:040C6400000040004C -:040C68000000400048 -:040C6C000000400044 -:040C70000000400040 -:040C7400000040003C -:040C78000000400038 -:040C7C000000400034 -:040C80000000400030 -:040C8400000040002C -:040C88000000400028 -:040C8C000000400024 -:040C90000000400020 -:040C9400000040001C -:040C98000000400018 -:040C9C000000400014 -:040CA0000000400010 -:040CA400000040000C -:040CA8000000400008 -:040CAC000000400004 -:040CB0000000400000 -:040CB40000004000FC -:040CB80000004000F8 -:040CBC0000004000F4 -:040CC00000004000F0 -:040CC40000004000EC -:040CC80000004000E8 -:040CCC0000004000E4 -:040CD00000004000E0 -:040CD40000004000DC -:040CD80000004000D8 -:040CDC0000004000D4 -:040CE00000004000D0 -:040CE40000004000CC -:040CE80000004000C8 -:040CEC0000004000C4 -:040CF00000004000C0 -:040CF40000004000BC -:040CF80000004000B8 -:040CFC0000004000B4 -:040D000000004000AF -:040D040000004000AB -:040D080000004000A7 -:040D0C0000004000A3 -:040D1000000040009F -:040D1400000040009B -:040D18000000400097 -:040D1C000000400093 -:040D2000000040008F -:040D2400000040008B -:040D28000000400087 -:040D2C000000400083 -:040D3000000040007F -:040D3400000040007B -:040D38000000400077 -:040D3C000000400073 -:040D4000000040006F -:040D4400000040006B -:040D48000000400067 -:040D4C000000400063 -:040D5000000040005F -:040D5400000040005B -:040D58000000400057 -:040D5C000000400053 -:040D6000000040004F -:040D6400000040004B -:040D68000000400047 -:040D6C000000400043 -:040D7000000040003F -:040D7400000040003B -:040D78000000400037 -:040D7C000000400033 -:040D8000000040002F -:040D8400000040002B -:040D88000000400027 -:040D8C000000400023 -:040D9000000040001F -:040D9400000040001B -:040D98000000400017 -:040D9C000000400013 -:040DA000000040000F -:040DA400000040000B -:040DA8000000400007 -:040DAC000000400003 -:040DB00000004000FF -:040DB40000004000FB -:040DB80000004000F7 -:040DBC0000004000F3 -:040DC00000004000EF -:040DC40000004000EB -:040DC80000004000E7 -:040DCC0000004000E3 -:040DD00000004000DF -:040DD40000004000DB -:040DD80000004000D7 -:040DDC0000004000D3 -:040DE00000004000CF -:040DE40000004000CB -:040DE80000004000C7 -:040DEC0000004000C3 -:040DF00000004000BF -:040DF40000004000BB -:040DF80000004000B7 -:040DFC0000004000B3 -:040E000000004000AE -:040E040000004000AA -:040E080000004000A6 -:040E0C0000004000A2 -:040E1000000040009E -:040E1400000040009A -:040E18000000400096 -:040E1C000000400092 -:040E2000000040008E -:040E2400000040008A -:040E28000000400086 -:040E2C000000400082 -:040E3000000040007E -:040E3400000040007A -:040E38000000400076 -:040E3C000000400072 -:040E4000000040006E -:040E4400000040006A -:040E48000000400066 -:040E4C000000400062 -:040E5000000040005E -:040E5400000040005A -:040E58000000400056 -:040E5C000000400052 -:040E6000000040004E -:040E6400000040004A -:040E68000000400046 -:040E6C000000400042 -:040E7000000040003E -:040E7400000040003A -:040E78000000400036 -:040E7C000000400032 -:040E8000000040002E -:040E8400000040002A -:040E88000000400026 -:040E8C000000400022 -:040E9000000040001E -:040E9400000040001A -:040E98000000400016 -:040E9C000000400012 -:040EA000000040000E -:040EA400000040000A -:040EA8000000400006 -:040EAC000000400002 -:040EB00000004000FE -:040EB40000004000FA -:040EB80000004000F6 -:040EBC0000004000F2 -:040EC00000004000EE -:040EC40000004000EA -:040EC80000004000E6 -:040ECC0000004000E2 -:040ED00000004000DE -:040ED40000004000DA -:040ED80000004000D6 -:040EDC0000004000D2 -:040EE00000004000CE -:040EE40000004000CA -:040EE80000004000C6 -:040EEC0000004000C2 -:040EF00000004000BE -:040EF40000004000BA -:040EF80000004000B6 -:040EFC0000004000B2 -:040F000000004000AD -:040F040000004000A9 -:040F080000004000A5 -:040F0C0000004000A1 -:040F1000000040009D -:040F14000000400099 -:040F18000000400095 -:040F1C000000400091 -:040F2000000040008D -:040F24000000400089 -:040F28000000400085 -:040F2C000000400081 -:040F3000000040007D -:040F34000000400079 -:040F38000000400075 -:040F3C000000400071 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/freq_shift_half_subband_2048x16_im_re.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/freq_shift_half_subband_2048x16_im_re.hex deleted file mode 100644 index 1419be5615624f0d1db5e603a567f26337232fdf..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/freq_shift_half_subband_2048x16_im_re.hex +++ /dev/null @@ -1,2049 +0,0 @@ -:0400000000007FFF7E -:0400040000657FFF15 -:0400080000C97FFEAE -:04000C00012E7FFE44 -:0400100001927FFDDD -:0400140001F77FFB76 -:04001800025B7FF90F -:04001C0002C07FF7A8 -:0400200003247FF541 -:0400240003897FF3DA -:0400280003ED7FF075 -:04002C0004527FEC0F -:0400300004B67FE9AA -:04003400051B7FE544 -:04003800057F7FE1E0 -:04003C0005E37FDC7D -:0400400006487FD817 -:0400440006AC7FD2B5 -:0400480007117FCD50 -:04004C0007757FC7EE -:0400500007D97FC18C -:04005400083E7FBB28 -:0400580008A27FB4C7 -:04005C0009067FAD65 -:04006000096A7FA604 -:0400640009CF7F9FA2 -:040068000A337F9741 -:04006C000A977F8FE1 -:040070000AFB7F8682 -:040074000B5F7F7D22 -:040078000BC47F74C2 -:04007C000C287F6B62 -:040080000C8C7F6104 -:040084000CF07F57A6 -:040088000D547F4D47 -:04008C000DB87F42EA -:040090000E1C7F378C -:040094000E807F2C2F -:040098000EE37F21D3 -:04009C000F477F1576 -:0400A0000FAB7F091A -:0400A400100F7EFCBF -:0400A80010727EEF65 -:0400AC0010D67EE20A -:0400B000113A7ED5AE -:0400B400119D7EC755 -:0400B80012017EB9FA -:0400BC0012647EABA1 -:0400C00012C87E9C48 -:0400C400132B7E8DEF -:0400C800138F7E7E96 -:0400CC0013F27E6F3E -:0400D00014557E5FE6 -:0400D40014B97E4F8E -:0400D800151C7E3E37 -:0400DC00157F7E2EE0 -:0400E00015E27E1D8A -:0400E40016457E0B34 -:0400E80016A87DFADF -:0400EC00170B7DE889 -:0400F000176E7DD535 -:0400F40017D07DC3E1 -:0400F80018337DB08C -:0400FC0018967D9D38 -:0401000018F97D89E4 -:04010400195B7D7690 -:0401080019BE7D623D -:04010C001A207D4DEB -:040110001A827D3999 -:040114001AE57D2447 -:040118001B477D0EF6 -:04011C001BA97CF9A6 -:040120001C0B7CE355 -:040124001C6D7CCD05 -:040128001CCF7CB6B6 -:04012C001D317C9F66 -:040130001D937C8817 -:040134001DF57C71C8 -:040138001E577C5979 -:04013C001EB87C412C -:040140001F1A7C29DD -:040144001F7B7C1091 -:040148001FDD7BF844 -:04014C00203E7BDEF8 -:04015000209F7BC5AC -:0401540021007BAB60 -:0401580021617B9115 -:04015C0021C27B77CA -:0401600022237B5C7F -:0401640022847B4135 -:0401680022E57B26EB -:04016C0023467B0AA1 -:0401700023A67AEE5A -:0401740024077AD210 -:0401780024677AB6C8 -:04017C0024C87A9980 -:0401800025287A7C38 -:0401840025887A5FF1 -:0401880025E87A41AB -:04018C0026487A2364 -:0401900026A87A051E -:04019400270879E6D9 -:04019800276779C894 -:04019C0027C779A94F -:0401A000282679890B -:0401A4002886796AC6 -:0401A80028E5794A83 -:0401AC002944792940 -:0401B00029A37909FD -:0401B4002A0278E8BB -:0401B8002A6178C779 -:0401BC002AC078A538 -:0401C0002B1F7884F5 -:0401C4002B7D7862B5 -:0401C8002BDC783F75 -:0401CC002C3A781D34 -:0401D0002C9977FAF5 -:0401D4002CF777D7B6 -:0401D8002D5577B377 -:0401DC002DB3778F39 -:0401E0002E11776BFA -:0401E4002E6E7747BD -:0401E8002ECC772280 -:0401EC002F2A76FE42 -:0401F0002F8776D807 -:0401F4002FE476B3CB -:0401F8003041768D8F -:0401FC00309E766754 -:0402000030FB764118 -:040204003158761ADD -:0402080031B575F3A4 -:04020C00321175CC6A -:04021000326E75A530 -:0402140032CA757DF8 -:0402180033267555BF -:04021C003383752D86 -:0402200033DF75044F -:04022400343A74DB19 -:04022800349674B2E2 -:04022C0034F27488AC -:04023000354D745F75 -:0402340035A8743540 -:040238003604740A0A -:04023C00365F73E0D6 -:0402400036BA73B5A2 -:040244003715738A6D -:04024800376F735E3B -:04024C0037CA733307 -:0402500038247307D4 -:04025400387E72DBA3 -:0402580038D972AE71 -:04025C00393372813F -:04026000398C72540F -:0402640039E67227DE -:040268003A4071F9AE -:04026C003A9971CB7F -:040270003AF2719D50 -:040274003B4C716F1F -:040278003BA57140F1 -:04027C003BFE7111C3 -:040280003C5670E296 -:040284003CAF70B269 -:040288003D0770833B -:04028C003D6070530E -:040290003DB87022E3 -:040294003E106FF2B7 -:040298003E686FC18C -:04029C003EBF6F9062 -:0402A0003F176F5E37 -:0402A4003F6E6F2C0E -:0402A8003FC56EFBE5 -:0402AC00401D6EC8BB -:0402B00040736E9693 -:0402B40040CA6E636B -:0402B80041216E3042 -:0402BC0041776DFD1C -:0402C00041CE6DC9F5 -:0402C40042246D95CE -:0402C800427A6D61A8 -:0402CC0042D06D2D82 -:0402D00043256CF85E -:0402D400437B6CC339 -:0402D80043D06C8E15 -:0402DC0044256C59F0 -:0402E000447A6C23CD -:0402E40044CF6BEDAB -:0402E80045246BB787 -:0402EC0045786B8165 -:0402F00045CD6B4A43 -:0402F40046216B1321 -:0402F80046756ADC01 -:0402FC0046C96AA4E1 -:04030000471C6A6DBF -:0403040047706A359F -:0403080047C369FD81 -:04030C00481669C462 -:040310004869698B44 -:0403140048BC695226 -:04031800490F691907 -:04031C00496168E0EB -:0403200049B468A6CE -:040324004A06686CB1 -:040328004A58683295 -:04032C004AA967F77C -:040330004AFB67BC61 -:040334004B4C678146 -:040338004B9D67462C -:04033C004BEE670A13 -:040340004C3F66CFF9 -:040344004C906693E0 -:040348004CE06656C9 -:04034C004D31661AAF -:040350004D8165DD99 -:040354004DD165A082 -:040358004E2065636B -:04035C004E70652555 -:040360004EBF64E840 -:040364004F0E64AA2A -:040368004F5D646C15 -:04036C004FAC642D01 -:040370004FFB63EEEE -:04037400504963AFDA -:0403780050976370C7 -:04037C0050E56331B4 -:04038000513362F1A2 -:04038400518162B190 -:0403880051CE62717F -:04038C00521B62316D -:04039000526861F05E -:0403940052B561AF4E -:040398005302616E3D -:04039C00534E612D2E -:0403A000539B60EB20 -:0403A40053E760AA11 -:0403A8005432606803 -:0403AC00547E6025F6 -:0403B00054C95FE3EA -:0403B40055155FA0DC -:0403B80055605F5DD0 -:0403BC0055AA5F1AC5 -:0403C00055F55ED7BA -:0403C400563F5E93AF -:0403C800568A5E4FA4 -:0403CC0056D35E0B9B -:0403D000571D5DC791 -:0403D40057675D8288 -:0403D80057B05D3E7F -:0403DC0057F95CF978 -:0403E00058425CB370 -:0403E400588B5C6E68 -:0403E80058D35C2862 -:0403EC00591C5BE25B -:0403F00059645B9C55 -:0403F40059AC5B564F -:0403F80059F35B0F4B -:0403FC005A3B5AC945 -:040400005A825A8240 -:040404005AC95A3B3C -:040408005B0F59F33A -:04040C005B5659AC36 -:040410005B9C596434 -:040414005BE2591C32 -:040418005C2858D331 -:04041C005C6E588B2F -:040420005CB358422F -:040424005CF957F92F -:040428005D3E57B02E -:04042C005D8257672F -:040430005DC7571D30 -:040434005E0B56D332 -:040438005E4F568A33 -:04043C005E93563F36 -:040440005ED755F539 -:040444005F1A55AA3C -:040448005F5D55603F -:04044C005FA0551543 -:040450005FE354C949 -:040454006025547E4D -:040458006068543252 -:04045C0060AA53E758 -:0404600060EB539B5F -:04046400612D534E65 -:04046800616E53026C -:04046C0061AF52B575 -:0404700061F052687D -:040474006231521B84 -:04047800627151CE8E -:04047C0062B1518197 -:0404800062F15133A1 -:04048400633150E5AB -:0404880063705097B6 -:04048C0063AF5049C1 -:0404900063EE4FFBCD -:04049400642D4FACD8 -:04049800646C4F5DE4 -:04049C0064AA4F0EF1 -:0404A00064E84EBFFF -:0404A40065254E700C -:0404A80065634E201A -:0404AC0065A04DD129 -:0404B00065DD4D8138 -:0404B400661A4D3146 -:0404B80066564CE058 -:0404BC0066934C9067 -:0404C00066CF4C3F78 -:0404C400670A4BEE8A -:0404C80067464B9D9B -:0404CC0067814B4CAD -:0404D00067BC4AFBC0 -:0404D40067F74AA9D3 -:0404D80068324A58E4 -:0404DC00686C4A06F8 -:0404E00068A649B40D -:0404E40068E0496122 -:0404E8006919490F36 -:0404EC00695248BC4D -:0404F000698B486963 -:0404F40069C4481679 -:0404F80069FD47C390 -:0404FC006A354770A6 -:040500006A6D471CBD -:040504006AA446C9D6 -:040508006ADC4675EE -:04050C006B13462106 -:040510006B4A45CD20 -:040514006B8145783A -:040518006BB7452454 -:04051C006BED44CF70 -:040520006C23447A8A -:040524006C594425A5 -:040528006C8E43D0C2 -:04052C006CC3437BDE -:040530006CF84325FB -:040534006D2D42D017 -:040538006D61427A35 -:04053C006D95422453 -:040540006DC941CE72 -:040544006DFD417791 -:040548006E304121AF -:04054C006E6340CAD0 -:040550006E964073F0 -:040554006EC8401D10 -:040558006EFB3FC532 -:04055C006F2C3F6E53 -:040560006F5E3F1774 -:040564006F903EBF97 -:040568006FC13E68B9 -:04056C006FF23E10DC -:0405700070223DB800 -:0405740070533D6023 -:0405780070833D0748 -:04057C0070B23CAF6E -:0405800070E23C5693 -:0405840071113BFEB8 -:0405880071403BA5DE -:04058C00716F3B4C04 -:04059000719D3AF22D -:0405940071CB3A9954 -:0405980071F93A407B -:04059C00722739E6A3 -:0405A0007254398CCC -:0405A40072813933F4 -:0405A80072AE38D91E -:0405AC0072DB387E48 -:0405B0007307382471 -:0405B400733337CA9C -:0405B800735E376FC8 -:0405BC00738A3715F2 -:0405C00073B536BA1F -:0405C40073E0365F4B -:0405C800740A360477 -:0405CC00743535A8A5 -:0405D000745F354DD2 -:0405D400748834F201 -:0405D80074B234962F -:0405DC0074DB343A5E -:0405E000750433DF8C -:0405E400752D3383BB -:0405E80075553326EC -:0405EC00757D32CA1D -:0405F00075A5326E4D -:0405F40075CC32117F -:0405F80075F331B5B1 -:0405FC00761A3158E2 -:04060000764130FB14 -:040604007667309E47 -:04060800768D30417A -:04060C0076B32FE4AE -:0406100076D82F87E2 -:0406140076FE2F2A15 -:0406180077222ECC4B -:04061C0077472E6E80 -:04062000776B2E11B5 -:04062400778F2DB3EC -:0406280077B32D5522 -:04062C0077D72CF759 -:0406300077FA2C9990 -:04063400781D2C3AC7 -:04063800783F2BDC00 -:04063C0078622B7D38 -:0406400078842B1F70 -:0406440078A52AC0AB -:0406480078C72A61E4 -:04064C0078E82A021E -:04065000790929A358 -:040654007929294493 -:04065800794A28E5CE -:04065C00796A288609 -:040660007989282646 -:0406640079A927C782 -:0406680079C82767BF -:04066C0079E62708FC -:040670007A0526A839 -:040674007A23264877 -:040678007A4125E8B6 -:04067C007A5F2588F4 -:040680007A7C252833 -:040684007A9924C873 -:040688007AB62467B3 -:04068C007AD22407F3 -:040690007AEE23A635 -:040694007B0A234674 -:040698007B2622E5B6 -:04069C007B412284F8 -:0406A0007B5C22233A -:0406A4007B7721C27D -:0406A8007B912161C0 -:0406AC007BAB210003 -:0406B0007BC5209F47 -:0406B4007BDE203E8B -:0406B8007BF81FDDCF -:0406BC007C101F7B14 -:0406C0007C291F1A58 -:0406C4007C411EB89F -:0406C8007C591E57E4 -:0406CC007C711DF52B -:0406D0007C881D9372 -:0406D4007C9F1D31B9 -:0406D8007CB61CCF01 -:0406DC007CCD1C6D48 -:0406E0007CE31C0B90 -:0406E4007CF91BA9D9 -:0406E8007D0E1B4721 -:0406EC007D241AE56A -:0406F0007D391A82B4 -:0406F4007D4D1A20FE -:0406F8007D6219BE48 -:0406FC007D76195B93 -:040700007D8918F9DE -:040704007D9D189629 -:040708007DB0183375 -:04070C007DC317D0C2 -:040710007DD5176E0E -:040714007DE8170B5A -:040718007DFA16A8A8 -:04071C007E0B1645F5 -:040720007E1D15E243 -:040724007E2E157F91 -:040728007E3E151CE0 -:04072C007E4F14B92F -:040730007E5F14557F -:040734007E6F13F2CF -:040738007E7E138F1F -:04073C007E8D132B70 -:040740007E9C12C8C1 -:040744007EAB126412 -:040748007EB9120163 -:04074C007EC7119DB6 -:040750007ED5113A07 -:040754007EE210D65B -:040758007EEF1072AE -:04075C007EFC100F00 -:040760007F090FAB53 -:040764007F150F47A7 -:040768007F210EE3FC -:04076C007F2C0E8050 -:040770007F370E1CA5 -:040774007F420DB8FB -:040778007F4D0D5450 -:04077C007F570CF0A7 -:040780007F610C8CFD -:040784007F6B0C2853 -:040788007F740BC4AB -:04078C007F7D0B5F03 -:040790007F860AFB5B -:040794007F8F0A97B2 -:040798007F970A330A -:04079C007F9F09CF63 -:0407A0007FA6096ABD -:0407A4007FAD090616 -:0407A8007FB408A270 -:0407AC007FBB083EC9 -:0407B0007FC107D925 -:0407B4007FC707757F -:0407B8007FCD0711D9 -:0407BC007FD206AC36 -:0407C0007FD8064890 -:0407C4007FDC05E3EE -:0407C8007FE1057F49 -:0407CC007FE5051BA5 -:0407D0007FE904B603 -:0407D4007FEC045260 -:0407D8007FF003EDBE -:0407DC007FF303891B -:0407E0007FF503247A -:0407E4007FF702C0D9 -:0407E8007FF9025B38 -:0407EC007FFB01F797 -:0407F0007FFD0192F6 -:0407F4007FFE012E55 -:0407F8007FFE00C9B7 -:0407FC007FFF006516 -:040800007FFF000076 -:040804007FFFFF9BD8 -:040808007FFEFF3739 -:04080C007FFEFED29B -:040810007FFDFE6EFC -:040814007FFBFE095F -:040818007FF9FDA5C2 -:04081C007FF7FD4025 -:040820007FF5FCDC88 -:040824007FF3FC77EB -:040828007FF0FC134E -:04082C007FECFBAEB4 -:040830007FE9FB4A17 -:040834007FE5FAE57D -:040838007FE1FA81E1 -:04083C007FDCFA1D46 -:040840007FD8F9B8AC -:040844007FD2F95412 -:040848007FCDF8EF79 -:04084C007FC7F88BDF -:040850007FC1F82745 -:040854007FBBF7C2AD -:040858007FB4F75E14 -:04085C007FADF6FA7C -:040860007FA6F696E3 -:040864007F9FF6314B -:040868007F97F5CDB4 -:04086C007F8FF5691C -:040870007F86F50585 -:040874007F7DF4A1EF -:040878007F74F43C59 -:04087C007F6BF3D8C3 -:040880007F61F3742D -:040884007F57F31097 -:040888007F4DF2AC02 -:04088C007F42F2486D -:040890007F37F1E4D9 -:040894007F2CF18044 -:040898007F21F11DAE -:04089C007F15F0B91B -:0408A0007F09F05587 -:0408A4007EFCEFF1F6 -:0408A8007EEFEF8E62 -:0408AC007EE2EF2ACF -:0408B0007ED5EEC63D -:0408B4007EC7EE63AA -:0408B8007EB9EDFF19 -:0408BC007EABED9C86 -:0408C0007E9CED38F5 -:0408C4007E8DECD564 -:0408C8007E7EEC71D3 -:0408CC007E6FEC0E41 -:0408D0007E5FEBABB1 -:0408D4007E4FEB4721 -:0408D8007E3EEAE492 -:0408DC007E2EEA8101 -:0408E0007E1DEA1E71 -:0408E4007E0BE9BBE3 -:0408E8007DFAE95854 -:0408EC007DE8E8F5C6 -:0408F0007DD5E89238 -:0408F4007DC3E830A8 -:0408F8007DB0E7CD1B -:0408FC007D9DE76A8D -:040900007D89E707FF -:040904007D76E6A571 -:040908007D62E642E4 -:04090C007D4DE5E058 -:040910007D39E57ECA -:040914007D24E51B3E -:040918007D0EE4B9B3 -:04091C007CF9E45727 -:040920007CE3E3F59C -:040924007CCDE39310 -:040928007CB6E33185 -:04092C007C9FE2CFFB -:040930007C88E26D70 -:040934007C71E20BE5 -:040938007C59E1A95C -:04093C007C41E148D1 -:040940007C29E0E648 -:040944007C10E085BE -:040948007BF8E02335 -:04094C007BDEDFC2AD -:040950007BC5DF6123 -:040954007BABDF009A -:040958007B91DE9F12 -:04095C007B77DE3E89 -:040960007B5CDDDD02 -:040964007B41DD7C7A -:040968007B26DD1BF2 -:04096C007B0ADCBA6C -:040970007AEEDC5AE5 -:040974007AD2DBF95F -:040978007AB6DB99D7 -:04097C007A99DB3851 -:040980007A7CDAD8CB -:040984007A5FDA7844 -:040988007A41DA18BE -:04098C007A23D9B839 -:040990007A05D958B3 -:0409940079E6D8F830 -:0409980079C8D899A9 -:04099C0079A9D83924 -:0409A0007989D7DAA0 -:0409A400796AD77A1B -:0409A800794AD71B96 -:0409AC007929D6BC13 -:0409B0007909D65D8E -:0409B40078E8D5FE0C -:0409B80078C7D59F88 -:0409BC0078A5D54005 -:0409C0007884D4E182 -:0409C4007862D483FE -:0409C800783FD4247C -:0409CC00781DD3C6F9 -:0409D00077FAD36778 -:0409D40077D7D309F5 -:0409D80077B3D2AB74 -:0409DC00778FD24DF2 -:0409E000776BD1EF71 -:0409E4007747D192EE -:0409E8007722D1346D -:0409EC0076FED0D6ED -:0409F00076D8D0796C -:0409F40076B3D01CEA -:0409F800768DCFBF6A -:0409FC007667CF62E9 -:040A00007641CF0567 -:040A0400761ACEA8E8 -:040A080075F3CE4B69 -:040A0C0075CCCDEFE9 -:040A100075A5CD9269 -:040A1400757DCD36E9 -:040A18007555CCDA6A -:040A1C00752DCC7DEB -:040A20007504CC216C -:040A240074DBCBC6EE -:040A280074B2CB6A6F -:040A2C007488CB0EF1 -:040A3000745FCAB372 -:040A34007435CA58F3 -:040A3800740AC9FC77 -:040A3C0073E0C9A1F9 -:040A400073B5C9467B -:040A4400738AC8EBFE -:040A4800735EC89180 -:040A4C007333C83602 -:040A50007307C7DC85 -:040A540072DBC78208 -:040A580072AEC7278C -:040A5C007281C6CD10 -:040A60007254C67492 -:040A64007227C61A15 -:040A680071F9C5C09B -:040A6C0071CBC5671E -:040A7000719DC50EA1 -:040A7400716FC4B426 -:040A78007140C45BAA -:040A7C007111C4022E -:040A800070E2C3AAB3 -:040A840070B2C35138 -:040A88007083C2F9BC -:040A8C007053C2A041 -:040A90007022C248C6 -:040A94006FF2C1F04C -:040A98006FC1C198D1 -:040A9C006F90C14155 -:040AA0006F5EC0E9DC -:040AA4006F2CC09261 -:040AA8006EFBC03BE6 -:040AAC006EC8BFE36E -:040AB0006E96BF8DF2 -:040AB4006E63BF3678 -:040AB8006E30BEDFFF -:040ABC006DFDBE8985 -:040AC0006DC9BE320C -:040AC4006D95BDDC93 -:040AC8006D61BD8619 -:040ACC006D2DBD309F -:040AD0006CF8BCDB27 -:040AD4006CC3BC85AE -:040AD8006C8EBC3034 -:040ADC006C59BBDBBB -:040AE0006C23BB8642 -:040AE4006BEDBB31CA -:040AE8006BB7BADC52 -:040AEC006B81BA88D8 -:040AF0006B4ABA3360 -:040AF4006B13B9DFE8 -:040AF8006ADCB98B70 -:040AFC006AA4B937F8 -:040B00006A6DB8E47E -:040B04006A35B89006 -:040B080069FDB83D8E -:040B0C0069C4B7EA17 -:040B1000698BB7979F -:040B14006952B74427 -:040B18006919B6F1B0 -:040B1C0068E0B69F38 -:040B200068A6B64CC1 -:040B2400686CB5FA4A -:040B28006832B5A8D2 -:040B2C0067F7B5575B -:040B300067BCB505E4 -:040B34006781B4B46D -:040B38006746B463F5 -:040B3C00670AB4127E -:040B400066CFB3C108 -:040B44006693B37091 -:040B48006656B3201A -:040B4C00661AB2CFA4 -:040B500065DDB27F2E -:040B540065A0B22FB7 -:040B58006563B1E040 -:040B5C006525B190CA -:040B600064E8B14153 -:040B640064AAB0F2DD -:040B6800646CB0A366 -:040B6C00642DB054F0 -:040B700063EEB0057B -:040B740063AFAFB705 -:040B78006370AF698E -:040B7C006331AF1B17 -:040B800062F1AECDA3 -:040B840062B1AE7F2D -:040B88006271AE32B6 -:040B8C006231ADE540 -:040B900061F0AD98CB -:040B940061AFAD4B55 -:040B9800616EACFEE0 -:040B9C00612DACB269 -:040BA00060EBAC65F5 -:040BA40060AAAC197E -:040BA8006068ABCE08 -:040BAC006025AB8293 -:040BB0005FE3AB371D -:040BB4005FA0AAEBA9 -:040BB8005F5DAAA033 -:040BBC005F1AAA56BC -:040BC0005ED7AA0B47 -:040BC4005E93A9C1D2 -:040BC8005E4FA9765D -:040BCC005E0BA92DE6 -:040BD0005DC7A8E372 -:040BD4005D82A899FD -:040BD8005D3EA85086 -:040BDC005CF9A80711 -:040BE0005CB3A7BE9D -:040BE4005C6EA77527 -:040BE8005C28A72DB1 -:040BEC005BE2A6E43E -:040BF0005B9CA69CC8 -:040BF4005B56A65452 -:040BF8005B0FA60DDC -:040BFC005AC9A5C568 -:040C00005A82A57EF1 -:040C04005A3BA5377B -:040C080059F3A4F107 -:040C0C0059ACA4AA91 -:040C10005964A4641B -:040C1400591CA41EA5 -:040C180058D3A3D832 -:040C1C00588BA392BC -:040C20005842A34D46 -:040C240057F9A307D2 -:040C280057B0A2C25D -:040C2C005767A27EE6 -:040C3000571DA23971 -:040C340056D3A1F5FD -:040C3800568AA1B186 -:040C3C00563FA16D11 -:040C400055F5A1299C -:040C440055AAA0E627 -:040C48005560A0A3B0 -:040C4C005515A0603A -:040C500054C9A01DC6 -:040C5400547E9FDB50 -:040C580054329F98DB -:040C5C0053E79F5665 -:040C6000539B9F15EE -:040C6400534E9ED37A -:040C680053029E9203 -:040C6C0052B59E518E -:040C700052689E1018 -:040C7400521B9DCFA3 -:040C780051CE9D8F2D -:040C7C0051819D4FB6 -:040C800051339D0F40 -:040C840050E59CCFCC -:040C880050979C9055 -:040C8C0050499C51DE -:040C90004FFB9C1268 -:040C94004FAC9BD3F3 -:040C98004F5D9B947D -:040C9C004F0E9B5606 -:040CA0004EBF9B1890 -:040CA4004E709ADB19 -:040CA8004E209A9DA3 -:040CAC004DD19A602C -:040CB0004D819A23B5 -:040CB4004D3199E63F -:040CB8004CE099AAC9 -:040CBC004C90996D52 -:040CC0004C3F9931DB -:040CC4004BEE98F665 -:040CC8004B9D98BAEE -:040CCC004B4C987F76 -:040CD0004AFB9844FF -:040CD4004AA9980988 -:040CD8004A5897CE11 -:040CDC004A06979499 -:040CE00049B4975A22 -:040CE40049619720AB -:040CE800490F96E733 -:040CEC0048BC96AEBC -:040CF0004869967544 -:040CF4004816963CCC -:040CF80047C3960355 -:040CFC00477095CBDD -:040D0000471C959364 -:040D040046C9955CEB -:040D08004675952473 -:040D0C00462194EDFB -:040D100045CD94B683 -:040D14004578947F0B -:040D18004524944991 -:040D1C0044CF941319 -:040D2000447A93DDA1 -:040D2400442593A728 -:040D280043D09372AF -:040D2C00437B933D35 -:040D300043259308BC -:040D340042D092D344 -:040D3800427A929FCA -:040D3C004224926B50 -:040D400041CE9237D7 -:040D4400417792035E -:040D4800412191D0E4 -:040D4C0040CA919D6B -:040D50004073916AF1 -:040D5400401D913875 -:040D58003FC59105FD -:040D5C003F6E90D482 -:040D60003F1790A207 -:040D64003EBF90708E -:040D68003E68903F12 -:040D6C003E10900E97 -:040D70003DB88FDE1D -:040D74003D608FADA2 -:040D78003D078F7D27 -:040D7C003CAF8F4EAB -:040D80003C568F1E30 -:040D84003BFE8EEFB5 -:040D88003BA58EC039 -:040D8C003B4C8E91BD -:040D90003AF28E6342 -:040D94003A998E35C5 -:040D98003A408E0748 -:040D9C0039E68DD9CE -:040DA000398C8DAC51 -:040DA40039338D7FD3 -:040DA80038D98D5257 -:040DAC00387E8D25DB -:040DB00038248CF95E -:040DB40037CA8CCDE1 -:040DB800376F8CA263 -:040DBC0037158C76E5 -:040DC00036BA8C4B68 -:040DC400365F8C20EA -:040DC80036048BF66C -:040DCC0035A88BCBF0 -:040DD000354D8BA171 -:040DD40034F28B78F2 -:040DD80034968B4E74 -:040DDC00343A8B25F5 -:040DE00033DF8AFC77 -:040DE40033838AD3F8 -:040DE80033268AAB79 -:040DEC0032CA8A83FA -:040DF000326E8A5B7A -:040DF40032118A34FA -:040DF80031B58A0D7A -:040DFC00315889E6FB -:040E000030FB89BF7B -:040E0400309E8999FA -:040E08003041897379 -:040E0C002FE4894DF9 -:040E10002F87892877 -:040E14002F2A8902F6 -:040E18002ECC88DE76 -:040E1C002E6E88B9F5 -:040E20002E11889572 -:040E24002DB38871F1 -:040E28002D55884D6F -:040E2C002CF78829EE -:040E30002C9988066B -:040E34002C3A87E3EA -:040E38002BDC87C167 -:040E3C002B7D879EE5 -:040E40002B1F877C61 -:040E44002AC0875BDE -:040E48002A6187395B -:040E4C002A028718D7 -:040E500029A386F755 -:040E5400294486D7D0 -:040E580028E586B64D -:040E5C0028868696C8 -:040E60002826867743 -:040E640027C78657BF -:040E6800276786383A -:040E6C002708861AB3 -:040E700026A885FB30 -:040E7400264885DDAA -:040E780025E885BF25 -:040E7C00258885A19F -:040E80002528858418 -:040E840024C8856792 -:040E88002467854A0C -:040E8C002407852E84 -:040E900023A68512FE -:040E9400234684F677 -:040E980022E584DAF1 -:040E9C00228484BF69 -:040EA000222384A4E1 -:040EA40021C284895A -:040EA8002161846FD1 -:040EAC002100845548 -:040EB000209F843BC0 -:040EB400203E842236 -:040EB8001FDD8408AE -:040EBC001F7B83F025 -:040EC0001F1A83D79B -:040EC4001EB883BF12 -:040EC8001E5783A787 -:040ECC001DF5838FFE -:040ED0001D93837873 -:040ED4001D318361E8 -:040ED8001CCF834A5E -:040EDC001C6D8333D3 -:040EE0001C0B831D47 -:040EE4001BA98307BC -:040EE8001B4782F230 -:040EEC001AE582DCA5 -:040EF0001A8282C719 -:040EF4001A2082B38B -:040EF80019BE829EFF -:040EFC00195B828A72 -:040F000018F98277E3 -:040F04001896826356 -:040F080018338250C8 -:040F0C0017D0823D3B -:040F1000176E822BAB -:040F1400170B82181D -:040F180016A882068F -:040F1C00164581F500 -:040F200015E281E372 -:040F2400157F81D2E2 -:040F2800151C81C251 -:040F2C0014B981B1C2 -:040F3000145581A132 -:040F340013F28191A2 -:040F3800138F818210 -:040F3C00132B81737F -:040F400012C88164EE -:040F4400126481555D -:040F480012018147CA -:040F4C00119D813939 -:040F5000113A812BA6 -:040F540010D6811E14 -:040F58001072811181 -:040F5C00100F8104ED -:040F60000FAB80F75C -:040F64000F4780EBC8 -:040F68000EE380DF35 -:040F6C000E8080D49F -:040F70000E1C80C90A -:040F74000DB880BE76 -:040F78000D5480B3E1 -:040F7C000CF080A94C -:040F80000C8C809FB6 -:040F84000C28809520 -:040F88000BC4808C8A -:040F8C000B5F8083F4 -:040F90000AFB807A5E -:040F94000A978071C7 -:040F98000A3380692F -:040F9C0009CF806198 -:040FA000096A805A00 -:040FA4000906805367 -:040FA80008A2804CCF -:040FAC00083E804536 -:040FB00007D9803F9E -:040FB4000775803904 -:040FB800071180336A -:040FBC0006AC802ED1 -:040FC0000648802837 -:040FC40005E380249D -:040FC800057F801F02 -:040FCC00051B801B66 -:040FD00004B68017CC -:040FD400045280142F -:040FD80003ED801095 -:040FDC000389800DF8 -:040FE0000324800B5B -:040FE40002C08009BE -:040FE800025B800721 -:040FEC0001F7800584 -:040FF00001928003E7 -:040FF400012E800248 -:040FF80000C98002AA -:040FFC00006580010B -:04100000000080016B -:04100400FF9B8001CD -:04100800FF3780022C -:04100C00FED280028E -:04101000FE6E8003ED -:04101400FE0980054C -:04101800FDA58007AB -:04101C00FD4080090A -:04102000FCDC800B69 -:04102400FC77800DC8 -:04102800FC13801025 -:04102C00FBAE801483 -:04103000FB4A8017E0 -:04103400FAE5801B3E -:04103800FA81801F9A -:04103C00FA1D8024F5 -:04104000F9B8802853 -:04104400F954802EAD -:04104800F8EF80330A -:04104C00F88B803964 -:04105000F827803FBE -:04105400F7C280451A -:04105800F75E804C73 -:04105C00F6FA8053CD -:04106000F696805A26 -:04106400F631806180 -:04106800F5CD8069D9 -:04106C00F569807131 -:04107000F505807A88 -:04107400F4A18083E0 -:04107800F43C808C38 -:04107C00F3D8809590 -:04108000F374809FE6 -:04108400F31080A93C -:04108800F2AC80B393 -:04108C00F24880BEE8 -:04109000F1E480C93E -:04109400F18080D493 -:04109800F11D80DFE7 -:04109C00F0B980EB3C -:0410A000F05580F790 -:0410A400EFF18104E3 -:0410A800EF8E811135 -:0410AC00EF2A811E88 -:0410B000EEC6812BDC -:0410B400EE6381392D -:0410B800EDFF814780 -:0410BC00ED9C8155D1 -:0410C000ED38816422 -:0410C400ECD5817373 -:0410C800EC718182C4 -:0410CC00EC0E819114 -:0410D000EBAB81A164 -:0410D400EB4781B1B4 -:0410D800EAE481C203 -:0410DC00EA8181D252 -:0410E000EA1E81E3A0 -:0410E400E9BB81F5EE -:0410E800E95882063B -:0410EC00E8F5821889 -:0410F000E892822BD5 -:0410F400E830823D21 -:0410F800E7CD82506E -:0410FC00E76A8263BA -:04110000E707827704 -:04110400E6A5828A50 -:04110800E642829E9B -:04110C00E5E082B3E5 -:04111000E57E82C72F -:04111400E51B82DC79 -:04111800E4B982F2C2 -:04111C00E45783070A -:04112000E3F5831D53 -:04112400E39383339B -:04112800E331834AE2 -:04112C00E2CF83612A -:04113000E26D837871 -:04113400E20B838FB8 -:04113800E1A983A7FF -:04113C00E14883BF44 -:04114000E0E683D78B -:04114400E08583F0CF -:04114800E023840814 -:04114C00DFC2842258 -:04115000DF61843B9C -:04115400DF008455DF -:04115800DE9F846F23 -:04115C00DE3E848966 -:04116000DDDD84A4A9 -:04116400DD7C84BFEB -:04116800DD1B84DA2D -:04116C00DCBA84F66F -:04117000DC5A8512AE -:04117400DBF9852EF0 -:04117800DB99854A30 -:04117C00DB38856770 -:04118000DAD88584B0 -:04118400DA7885A1EF -:04118800DA1885BF2D -:04118C00D9B885DD6C -:04119000D95885FBAA -:04119400D8F8861AE7 -:04119800D899863824 -:04119C00D839865761 -:0411A000D7DA86779D -:0411A400D77A8696DA -:0411A800D71B86B615 -:0411AC00D6BC86D750 -:0411B000D65D86F78B -:0411B400D5FE8718C5 -:0411B800D59F8739FF -:0411BC00D540875B38 -:0411C000D4E1877C73 -:0411C400D483879EAB -:0411C800D42487C1E3 -:0411CC00D3C687E31C -:0411D000D367880653 -:0411D400D30988298A -:0411D800D2AB884DC1 -:0411DC00D24D8871F7 -:0411E000D1EF88952E -:0411E400D19288B963 -:0411E800D13488DE98 -:0411EC00D0D68902CE -:0411F000D079892801 -:0411F400D01C894D35 -:0411F800CFBF897369 -:0411FC00CF6289999C -:04120000CF0589BFCE -:04120400CEA889E601 -:04120800CE4B8A0D32 -:04120C00CDEF8A3464 -:04121000CD928A5B96 -:04121400CD368A83C6 -:04121800CCDA8AABF7 -:04121C00CC7D8AD328 -:04122000CC218AFC57 -:04122400CBC68B2585 -:04122800CB6A8B4EB4 -:04122C00CB0E8B78E2 -:04123000CAB38BA111 -:04123400CA588BCB3E -:04123800C9FC8BF66C -:04123C00C9A18C2098 -:04124000C9468C4BC4 -:04124400C8EB8C76F1 -:04124800C8918CA21B -:04124C00C8368CCD47 -:04125000C7DC8CF972 -:04125400C7828D259B -:04125800C7278D52C5 -:04125C00C6CD8D7FEF -:04126000C6748DAC17 -:04126400C61A8DD940 -:04126800C5C08E0768 -:04126C00C5678E358F -:04127000C50E8E63B6 -:04127400C4B48E91DF -:04127800C45B8EC005 -:04127C00C4028EEF2B -:04128000C3AA8F1E50 -:04128400C3518F4E75 -:04128800C2F98F7D9B -:04128C00C2A08FADC0 -:04129000C2488FDEE3 -:04129400C1F0900E07 -:04129800C198903F2A -:04129C00C14190704C -:0412A000C0E990A26F -:0412A400C09290D490 -:0412A800C03B9105B1 -:0412AC00BFE39138D3 -:0412B000BF8D916AF3 -:0412B400BF36919D13 -:0412B800BEDF91D034 -:0412BC00BE89920352 -:0412C000BE32923771 -:0412C400BDDC926B90 -:0412C800BD86929FAE -:0412CC00BD3092D3CC -:0412D000BCDB9308E8 -:0412D400BC85933D05 -:0412D800BC30937221 -:0412DC00BBDB93A73E -:0412E000BB8693DD59 -:0412E400BB31941373 -:0412E800BADC94498F -:0412EC00BA88947FA9 -:0412F000BA3394B6C3 -:0412F400B9DF94EDDD -:0412F800B98B9524F5 -:0412FC00B937955C0D -:04130000B8E4959325 -:04130400B89095CB3D -:04130800B83D960353 -:04130C00B7EA963C6A -:04131000B797967580 -:04131400B74496AE96 -:04131800B6F196E7AD -:04131C00B69F9720C1 -:04132000B64C975AD6 -:04132400B5FA9794EB -:04132800B5A897CEFF -:04132C00B557980910 -:04133000B505984423 -:04133400B4B4987F36 -:04133800B46398BA48 -:04133C00B41298F659 -:04134000B3C199316B -:04134400B370996D7C -:04134800B32099AA8B -:04134C00B2CF99E69D -:04135000B27F9A23AB -:04135400B22F9A60BA -:04135800B1E09A9DC9 -:04135C00B1909ADBD7 -:04136000B1419B18E4 -:04136400B0F29B56F2 -:04136800B0A39B94FF -:04136C00B0549BD30B -:04137000B0059C1216 -:04137400AFB79C5122 -:04137800AF699C902D -:04137C00AF1B9CCF38 -:04138000AECD9D0F42 -:04138400AE7F9D4F4C -:04138800AE329D8F55 -:04138C00ADE59DCF5F -:04139000AD989E1066 -:04139400AD4B9E516E -:04139800ACFE9E9277 -:04139C00ACB29ED37E -:0413A000AC659F1584 -:0413A400AC199F568B -:0413A800ABCE9F9891 -:0413AC00AB829FDB96 -:0413B000AB37A01D9A -:0413B400AAEBA060A0 -:0413B800AAA0A0A3A4 -:0413BC00AA56A0E6A7 -:0413C000AA0BA129AA -:0413C400A9C1A16DAD -:0413C800A976A1B1B0 -:0413CC00A92DA1F5B1 -:0413D000A8E3A239B3 -:0413D400A899A27EB4 -:0413D800A850A2C2B5 -:0413DC00A807A307B4 -:0413E000A7BEA34DB4 -:0413E400A775A392B4 -:0413E800A72DA3D8B2 -:0413EC00A6E4A41EB1 -:0413F000A69CA464AF -:0413F400A654A4AAAD -:0413F800A60DA4F1A9 -:0413FC00A5C5A537A7 -:04140000A57EA57EA2 -:04140400A537A5C59E -:04140800A4F1A60D98 -:04140C00A4AAA65494 -:04141000A464A69C8E -:04141400A41EA6E488 -:04141800A3D8A72D81 -:04141C00A392A7757B -:04142000A34DA7BE73 -:04142400A307A8076B -:04142800A2C2A85064 -:04142C00A27EA8995B -:04143000A239A8E352 -:04143400A1F5A92D48 -:04143800A1B1A9763F -:04143C00A16DA9C134 -:04144000A129AA0B29 -:04144400A0E6AA561E -:04144800A0A3AAA013 -:04144C00A060AAEB07 -:04145000A01DAB37F9 -:041454009FDBAB82ED -:041458009F98ABCEE0 -:04145C009F56AC19D2 -:041460009F15AC65C3 -:041464009ED3ACB2B5 -:041468009E92ACFEA6 -:04146C009E51AD4B95 -:041470009E10AD9885 -:041474009DCFADE576 -:041478009D8FAE3264 -:04147C009D4FAE7F53 -:041480009D0FAECD41 -:041484009CCFAF1B2F -:041488009C90AF691C -:04148C009C51AFB709 -:041490009C12B005F5 -:041494009BD3B054E2 -:041498009B94B0A3CE -:04149C009B56B0F2B9 -:0414A0009B18B141A3 -:0414A4009ADBB1908E -:0414A8009A9DB1E078 -:0414AC009A60B22F61 -:0414B0009A23B27F4A -:0414B40099E6B2CF34 -:0414B80099AAB3201A -:0414BC00996DB37003 -:0414C0009931B3C1EA -:0414C40098F6B412D0 -:0414C80098BAB463B7 -:0414CC00987FB4B49D -:0414D0009844B50582 -:0414D4009809B55767 -:0414D80097CEB5A84E -:0414DC009794B5FA32 -:0414E000975AB64C15 -:0414E4009720B69FF8 -:0414E80096E7B6F1DC -:0414EC0096AEB744BD -:0414F0009675B7979F -:0414F400963CB7EA81 -:0414F8009603B83D62 -:0414FC0095CBB89044 -:041500009593B8E423 -:04150400955CB93702 -:041508009524B98BE2 -:04150C0094EDB9DFC2 -:0415100094B6BA33A0 -:04151400947FBA887E -:041518009449BADC5C -:04151C009413BB3138 -:0415200093DDBB8616 -:0415240093A7BBDBF3 -:041528009372BC30CE -:04152C00933DBC85AA -:041530009308BCDB85 -:0415340092D3BD3061 -:04153800929FBD863B -:04153C00926BBDDC15 -:041540009237BE32EE -:041544009203BE89C7 -:0415480091D0BEDFA1 -:04154C00919DBF3678 -:04155000916ABF8D50 -:041554009138BFE328 -:041558009105C03BFE -:04155C0090D4C092D5 -:0415600090A2C0E9AC -:041564009070C14181 -:04156800903FC19857 -:04156C00900EC1F02C -:041570008FDEC24800 -:041574008FADC2A0D5 -:041578008F7DC2F9A8 -:04157C008F4EC3517A -:041580008F1EC3AA4D -:041584008EEFC40220 -:041588008EC0C45BF2 -:04158C008E91C4B4C4 -:041590008E63C50E93 -:041594008E35C56764 -:041598008E07C5C035 -:04159C008DD9C61A05 -:0415A0008DACC674D4 -:0415A4008D7FC6CDA4 -:0415A8008D52C72772 -:0415AC008D25C78240 -:0415B0008CF9C7DC0F -:0415B4008CCDC836DC -:0415B8008CA2C891A8 -:0415BC008C76C8EB76 -:0415C0008C4BC94641 -:0415C4008C20C9A10D -:0415C8008BF6C9FCD9 -:0415CC008BCBCA58A3 -:0415D0008BA1CAB36E -:0415D4008B78CB0E37 -:0415D8008B4ECB6A01 -:0415DC008B25CBC6CA -:0415E0008AFCCC2194 -:0415E4008AD3CC7D5D -:0415E8008AABCCDA24 -:0415EC008A83CD36EB -:0415F0008A5BCD92B3 -:0415F4008A34CDEF79 -:0415F8008A0DCE4B3F -:0415FC0089E6CEA806 -:0416000089BFCF05CA -:041604008999CF628F -:041608008973CFBF54 -:04160C00894DD01C18 -:041610008928D079DC -:041614008902D0D6A1 -:0416180088DED13463 -:04161C0088B9D19226 -:041620008895D1EFE9 -:041624008871D24DAA -:04162800884DD2AB6C -:04162C008829D3092D -:041630008806D367EE -:0416340087E3D3C6AF -:0416380087C1D4246E -:04163C00879ED4832E -:04164000877CD4E1EE -:04164400875BD540AB -:041648008739D59F6A -:04164C008718D5FE28 -:0416500086F7D65DE6 -:0416540086D7D6BCA3 -:0416580086B6D71B60 -:04165C008696D77A1D -:041660008677D7DAD8 -:041664008657D83994 -:041668008638D8994F -:04166C00861AD8F80A -:0416700085FBD958C5 -:0416740085DDD9B87F -:0416780085BFDA1838 -:04167C0085A1DA78F2 -:041680008584DAD8AB -:041684008567DB3863 -:04168800854ADB991B -:04168C00852EDBF9D3 -:041690008512DC5A89 -:0416940084F6DCBA42 -:0416980084DADD1BF8 -:04169C0084BFDD7CAE -:0416A00084A4DDDD64 -:0416A4008489DE3E19 -:0416A800846FDE9FCE -:0416AC008455DF0082 -:0416B000843BDF6137 -:0416B4008422DFC2EB -:0416B8008408E0239F -:0416BC0083F0E08552 -:0416C00083D7E0E606 -:0416C40083BFE148B7 -:0416C80083A7E1A96A -:0416CC00838FE20B1B -:0416D0008378E26DCC -:0416D4008361E2CF7D -:0416D800834AE3312D -:0416DC008333E393DE -:0416E000831DE3F58E -:0416E4008307E4573D -:0416E80082F2E4B9ED -:0416EC0082DCE51B9C -:0416F00082C7E57E4A -:0416F40082B3E5E0F8 -:0416F800829EE642A6 -:0416FC00828AE6A553 -:041700008277E707FE -:041704008263E76AAB -:041708008250E7CD57 -:04170C00823DE83002 -:04171000822BE892AE -:041714008218E8F55A -:041718008206E95804 -:04171C0081F5E9BBAF -:0417200081E3EA1E59 -:0417240081D2EA8103 -:0417280081C2EAE4AC -:04172C0081B1EB4755 -:0417300081A1EBABFD -:041734008191EC0EA5 -:041738008182EC714D -:04173C008173ECD5F4 -:041740008164ED389B -:041744008155ED9C42 -:041748008147EDFFE9 -:04174C008139EE638E -:04175000812BEEC635 -:04175400811EEF2AD9 -:041758008111EF8E7E -:04175C008104EFF124 -:0417600080F7F055C9 -:0417640080EBF0B96D -:0417680080DFF11D10 -:04176C0080D4F180B4 -:0417700080C9F1E457 -:0417740080BEF248F9 -:0417780080B3F2AC9C -:04177C0080A9F3103D -:04178000809FF374DF -:041784008095F3D881 -:04178800808CF43C21 -:04178C008083F4A1C1 -:04179000807AF50561 -:041794008071F56902 -:041798008069F5CDA2 -:04179C008061F63141 -:0417A000805AF696DF -:0417A4008053F6FA7E -:0417A800804CF75E1C -:0417AC008045F7C2BB -:0417B000803FF82757 -:0417B4008039F88BF5 -:0417B8008033F8EF93 -:0417BC00802EF9542E -:0417C0008028F9B8CC -:0417C4008024FA1D66 -:0417C800801FFA8103 -:0417CC00801BFAE59F -:0417D0008017FB4A39 -:0417D4008014FBAED4 -:0417D8008010FC136E -:0417DC00800DFC7709 -:0417E000800BFCDCA2 -:0417E4008009FD403B -:0417E8008007FDA5D4 -:0417EC008005FE096D -:0417F0008003FE6E06 -:0417F4008002FED29F -:0417F8008002FF3735 -:0417FC008001FF9BCE -:041800008001000063 -:0418040080010065FA -:04180800800200C991 -:04180C008002012E27 -:0418100080030192BE -:04181400800501F753 -:041818008007025BE8 -:04181C00800902C07D -:04182000800B032412 -:04182400800D0389A7 -:04182800801003ED3C -:04182C0080140452CE -:04183000801704B663 -:04183400801B051BF5 -:04183800801F057F89 -:04183C00802405E31C -:0418400080280648AE -:04184400802E06AC40 -:0418480080330711D1 -:04184C008039077563 -:04185000803F07D9F5 -:041854008045083E85 -:04185800804C08A216 -:04185C0080530906A6 -:04186000805A096A37 -:04186400806109CFC7 -:0418680080690A3356 -:04186C0080710A97E6 -:04187000807A0AFB75 -:0418740080830B5F03 -:04187800808C0BC491 -:04187C0080950C281F -:04188000809F0C8CAD -:0418840080A90CF03B -:0418880080B30D54C8 -:04188C0080BE0DB855 -:0418900080C90E1CE1 -:0418940080D40E806E -:0418980080DF0EE3FC -:04189C0080EB0F4787 -:0418A00080F70FAB13 -:0418A4008104100F9C -:0418A8008111107228 -:0418AC00811E10D6B3 -:0418B000812B113A3D -:0418B4008139119DC8 -:0418B8008147120151 -:0418BC0081551264DC -:0418C000816412C865 -:0418C4008173132BEE -:0418C8008182138F77 -:0418CC00819113F201 -:0418D00081A1145589 -:0418D40081B114B911 -:0418D80081C2151C98 -:0418DC0081D2157F21 -:0418E00081E315E2A9 -:0418E40081F516452F -:0418E800820616A8B6 -:0418EC008218170B3C -:0418F000822B176EC2 -:0418F400823D17D04A -:0418F80082501833CF -:0418FC008263189655 -:04190000827718F9D9 -:04190400828A195B5F -:04190800829E19BEE4 -:04190C0082B31A2068 -:0419100082C71A82EE -:0419140082DC1AE572 -:0419180082F21B47F5 -:04191C0083071BA979 -:04192000831D1C0BFC -:0419240083331C6D80 -:04192800834A1CCF03 -:04192C0083611D3185 -:0419300083781D9308 -:04193400838F1DF58B -:0419380083A71E570C -:04193C0083BF1EB88F -:0419400083D71F1A10 -:0419440083F01F7B92 -:0419480084081FDD13 -:04194C008422203E93 -:04195000843B209F15 -:041954008455210095 -:04195800846F216116 -:04195C00848921C297 -:0419600084A4222316 -:0419640084BF228496 -:0419680084DA22E516 -:04196C0084F6234694 -:04197000851223A613 -:04197400852E240791 -:04197800854A246711 -:04197C00856724C88F -:04198000858425280D -:0419840085A125888C -:0419880085BF25E80A -:04198C0085DD264887 -:0419900085FB26A805 -:04199400861A270880 -:0419980086382767FF -:04199C00865727C77C -:0419A00086772826F8 -:0419A4008696288675 -:0419A80086B628E5F2 -:0419AC0086D729446D -:0419B00086F729A3EA -:0419B40087182A0264 -:0419B80087392A61E0 -:0419BC00875B2AC05B -:0419C000877C2B1FD6 -:0419C400879E2B7D52 -:0419C80087C12BDCCC -:0419CC0087E32C3A47 -:0419D00088062C99C0 -:0419D40088292CF73B -:0419D800884D2D55B4 -:0419DC0088712DB32E -:0419E00088952E11A7 -:0419E40088B92E6E22 -:0419E80088DE2ECC9B -:0419EC0089022F2A13 -:0419F00089282F878C -:0419F400894D2FE406 -:0419F800897330417E -:0419FC008999309EF7 -:041A000089BF30FB6F -:041A040089E63158E6 -:041A08008A0D31B55D -:041A0C008A343211D5 -:041A10008A5B326E4D -:041A14008A8332CAC5 -:041A18008AAB33263C -:041A1C008AD33383B3 -:041A20008AFC33DF2A -:041A24008B25343AA0 -:041A28008B4E349617 -:041A2C008B7834F28D -:041A30008BA1354D04 -:041A34008BCB35A87B -:041A38008BF63604EF -:041A3C008C20365F65 -:041A40008C4B36BADB -:041A44008C76371550 -:041A48008CA2376FC6 -:041A4C008CCD37CA3C -:041A50008CF93824B1 -:041A54008D25387E26 -:041A58008D5238D99A -:041A5C008D7F39330E -:041A60008DAC398C84 -:041A64008DD939E6F9 -:041A68008E073A406B -:041A6C008E353A99E0 -:041A70008E633AF255 -:041A74008E913B4CC8 -:041A78008EC03BA53C -:041A7C008EEF3BFEB0 -:041A80008F1E3C5623 -:041A84008F4E3CAF96 -:041A88008F7D3D070A -:041A8C008FAD3D607D -:041A90008FDE3DB8F0 -:041A9400900E3E1062 -:041A9800903F3E68D5 -:041A9C0090703EBF49 -:041AA00090A23F17BA -:041AA40090D43F6E2D -:041AA80091053FC5A0 -:041AAC009138401D10 -:041AB000916A407384 -:041AB400919D40CAF6 -:041AB80091D0412167 -:041ABC0092034177D9 -:041AC000923741CE4A -:041AC400926B4224BB -:041AC800929F427A2D -:041ACC0092D342D09F -:041AD000930843250F -:041AD400933D437B80 -:041AD800937243D0F2 -:041ADC0093A7442563 -:041AE00093DD447AD4 -:041AE400941344CF44 -:041AE80094494524B4 -:041AEC00947F457826 -:041AF00094B645CD96 -:041AF40094ED462106 -:041AF8009524467576 -:041AFC00955C46C9E6 -:041B00009593471C56 -:041B040095CB4770C6 -:041B0800960347C336 -:041B0C00963C4816A5 -:041B10009675486915 -:041B140096AE48BC85 -:041B180096E7490FF4 -:041B1C009720496164 -:041B2000975A49B4D3 -:041B240097944A0642 -:041B280097CE4A58B2 -:041B2C0098094AA921 -:041B300098444AFB90 -:041B3400987F4B4CFF -:041B380098BA4B9D6F -:041B3C0098F64BEEDE -:041B400099314C3F4C -:041B4400996D4C90BB -:041B480099AA4CE02A -:041B4C0099E64D3198 -:041B50009A234D8106 -:041B54009A604DD175 -:041B58009A9D4E20E4 -:041B5C009ADB4E7052 -:041B60009B184EBFC1 -:041B64009B564F0E2F -:041B68009B944F5D9E -:041B6C009BD34FAC0C -:041B70009C124FFB79 -:041B74009C515049E7 -:041B78009C90509756 -:041B7C009CCF50E5C5 -:041B80009D0F513331 -:041B84009D4F51819F -:041B88009D8F51CE0E -:041B8C009DCF521B7C -:041B90009E105268E9 -:041B94009E5152B557 -:041B98009E925302C4 -:041B9C009ED3534E33 -:041BA0009F15539B9F -:041BA4009F5653E70E -:041BA8009F9854327C -:041BAC009FDB547EE9 -:041BB000A01D54C957 -:041BB400A0605515C3 -:041BB800A0A3556031 -:041BBC00A0E655AAA0 -:041BC000A12955F50D -:041BC400A16D563F7A -:041BC800A1B1568AE7 -:041BCC00A1F556D356 -:041BD000A239571DC2 -:041BD400A27E57672F -:041BD800A2C257B09E -:041BDC00A30757F90B -:041BE000A34D584277 -:041BE400A392588BE5 -:041BE800A3D858D353 -:041BEC00A41E591CBE -:041BF000A46459642C -:041BF400A4AA59AC9A -:041BF800A4F159F308 -:041BFC00A5375A3B74 -:041C0000A57E5A82E1 -:041C0400A5C55AC94F -:041C0800A60D5B0FBB -:041C0C00A6545B5629 -:041C1000A69C5B9C97 -:041C1400A6E45BE205 -:041C1800A72D5C2870 -:041C1C00A7755C6EDE -:041C2000A7BE5CB34C -:041C2400A8075CF9B8 -:041C2800A8505D3E25 -:041C2C00A8995D8294 -:041C3000A8E35DC701 -:041C3400A92D5E0B6D -:041C3800A9765E4FDC -:041C3C00A9C15E9349 -:041C4000AA0B5ED7B6 -:041C4400AA565F1A23 -:041C4800AAA05F5D92 -:041C4C00AAEB5FA000 -:041C5000AB375FE36C -:041C5400AB826025DA -:041C5800ABCE606847 -:041C5C00AC1960AAB5 -:041C6000AC6560EB24 -:041C6400ACB2612D90 -:041C6800ACFE616EFF -:041C6C00AD4B61AF6C -:041C7000AD9861F0DA -:041C7400ADE5623147 -:041C7800AE326271B5 -:041C7C00AE7F62B124 -:041C8000AECD62F192 -:041C8400AF1B6331FE -:041C8800AF6963706D -:041C8C00AFB763AFDC -:041C9000B00563EE4A -:041C9400B054642DB7 -:041C9800B0A3646C25 -:041C9C00B0F264AA94 -:041CA000B14164E802 -:041CA400B190652571 -:041CA800B1E06563DF -:041CAC00B22F65A04E -:041CB000B27F65DDBD -:041CB400B2CF661A2B -:041CB800B320665699 -:041CBC00B370669308 -:041CC000B3C166CF77 -:041CC400B412670AE5 -:041CC800B463674654 -:041CCC00B4B46781C4 -:041CD000B50567BC33 -:041CD400B55767F7A2 -:041CD800B5A8683211 -:041CDC00B5FA686C81 -:041CE000B64C68A6F0 -:041CE400B69F68E05F -:041CE800B6F16919CF -:041CEC00B74469523E -:041CF000B797698BAE -:041CF400B7EA69C41E -:041CF800B83D69FD8D -:041CFC00B8906A35FD -:041D0000B8E46A6D6C -:041D0400B9376AA4DD -:041D0800B98B6ADC4D -:041D0C00B9DF6B13BD -:041D1000BA336B4A2D -:041D1400BA886B819D -:041D1800BADC6BB70F -:041D1C00BB316BED7F -:041D2000BB866C23EF -:041D2400BBDB6C5960 -:041D2800BC306C8ED1 -:041D2C00BC856CC343 -:041D3000BCDB6CF8B4 -:041D3400BD306D2D24 -:041D3800BD866D6196 -:041D3C00BDDC6D9508 -:041D4000BE326DC979 -:041D4400BE896DFDEA -:041D4800BEDF6E305C -:041D4C00BF366E63CD -:041D5000BF8D6E963F -:041D5400BFE36EC8B3 -:041D5800C03B6EFB23 -:041D5C00C0926F2C96 -:041D6000C0E96F5E09 -:041D6400C1416F907A -:041D6800C1986FC1EE -:041D6C00C1F06FF261 -:041D7000C2487022D3 -:041D7400C2A0705346 -:041D7800C2F97083B9 -:041D7C00C35170B22D -:041D8000C3AA70E2A0 -:041D8400C402711113 -:041D8800C45B714087 -:041D8C00C4B4716FFB -:041D9000C50E719D6E -:041D9400C56771CBE3 -:041D9800C5C071F958 -:041D9C00C61A7227CA -:041DA000C67472543F -:041DA400C6CD7281B5 -:041DA800C72772AE29 -:041DAC00C78272DB9D -:041DB000C7DC730712 -:041DB400C836733387 -:041DB800C891735EFD -:041DBC00C8EB738A73 -:041DC000C94673B5E8 -:041DC400C9A173E05E -:041DC800C9FC740AD4 -:041DCC00CA58743548 -:041DD000CAB3745FBF -:041DD400CB0E748836 -:041DD800CB6A74B2AC -:041DDC00CBC674DB23 -:041DE000CC21750499 -:041DE400CC7D752D10 -:041DE800CCDA755587 -:041DEC00CD36757DFE -:041DF000CD9275A576 -:041DF400CDEF75CCEE -:041DF800CE4B75F366 -:041DFC00CEA8761ADD -:041E0000CF05764153 -:041E0400CF627667CC -:041E0800CFBF768D45 -:041E0C00D01C76B3BD -:041E1000D07976D837 -:041E1400D0D676FEB0 -:041E1800D134772228 -:041E1C00D1927747A1 -:041E2000D1EF776B1C -:041E2400D24D778F95 -:041E2800D2AB77B30F -:041E2C00D30977D788 -:041E3000D36777FA03 -:041E3400D3C6781D7C -:041E3800D424783FF7 -:041E3C00D483786271 -:041E4000D4E17884ED -:041E4400D54078A568 -:041E4800D59F78C7E3 -:041E4C00D5FE78E85F -:041E5000D65D7909D9 -:041E5400D6BC792956 -:041E5800D71B794AD1 -:041E5C00D77A796A4E -:041E6000D7DA7989CB -:041E6400D83979A947 -:041E6800D89979C8C4 -:041E6C00D8F879E643 -:041E7000D9587A05BE -:041E7400D9B87A233C -:041E7800DA187A41B9 -:041E7C00DA787A5F37 -:041E8000DAD87A7CB6 -:041E8400DB387A9934 -:041E8800DB997AB6B2 -:041E8C00DBF97AD232 -:041E9000DC5A7AEEB0 -:041E9400DCBA7B0A2F -:041E9800DD1B7B26AD -:041E9C00DD7C7B412D -:041EA000DDDD7B5CAD -:041EA400DE3E7B772C -:041EA800DE9F7B91AD -:041EAC00DF007BAB2D -:041EB000DF617BC5AE -:041EB400DFC27BDE30 -:041EB800E0237BF8B0 -:041EBC00E0857C1031 -:041EC000E0E67C29B3 -:041EC400E1487C4134 -:041EC800E1A97C59B7 -:041ECC00E20B7C7138 -:041ED000E26D7C88BB -:041ED400E2CF7C9F3E -:041ED800E3317CB6C0 -:041EDC00E3937CCD43 -:041EE000E3F57CE3C7 -:041EE400E4577CF94A -:041EE800E4B97D0ECE -:041EEC00E51B7D2451 -:041EF000E57E7D39D5 -:041EF400E5E07D4D5B -:041EF800E6427D62DF -:041EFC00E6A57D7664 -:041F0000E7077D89E9 -:041F0400E76A7D9D6E -:041F0800E7CD7DB0F4 -:041F0C00E8307DC379 -:041F1000E8927DD501 -:041F1400E8F57DE887 -:041F1800E9587DFA0D -:041F1C00E9BB7E0B94 -:041F2000EA1E7E1D1A -:041F2400EA817E2EA2 -:041F2800EAE47E3E2B -:041F2C00EB477E4FB2 -:041F3000EBAB7E5F3A -:041F3400EC0E7E6FC2 -:041F3800EC717E7E4C -:041F3C00ECD57E8DD5 -:041F4000ED387E9C5E -:041F4400ED9C7EABE7 -:041F4800EDFF7EB972 -:041F4C00EE637EC7FB -:041F5000EEC67ED586 -:041F5400EF2A7EE210 -:041F5800EF8E7EEF9B -:041F5C00EFF17EFC27 -:041F6000F0557F09B0 -:041F6400F0B97F153C -:041F6800F11D7F21C7 -:041F6C00F1807F2C55 -:041F7000F1E47F37E2 -:041F7400F2487F426E -:041F7800F2AC7F4DFB -:041F7C00F3107F5788 -:041F8000F3747F6116 -:041F8400F3D87F6BA4 -:041F8800F43C7F7432 -:041F8C00F4A17F7DC0 -:041F9000F5057F864E -:041F9400F5697F8FDD -:041F9800F5CD7F976D -:041F9C00F6317F9FFC -:041FA000F6967FA68C -:041FA400F6FA7FAD1D -:041FA800F75E7FB4AD -:041FAC00F7C27FBB3E -:041FB000F8277FC1CE -:041FB400F88B7FC760 -:041FB800F8EF7FCDF2 -:041FBC00F9547FD283 -:041FC000F9B87FD815 -:041FC400FA1D7FDCA7 -:041FC800FA817FE13A -:041FCC00FAE57FE5CE -:041FD000FB4A7FE960 -:041FD400FBAE7FECF5 -:041FD800FC137FF087 -:041FDC00FC777FF31C -:041FE000FCDC7FF5B1 -:041FE400FD407FF746 -:041FE800FDA57FF9DB -:041FEC00FE097FFB70 -:041FF000FE6E7FFD05 -:041FF400FED27FFE9C -:041FF800FF377FFE32 -:041FFC00FF9B7FFFC9 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_0.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_0.hex deleted file mode 100644 index ae43370003a96b8ad282faaa244394fadbba07e0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_0.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400040000002000D8 -:0400080000002000D4 -:04000C0000002000D0 -:0400100000002000CC -:0400140000002000C8 -:0400180000002000C4 -:04001C0000002000C0 -:0400200000002000BC -:0400240000002000B8 -:0400280000002000B4 -:04002C0000002000B0 -:0400300000002000AC -:0400340000002000A8 -:0400380000002000A4 -:04003C0000002000A0 -:04004000000020009C -:040044000000200098 -:040048000000200094 -:04004C000000200090 -:04005000000020008C -:040054000000200088 -:040058000000200084 -:04005C000000200080 -:04006000000020007C -:040064000000200078 -:040068000000200074 -:04006C000000200070 -:04007000000020006C -:040074000000200068 -:040078000000200064 -:04007C000000200060 -:04008000000020005C -:040084000000200058 -:040088000000200054 -:04008C000000200050 -:04009000000020004C -:040094000000200048 -:040098000000200044 -:04009C000000200040 -:0400A000000020003C -:0400A4000000200038 -:0400A8000000200034 -:0400AC000000200030 -:0400B000000020002C -:0400B4000000200028 -:0400B8000000200024 -:0400BC000000200020 -:0400C000000020001C -:0400C4000000200018 -:0400C8000000200014 -:0400CC000000200010 -:0400D000000020000C -:0400D4000000200008 -:0400D8000000200004 -:0400DC000000200000 -:0400E00000002000FC -:0400E40000002000F8 -:0400E80000002000F4 -:0400EC0000002000F0 -:0400F00000002000EC -:0400F40000002000E8 -:0400F80000002000E4 -:0400FC0000002000E0 -:0401000000002000DB -:0401040000002000D7 -:0401080000002000D3 -:04010C0000002000CF -:0401100000002000CB -:0401140000002000C7 -:0401180000002000C3 -:04011C0000002000BF -:0401200000002000BB -:0401240000002000B7 -:0401280000002000B3 -:04012C0000002000AF -:0401300000002000AB -:0401340000002000A7 -:0401380000002000A3 -:04013C00000020009F -:04014000000020009B -:040144000000200097 -:040148000000200093 -:04014C00000020008F -:04015000000020008B -:040154000000200087 -:040158000000200083 -:04015C00000020007F -:04016000000020007B -:040164000000200077 -:040168000000200073 -:04016C00000020006F -:04017000000020006B -:040174000000200067 -:040178000000200063 -:04017C00000020005F -:04018000000020005B -:040184000000200057 -:040188000000200053 -:04018C00000020004F -:04019000000020004B -:040194000000200047 -:040198000000200043 -:04019C00000020003F -:0401A000000020003B -:0401A4000000200037 -:0401A8000000200033 -:0401AC00000020002F -:0401B000000020002B -:0401B4000000200027 -:0401B8000000200023 -:0401BC00000020001F -:0401C000000020001B -:0401C4000000200017 -:0401C8000000200013 -:0401CC00000020000F -:0401D000000020000B -:0401D4000000200007 -:0401D8000000200003 -:0401DC0000002000FF -:0401E00000002000FB -:0401E40000002000F7 -:0401E80000002000F3 -:0401EC0000002000EF -:0401F00000002000EB -:0401F40000002000E7 -:0401F80000002000E3 -:0401FC0000002000DF -:0402000000002000DA -:0402040000002000D6 -:0402080000002000D2 -:04020C0000002000CE -:0402100000002000CA -:0402140000002000C6 -:0402180000002000C2 -:04021C0000002000BE -:0402200000002000BA -:0402240000002000B6 -:0402280000002000B2 -:04022C0000002000AE -:0402300000002000AA -:0402340000002000A6 -:0402380000002000A2 -:04023C00000020009E -:04024000000020009A -:040244000000200096 -:040248000000200092 -:04024C00000020008E -:04025000000020008A -:040254000000200086 -:040258000000200082 -:04025C00000020007E -:04026000000020007A -:040264000000200076 -:040268000000200072 -:04026C00000020006E -:04027000000020006A -:040274000000200066 -:040278000000200062 -:04027C00000020005E -:04028000000020005A -:040284000000200056 -:040288000000200052 -:04028C00000020004E -:04029000000020004A -:040294000000200046 -:040298000000200042 -:04029C00000020003E -:0402A000000020003A -:0402A4000000200036 -:0402A8000000200032 -:0402AC00000020002E -:0402B000000020002A -:0402B4000000200026 -:0402B8000000200022 -:0402BC00000020001E -:0402C000000020001A -:0402C4000000200016 -:0402C8000000200012 -:0402CC00000020000E -:0402D000000020000A -:0402D4000000200006 -:0402D8000000200002 -:0402DC0000002000FE -:0402E00000002000FA -:0402E40000002000F6 -:0402E80000002000F2 -:0402EC0000002000EE -:0402F00000002000EA -:0402F40000002000E6 -:0402F80000002000E2 -:0402FC0000002000DE -:0403000000002000D9 -:0403040000002000D5 -:0403080000002000D1 -:04030C0000002000CD -:0403100000002000C9 -:0403140000002000C5 -:0403180000002000C1 -:04031C0000002000BD -:0403200000002000B9 -:0403240000002000B5 -:0403280000002000B1 -:04032C0000002000AD -:0403300000002000A9 -:0403340000002000A5 -:0403380000002000A1 -:04033C00000020009D -:040340000000200099 -:040344000000200095 -:040348000000200091 -:04034C00000020008D -:040350000000200089 -:040354000000200085 -:040358000000200081 -:04035C00000020007D -:040360000000200079 -:040364000000200075 -:040368000000200071 -:04036C00000020006D -:040370000000200069 -:040374000000200065 -:040378000000200061 -:04037C00000020005D -:040380000000200059 -:040384000000200055 -:040388000000200051 -:04038C00000020004D -:040390000000200049 -:040394000000200045 -:040398000000200041 -:04039C00000020003D -:0403A0000000200039 -:0403A4000000200035 -:0403A8000000200031 -:0403AC00000020002D -:0403B0000000200029 -:0403B4000000200025 -:0403B8000000200021 -:0403BC00000020001D -:0403C0000000200019 -:0403C4000000200015 -:0403C8000000200011 -:0403CC00000020000D -:0403D0000000200009 -:0403D4000000200005 -:0403D8000000200001 -:0403DC0000002000FD -:0403E00000002000F9 -:0403E40000002000F5 -:0403E80000002000F1 -:0403EC0000002000ED -:0403F00000002000E9 -:0403F40000002000E5 -:0403F80000002000E1 -:0403FC0000002000DD -:0404000000002000D8 -:0404040000002000D4 -:0404080000002000D0 -:04040C0000002000CC -:0404100000002000C8 -:0404140000002000C4 -:0404180000002000C0 -:04041C0000002000BC -:0404200000002000B8 -:0404240000002000B4 -:0404280000002000B0 -:04042C0000002000AC -:0404300000002000A8 -:0404340000002000A4 -:0404380000002000A0 -:04043C00000020009C -:040440000000200098 -:040444000000200094 -:040448000000200090 -:04044C00000020008C -:040450000000200088 -:040454000000200084 -:040458000000200080 -:04045C00000020007C -:040460000000200078 -:040464000000200074 -:040468000000200070 -:04046C00000020006C -:040470000000200068 -:040474000000200064 -:040478000000200060 -:04047C00000020005C -:040480000000200058 -:040484000000200054 -:040488000000200050 -:04048C00000020004C -:040490000000200048 -:040494000000200044 -:040498000000200040 -:04049C00000020003C -:0404A0000000200038 -:0404A4000000200034 -:0404A8000000200030 -:0404AC00000020002C -:0404B0000000200028 -:0404B4000000200024 -:0404B8000000200020 -:0404BC00000020001C -:0404C0000000200018 -:0404C4000000200014 -:0404C8000000200010 -:0404CC00000020000C -:0404D0000000200008 -:0404D4000000200004 -:0404D8000000200000 -:0404DC0000002000FC -:0404E00000002000F8 -:0404E40000002000F4 -:0404E80000002000F0 -:0404EC0000002000EC -:0404F00000002000E8 -:0404F40000002000E4 -:0404F80000002000E0 -:0404FC0000002000DC -:0405000000002000D7 -:0405040000002000D3 -:0405080000002000CF -:04050C0000002000CB -:0405100000002000C7 -:0405140000002000C3 -:0405180000002000BF -:04051C0000002000BB -:0405200000002000B7 -:0405240000002000B3 -:0405280000002000AF -:04052C0000002000AB -:0405300000002000A7 -:0405340000002000A3 -:04053800000020009F -:04053C00000020009B -:040540000000200097 -:040544000000200093 -:04054800000020008F -:04054C00000020008B -:040550000000200087 -:040554000000200083 -:04055800000020007F -:04055C00000020007B -:040560000000200077 -:040564000000200073 -:04056800000020006F -:04056C00000020006B -:040570000000200067 -:040574000000200063 -:04057800000020005F -:04057C00000020005B -:040580000000200057 -:040584000000200053 -:04058800000020004F -:04058C00000020004B -:040590000000200047 -:040594000000200043 -:04059800000020003F -:04059C00000020003B -:0405A0000000200037 -:0405A4000000200033 -:0405A800000020002F -:0405AC00000020002B -:0405B0000000200027 -:0405B4000000200023 -:0405B800000020001F -:0405BC00000020001B -:0405C0000000200017 -:0405C4000000200013 -:0405C800000020000F -:0405CC00000020000B -:0405D0000000200007 -:0405D4000000200003 -:0405D80000002000FF -:0405DC0000002000FB -:0405E00000002000F7 -:0405E40000002000F3 -:0405E80000002000EF -:0405EC0000002000EB -:0405F00000002000E7 -:0405F40000002000E3 -:0405F80000002000DF -:0405FC0000002000DB -:0406000000002000D6 -:0406040000002000D2 -:0406080000002000CE -:04060C0000002000CA -:0406100000002000C6 -:0406140000002000C2 -:0406180000002000BE -:04061C0000002000BA -:0406200000002000B6 -:0406240000002000B2 -:0406280000002000AE -:04062C0000002000AA -:0406300000002000A6 -:0406340000002000A2 -:04063800000020009E -:04063C00000020009A -:040640000000200096 -:040644000000200092 -:04064800000020008E -:04064C00000020008A -:040650000000200086 -:040654000000200082 -:04065800000020007E -:04065C00000020007A -:040660000000200076 -:040664000000200072 -:04066800000020006E -:04066C00000020006A -:040670000000200066 -:040674000000200062 -:04067800000020005E -:04067C00000020005A -:040680000000200056 -:040684000000200052 -:04068800000020004E -:04068C00000020004A -:040690000000200046 -:040694000000200042 -:04069800000020003E -:04069C00000020003A -:0406A0000000200036 -:0406A4000000200032 -:0406A800000020002E -:0406AC00000020002A -:0406B0000000200026 -:0406B4000000200022 -:0406B800000020001E -:0406BC00000020001A -:0406C0000000200016 -:0406C4000000200012 -:0406C800000020000E -:0406CC00000020000A -:0406D0000000200006 -:0406D4000000200002 -:0406D80000002000FE -:0406DC0000002000FA -:0406E00000002000F6 -:0406E40000002000F2 -:0406E80000002000EE -:0406EC0000002000EA -:0406F00000002000E6 -:0406F40000002000E2 -:0406F80000002000DE -:0406FC0000002000DA -:0407000000002000D5 -:0407040000002000D1 -:0407080000002000CD -:04070C0000002000C9 -:0407100000002000C5 -:0407140000002000C1 -:0407180000002000BD -:04071C0000002000B9 -:0407200000002000B5 -:0407240000002000B1 -:0407280000002000AD -:04072C0000002000A9 -:0407300000002000A5 -:0407340000002000A1 -:04073800000020009D -:04073C000000200099 -:040740000000200095 -:040744000000200091 -:04074800000020008D -:04074C000000200089 -:040750000000200085 -:040754000000200081 -:04075800000020007D -:04075C000000200079 -:040760000000200075 -:040764000000200071 -:04076800000020006D -:04076C000000200069 -:040770000000200065 -:040774000000200061 -:04077800000020005D -:04077C000000200059 -:040780000000200055 -:040784000000200051 -:04078800000020004D -:04078C000000200049 -:040790000000200045 -:040794000000200041 -:04079800000020003D -:04079C000000200039 -:0407A0000000200035 -:0407A4000000200031 -:0407A800000020002D -:0407AC000000200029 -:0407B0000000200025 -:0407B4000000200021 -:0407B800000020001D -:0407BC000000200019 -:0407C0000000200015 -:0407C4000000200011 -:0407C800000020000D -:0407CC000000200009 -:0407D0000000200005 -:0407D4000000200001 -:0407D80000002000FD -:0407DC0000002000F9 -:0407E00000002000F5 -:0407E40000002000F1 -:0407E80000002000ED -:0407EC0000002000E9 -:0407F00000002000E5 -:0407F40000002000E1 -:0407F80000002000DD -:0407FC0000002000D9 -:0408000000002000D4 -:0408040000002000D0 -:0408080000002000CC -:04080C0000002000C8 -:0408100000002000C4 -:0408140000002000C0 -:0408180000002000BC -:04081C0000002000B8 -:0408200000002000B4 -:0408240000002000B0 -:0408280000002000AC -:04082C0000002000A8 -:0408300000002000A4 -:0408340000002000A0 -:04083800000020009C -:04083C000000200098 -:040840000000200094 -:040844000000200090 -:04084800000020008C -:04084C000000200088 -:040850000000200084 -:040854000000200080 -:04085800000020007C -:04085C000000200078 -:040860000000200074 -:040864000000200070 -:04086800000020006C -:04086C000000200068 -:040870000000200064 -:040874000000200060 -:04087800000020005C -:04087C000000200058 -:040880000000200054 -:040884000000200050 -:04088800000020004C -:04088C000000200048 -:040890000000200044 -:040894000000200040 -:04089800000020003C -:04089C000000200038 -:0408A0000000200034 -:0408A4000000200030 -:0408A800000020002C -:0408AC000000200028 -:0408B0000000200024 -:0408B4000000200020 -:0408B800000020001C -:0408BC000000200018 -:0408C0000000200014 -:0408C4000000200010 -:0408C800000020000C -:0408CC000000200008 -:0408D0000000200004 -:0408D4000000200000 -:0408D80000002000FC -:0408DC0000002000F8 -:0408E00000002000F4 -:0408E40000002000F0 -:0408E80000002000EC -:0408EC0000002000E8 -:0408F00000002000E4 -:0408F40000002000E0 -:0408F80000002000DC -:0408FC0000002000D8 -:0409000000002000D3 -:0409040000002000CF -:0409080000002000CB -:04090C0000002000C7 -:0409100000002000C3 -:0409140000002000BF -:0409180000002000BB -:04091C0000002000B7 -:0409200000002000B3 -:0409240000002000AF -:0409280000002000AB -:04092C0000002000A7 -:0409300000002000A3 -:04093400000020009F -:04093800000020009B -:04093C000000200097 -:040940000000200093 -:04094400000020008F -:04094800000020008B -:04094C000000200087 -:040950000000200083 -:04095400000020007F -:04095800000020007B -:04095C000000200077 -:040960000000200073 -:04096400000020006F -:04096800000020006B -:04096C000000200067 -:040970000000200063 -:04097400000020005F -:04097800000020005B -:04097C000000200057 -:040980000000200053 -:04098400000020004F -:04098800000020004B -:04098C000000200047 -:040990000000200043 -:04099400000020003F -:04099800000020003B -:04099C000000200037 -:0409A0000000200033 -:0409A400000020002F -:0409A800000020002B -:0409AC000000200027 -:0409B0000000200023 -:0409B400000020001F -:0409B800000020001B -:0409BC000000200017 -:0409C0000000200013 -:0409C400000020000F -:0409C800000020000B -:0409CC000000200007 -:0409D0000000200003 -:0409D40000002000FF -:0409D80000002000FB -:0409DC0000002000F7 -:0409E00000002000F3 -:0409E40000002000EF -:0409E80000002000EB -:0409EC0000002000E7 -:0409F00000002000E3 -:0409F40000002000DF -:0409F80000002000DB -:0409FC0000002000D7 -:040A000000002000D2 -:040A040000002000CE -:040A080000002000CA -:040A0C0000002000C6 -:040A100000002000C2 -:040A140000002000BE -:040A180000002000BA -:040A1C0000002000B6 -:040A200000002000B2 -:040A240000002000AE -:040A280000002000AA -:040A2C0000002000A6 -:040A300000002000A2 -:040A3400000020009E -:040A3800000020009A -:040A3C000000200096 -:040A40000000200092 -:040A4400000020008E -:040A4800000020008A -:040A4C000000200086 -:040A50000000200082 -:040A5400000020007E -:040A5800000020007A -:040A5C000000200076 -:040A60000000200072 -:040A6400000020006E -:040A6800000020006A -:040A6C000000200066 -:040A70000000200062 -:040A7400000020005E -:040A7800000020005A -:040A7C000000200056 -:040A80000000200052 -:040A8400000020004E -:040A8800000020004A -:040A8C000000200046 -:040A90000000200042 -:040A9400000020003E -:040A9800000020003A -:040A9C000000200036 -:040AA0000000200032 -:040AA400000020002E -:040AA800000020002A -:040AAC000000200026 -:040AB0000000200022 -:040AB400000020001E -:040AB800000020001A -:040ABC000000200016 -:040AC0000000200012 -:040AC400000020000E -:040AC800000020000A -:040ACC000000200006 -:040AD0000000200002 -:040AD40000002000FE -:040AD80000002000FA -:040ADC0000002000F6 -:040AE00000002000F2 -:040AE40000002000EE -:040AE80000002000EA -:040AEC0000002000E6 -:040AF00000002000E2 -:040AF40000002000DE -:040AF80000002000DA -:040AFC0000002000D6 -:040B000000002000D1 -:040B040000002000CD -:040B080000002000C9 -:040B0C0000002000C5 -:040B100000002000C1 -:040B140000002000BD -:040B180000002000B9 -:040B1C0000002000B5 -:040B200000002000B1 -:040B240000002000AD -:040B280000002000A9 -:040B2C0000002000A5 -:040B300000002000A1 -:040B3400000020009D -:040B38000000200099 -:040B3C000000200095 -:040B40000000200091 -:040B4400000020008D -:040B48000000200089 -:040B4C000000200085 -:040B50000000200081 -:040B5400000020007D -:040B58000000200079 -:040B5C000000200075 -:040B60000000200071 -:040B6400000020006D -:040B68000000200069 -:040B6C000000200065 -:040B70000000200061 -:040B7400000020005D -:040B78000000200059 -:040B7C000000200055 -:040B80000000200051 -:040B8400000020004D -:040B88000000200049 -:040B8C000000200045 -:040B90000000200041 -:040B9400000020003D -:040B98000000200039 -:040B9C000000200035 -:040BA0000000200031 -:040BA400000020002D -:040BA8000000200029 -:040BAC000000200025 -:040BB0000000200021 -:040BB400000020001D -:040BB8000000200019 -:040BBC000000200015 -:040BC0000000200011 -:040BC400000020000D -:040BC8000000200009 -:040BCC000000200005 -:040BD0000000200001 -:040BD40000002000FD -:040BD80000002000F9 -:040BDC0000002000F5 -:040BE00000002000F1 -:040BE40000002000ED -:040BE80000002000E9 -:040BEC0000002000E5 -:040BF00000002000E1 -:040BF40000002000DD -:040BF80000002000D9 -:040BFC0000002000D5 -:040C000000002000D0 -:040C040000002000CC -:040C080000002000C8 -:040C0C0000002000C4 -:040C100000002000C0 -:040C140000002000BC -:040C180000002000B8 -:040C1C0000002000B4 -:040C200000002000B0 -:040C240000002000AC -:040C280000002000A8 -:040C2C0000002000A4 -:040C300000002000A0 -:040C3400000020009C -:040C38000000200098 -:040C3C000000200094 -:040C40000000200090 -:040C4400000020008C -:040C48000000200088 -:040C4C000000200084 -:040C50000000200080 -:040C5400000020007C -:040C58000000200078 -:040C5C000000200074 -:040C60000000200070 -:040C6400000020006C -:040C68000000200068 -:040C6C000000200064 -:040C70000000200060 -:040C7400000020005C -:040C78000000200058 -:040C7C000000200054 -:040C80000000200050 -:040C8400000020004C -:040C88000000200048 -:040C8C000000200044 -:040C90000000200040 -:040C9400000020003C -:040C98000000200038 -:040C9C000000200034 -:040CA0000000200030 -:040CA400000020002C -:040CA8000000200028 -:040CAC000000200024 -:040CB0000000200020 -:040CB400000020001C -:040CB8000000200018 -:040CBC000000200014 -:040CC0000000200010 -:040CC400000020000C -:040CC8000000200008 -:040CCC000000200004 -:040CD0000000200000 -:040CD40000002000FC -:040CD80000002000F8 -:040CDC0000002000F4 -:040CE00000002000F0 -:040CE40000002000EC -:040CE80000002000E8 -:040CEC0000002000E4 -:040CF00000002000E0 -:040CF40000002000DC -:040CF80000002000D8 -:040CFC0000002000D4 -:040D000000002000CF -:040D040000002000CB -:040D080000002000C7 -:040D0C0000002000C3 -:040D100000002000BF -:040D140000002000BB -:040D180000002000B7 -:040D1C0000002000B3 -:040D200000002000AF -:040D240000002000AB -:040D280000002000A7 -:040D2C0000002000A3 -:040D3000000020009F -:040D3400000020009B -:040D38000000200097 -:040D3C000000200093 -:040D4000000020008F -:040D4400000020008B -:040D48000000200087 -:040D4C000000200083 -:040D5000000020007F -:040D5400000020007B -:040D58000000200077 -:040D5C000000200073 -:040D6000000020006F -:040D6400000020006B -:040D68000000200067 -:040D6C000000200063 -:040D7000000020005F -:040D7400000020005B -:040D78000000200057 -:040D7C000000200053 -:040D8000000020004F -:040D8400000020004B -:040D88000000200047 -:040D8C000000200043 -:040D9000000020003F -:040D9400000020003B -:040D98000000200037 -:040D9C000000200033 -:040DA000000020002F -:040DA400000020002B -:040DA8000000200027 -:040DAC000000200023 -:040DB000000020001F -:040DB400000020001B -:040DB8000000200017 -:040DBC000000200013 -:040DC000000020000F -:040DC400000020000B -:040DC8000000200007 -:040DCC000000200003 -:040DD00000002000FF -:040DD40000002000FB -:040DD80000002000F7 -:040DDC0000002000F3 -:040DE00000002000EF -:040DE40000002000EB -:040DE80000002000E7 -:040DEC0000002000E3 -:040DF00000002000DF -:040DF40000002000DB -:040DF80000002000D7 -:040DFC0000002000D3 -:040E000000002000CE -:040E040000002000CA -:040E080000002000C6 -:040E0C0000002000C2 -:040E100000002000BE -:040E140000002000BA -:040E180000002000B6 -:040E1C0000002000B2 -:040E200000002000AE -:040E240000002000AA -:040E280000002000A6 -:040E2C0000002000A2 -:040E3000000020009E -:040E3400000020009A -:040E38000000200096 -:040E3C000000200092 -:040E4000000020008E -:040E4400000020008A -:040E48000000200086 -:040E4C000000200082 -:040E5000000020007E -:040E5400000020007A -:040E58000000200076 -:040E5C000000200072 -:040E6000000020006E -:040E6400000020006A -:040E68000000200066 -:040E6C000000200062 -:040E7000000020005E -:040E7400000020005A -:040E78000000200056 -:040E7C000000200052 -:040E8000000020004E -:040E8400000020004A -:040E88000000200046 -:040E8C000000200042 -:040E9000000020003E -:040E9400000020003A -:040E98000000200036 -:040E9C000000200032 -:040EA000000020002E -:040EA400000020002A -:040EA8000000200026 -:040EAC000000200022 -:040EB000000020001E -:040EB400000020001A -:040EB8000000200016 -:040EBC000000200012 -:040EC000000020000E -:040EC400000020000A -:040EC8000000200006 -:040ECC000000200002 -:040ED00000002000FE -:040ED40000002000FA -:040ED80000002000F6 -:040EDC0000002000F2 -:040EE00000002000EE -:040EE40000002000EA -:040EE80000002000E6 -:040EEC0000002000E2 -:040EF00000002000DE -:040EF40000002000DA -:040EF80000002000D6 -:040EFC0000002000D2 -:040F000000002000CD -:040F040000002000C9 -:040F080000002000C5 -:040F0C0000002000C1 -:040F100000002000BD -:040F140000002000B9 -:040F180000002000B5 -:040F1C0000002000B1 -:040F200000002000AD -:040F240000002000A9 -:040F280000002000A5 -:040F2C0000002000A1 -:040F3000000020009D -:040F34000000200099 -:040F38000000200095 -:040F3C000000200091 -:040F4000000020008D -:040F44000000200089 -:040F48000000200085 -:040F4C000000200081 -:040F5000000020007D -:040F54000000200079 -:040F58000000200075 -:040F5C000000200071 -:040F6000000020006D -:040F64000000200069 -:040F68000000200065 -:040F6C000000200061 -:040F7000000020005D -:040F74000000200059 -:040F78000000200055 -:040F7C000000200051 -:040F8000000020004D -:040F84000000200049 -:040F88000000200045 -:040F8C000000200041 -:040F9000000020003D -:040F94000000200039 -:040F98000000200035 -:040F9C000000200031 -:040FA000000020002D -:040FA4000000200029 -:040FA8000000200025 -:040FAC000000200021 -:040FB000000020001D -:040FB4000000200019 -:040FB8000000200015 -:040FBC000000200011 -:040FC000000020000D -:040FC4000000200009 -:040FC8000000200005 -:040FCC000000200001 -:040FD00000002000FD -:040FD40000002000F9 -:040FD80000002000F5 -:040FDC0000002000F1 -:040FE00000002000ED -:040FE40000002000E9 -:040FE80000002000E5 -:040FEC0000002000E1 -:040FF00000002000DD -:040FF40000002000D9 -:040FF80000002000D5 -:040FFC0000002000D1 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_1.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_1.hex deleted file mode 100644 index ae43370003a96b8ad282faaa244394fadbba07e0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_1.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400040000002000D8 -:0400080000002000D4 -:04000C0000002000D0 -:0400100000002000CC -:0400140000002000C8 -:0400180000002000C4 -:04001C0000002000C0 -:0400200000002000BC -:0400240000002000B8 -:0400280000002000B4 -:04002C0000002000B0 -:0400300000002000AC -:0400340000002000A8 -:0400380000002000A4 -:04003C0000002000A0 -:04004000000020009C -:040044000000200098 -:040048000000200094 -:04004C000000200090 -:04005000000020008C -:040054000000200088 -:040058000000200084 -:04005C000000200080 -:04006000000020007C -:040064000000200078 -:040068000000200074 -:04006C000000200070 -:04007000000020006C -:040074000000200068 -:040078000000200064 -:04007C000000200060 -:04008000000020005C -:040084000000200058 -:040088000000200054 -:04008C000000200050 -:04009000000020004C -:040094000000200048 -:040098000000200044 -:04009C000000200040 -:0400A000000020003C -:0400A4000000200038 -:0400A8000000200034 -:0400AC000000200030 -:0400B000000020002C -:0400B4000000200028 -:0400B8000000200024 -:0400BC000000200020 -:0400C000000020001C -:0400C4000000200018 -:0400C8000000200014 -:0400CC000000200010 -:0400D000000020000C -:0400D4000000200008 -:0400D8000000200004 -:0400DC000000200000 -:0400E00000002000FC -:0400E40000002000F8 -:0400E80000002000F4 -:0400EC0000002000F0 -:0400F00000002000EC -:0400F40000002000E8 -:0400F80000002000E4 -:0400FC0000002000E0 -:0401000000002000DB -:0401040000002000D7 -:0401080000002000D3 -:04010C0000002000CF -:0401100000002000CB -:0401140000002000C7 -:0401180000002000C3 -:04011C0000002000BF -:0401200000002000BB -:0401240000002000B7 -:0401280000002000B3 -:04012C0000002000AF -:0401300000002000AB -:0401340000002000A7 -:0401380000002000A3 -:04013C00000020009F -:04014000000020009B -:040144000000200097 -:040148000000200093 -:04014C00000020008F -:04015000000020008B -:040154000000200087 -:040158000000200083 -:04015C00000020007F -:04016000000020007B -:040164000000200077 -:040168000000200073 -:04016C00000020006F -:04017000000020006B -:040174000000200067 -:040178000000200063 -:04017C00000020005F -:04018000000020005B -:040184000000200057 -:040188000000200053 -:04018C00000020004F -:04019000000020004B -:040194000000200047 -:040198000000200043 -:04019C00000020003F -:0401A000000020003B -:0401A4000000200037 -:0401A8000000200033 -:0401AC00000020002F -:0401B000000020002B -:0401B4000000200027 -:0401B8000000200023 -:0401BC00000020001F -:0401C000000020001B -:0401C4000000200017 -:0401C8000000200013 -:0401CC00000020000F -:0401D000000020000B -:0401D4000000200007 -:0401D8000000200003 -:0401DC0000002000FF -:0401E00000002000FB -:0401E40000002000F7 -:0401E80000002000F3 -:0401EC0000002000EF -:0401F00000002000EB -:0401F40000002000E7 -:0401F80000002000E3 -:0401FC0000002000DF -:0402000000002000DA -:0402040000002000D6 -:0402080000002000D2 -:04020C0000002000CE -:0402100000002000CA -:0402140000002000C6 -:0402180000002000C2 -:04021C0000002000BE -:0402200000002000BA -:0402240000002000B6 -:0402280000002000B2 -:04022C0000002000AE -:0402300000002000AA -:0402340000002000A6 -:0402380000002000A2 -:04023C00000020009E -:04024000000020009A -:040244000000200096 -:040248000000200092 -:04024C00000020008E -:04025000000020008A -:040254000000200086 -:040258000000200082 -:04025C00000020007E -:04026000000020007A -:040264000000200076 -:040268000000200072 -:04026C00000020006E -:04027000000020006A -:040274000000200066 -:040278000000200062 -:04027C00000020005E -:04028000000020005A -:040284000000200056 -:040288000000200052 -:04028C00000020004E -:04029000000020004A -:040294000000200046 -:040298000000200042 -:04029C00000020003E -:0402A000000020003A -:0402A4000000200036 -:0402A8000000200032 -:0402AC00000020002E -:0402B000000020002A -:0402B4000000200026 -:0402B8000000200022 -:0402BC00000020001E -:0402C000000020001A -:0402C4000000200016 -:0402C8000000200012 -:0402CC00000020000E -:0402D000000020000A -:0402D4000000200006 -:0402D8000000200002 -:0402DC0000002000FE -:0402E00000002000FA -:0402E40000002000F6 -:0402E80000002000F2 -:0402EC0000002000EE -:0402F00000002000EA -:0402F40000002000E6 -:0402F80000002000E2 -:0402FC0000002000DE -:0403000000002000D9 -:0403040000002000D5 -:0403080000002000D1 -:04030C0000002000CD -:0403100000002000C9 -:0403140000002000C5 -:0403180000002000C1 -:04031C0000002000BD -:0403200000002000B9 -:0403240000002000B5 -:0403280000002000B1 -:04032C0000002000AD -:0403300000002000A9 -:0403340000002000A5 -:0403380000002000A1 -:04033C00000020009D -:040340000000200099 -:040344000000200095 -:040348000000200091 -:04034C00000020008D -:040350000000200089 -:040354000000200085 -:040358000000200081 -:04035C00000020007D -:040360000000200079 -:040364000000200075 -:040368000000200071 -:04036C00000020006D -:040370000000200069 -:040374000000200065 -:040378000000200061 -:04037C00000020005D -:040380000000200059 -:040384000000200055 -:040388000000200051 -:04038C00000020004D -:040390000000200049 -:040394000000200045 -:040398000000200041 -:04039C00000020003D -:0403A0000000200039 -:0403A4000000200035 -:0403A8000000200031 -:0403AC00000020002D -:0403B0000000200029 -:0403B4000000200025 -:0403B8000000200021 -:0403BC00000020001D -:0403C0000000200019 -:0403C4000000200015 -:0403C8000000200011 -:0403CC00000020000D -:0403D0000000200009 -:0403D4000000200005 -:0403D8000000200001 -:0403DC0000002000FD -:0403E00000002000F9 -:0403E40000002000F5 -:0403E80000002000F1 -:0403EC0000002000ED -:0403F00000002000E9 -:0403F40000002000E5 -:0403F80000002000E1 -:0403FC0000002000DD -:0404000000002000D8 -:0404040000002000D4 -:0404080000002000D0 -:04040C0000002000CC -:0404100000002000C8 -:0404140000002000C4 -:0404180000002000C0 -:04041C0000002000BC -:0404200000002000B8 -:0404240000002000B4 -:0404280000002000B0 -:04042C0000002000AC -:0404300000002000A8 -:0404340000002000A4 -:0404380000002000A0 -:04043C00000020009C -:040440000000200098 -:040444000000200094 -:040448000000200090 -:04044C00000020008C -:040450000000200088 -:040454000000200084 -:040458000000200080 -:04045C00000020007C -:040460000000200078 -:040464000000200074 -:040468000000200070 -:04046C00000020006C -:040470000000200068 -:040474000000200064 -:040478000000200060 -:04047C00000020005C -:040480000000200058 -:040484000000200054 -:040488000000200050 -:04048C00000020004C -:040490000000200048 -:040494000000200044 -:040498000000200040 -:04049C00000020003C -:0404A0000000200038 -:0404A4000000200034 -:0404A8000000200030 -:0404AC00000020002C -:0404B0000000200028 -:0404B4000000200024 -:0404B8000000200020 -:0404BC00000020001C -:0404C0000000200018 -:0404C4000000200014 -:0404C8000000200010 -:0404CC00000020000C -:0404D0000000200008 -:0404D4000000200004 -:0404D8000000200000 -:0404DC0000002000FC -:0404E00000002000F8 -:0404E40000002000F4 -:0404E80000002000F0 -:0404EC0000002000EC -:0404F00000002000E8 -:0404F40000002000E4 -:0404F80000002000E0 -:0404FC0000002000DC -:0405000000002000D7 -:0405040000002000D3 -:0405080000002000CF -:04050C0000002000CB -:0405100000002000C7 -:0405140000002000C3 -:0405180000002000BF -:04051C0000002000BB -:0405200000002000B7 -:0405240000002000B3 -:0405280000002000AF -:04052C0000002000AB -:0405300000002000A7 -:0405340000002000A3 -:04053800000020009F -:04053C00000020009B -:040540000000200097 -:040544000000200093 -:04054800000020008F -:04054C00000020008B -:040550000000200087 -:040554000000200083 -:04055800000020007F -:04055C00000020007B -:040560000000200077 -:040564000000200073 -:04056800000020006F -:04056C00000020006B -:040570000000200067 -:040574000000200063 -:04057800000020005F -:04057C00000020005B -:040580000000200057 -:040584000000200053 -:04058800000020004F -:04058C00000020004B -:040590000000200047 -:040594000000200043 -:04059800000020003F -:04059C00000020003B -:0405A0000000200037 -:0405A4000000200033 -:0405A800000020002F -:0405AC00000020002B -:0405B0000000200027 -:0405B4000000200023 -:0405B800000020001F -:0405BC00000020001B -:0405C0000000200017 -:0405C4000000200013 -:0405C800000020000F -:0405CC00000020000B -:0405D0000000200007 -:0405D4000000200003 -:0405D80000002000FF -:0405DC0000002000FB -:0405E00000002000F7 -:0405E40000002000F3 -:0405E80000002000EF -:0405EC0000002000EB -:0405F00000002000E7 -:0405F40000002000E3 -:0405F80000002000DF -:0405FC0000002000DB -:0406000000002000D6 -:0406040000002000D2 -:0406080000002000CE -:04060C0000002000CA -:0406100000002000C6 -:0406140000002000C2 -:0406180000002000BE -:04061C0000002000BA -:0406200000002000B6 -:0406240000002000B2 -:0406280000002000AE -:04062C0000002000AA -:0406300000002000A6 -:0406340000002000A2 -:04063800000020009E -:04063C00000020009A -:040640000000200096 -:040644000000200092 -:04064800000020008E -:04064C00000020008A -:040650000000200086 -:040654000000200082 -:04065800000020007E -:04065C00000020007A -:040660000000200076 -:040664000000200072 -:04066800000020006E -:04066C00000020006A -:040670000000200066 -:040674000000200062 -:04067800000020005E -:04067C00000020005A -:040680000000200056 -:040684000000200052 -:04068800000020004E -:04068C00000020004A -:040690000000200046 -:040694000000200042 -:04069800000020003E -:04069C00000020003A -:0406A0000000200036 -:0406A4000000200032 -:0406A800000020002E -:0406AC00000020002A -:0406B0000000200026 -:0406B4000000200022 -:0406B800000020001E -:0406BC00000020001A -:0406C0000000200016 -:0406C4000000200012 -:0406C800000020000E -:0406CC00000020000A -:0406D0000000200006 -:0406D4000000200002 -:0406D80000002000FE -:0406DC0000002000FA -:0406E00000002000F6 -:0406E40000002000F2 -:0406E80000002000EE -:0406EC0000002000EA -:0406F00000002000E6 -:0406F40000002000E2 -:0406F80000002000DE -:0406FC0000002000DA -:0407000000002000D5 -:0407040000002000D1 -:0407080000002000CD -:04070C0000002000C9 -:0407100000002000C5 -:0407140000002000C1 -:0407180000002000BD -:04071C0000002000B9 -:0407200000002000B5 -:0407240000002000B1 -:0407280000002000AD -:04072C0000002000A9 -:0407300000002000A5 -:0407340000002000A1 -:04073800000020009D -:04073C000000200099 -:040740000000200095 -:040744000000200091 -:04074800000020008D -:04074C000000200089 -:040750000000200085 -:040754000000200081 -:04075800000020007D -:04075C000000200079 -:040760000000200075 -:040764000000200071 -:04076800000020006D -:04076C000000200069 -:040770000000200065 -:040774000000200061 -:04077800000020005D -:04077C000000200059 -:040780000000200055 -:040784000000200051 -:04078800000020004D -:04078C000000200049 -:040790000000200045 -:040794000000200041 -:04079800000020003D -:04079C000000200039 -:0407A0000000200035 -:0407A4000000200031 -:0407A800000020002D -:0407AC000000200029 -:0407B0000000200025 -:0407B4000000200021 -:0407B800000020001D -:0407BC000000200019 -:0407C0000000200015 -:0407C4000000200011 -:0407C800000020000D -:0407CC000000200009 -:0407D0000000200005 -:0407D4000000200001 -:0407D80000002000FD -:0407DC0000002000F9 -:0407E00000002000F5 -:0407E40000002000F1 -:0407E80000002000ED -:0407EC0000002000E9 -:0407F00000002000E5 -:0407F40000002000E1 -:0407F80000002000DD -:0407FC0000002000D9 -:0408000000002000D4 -:0408040000002000D0 -:0408080000002000CC -:04080C0000002000C8 -:0408100000002000C4 -:0408140000002000C0 -:0408180000002000BC -:04081C0000002000B8 -:0408200000002000B4 -:0408240000002000B0 -:0408280000002000AC -:04082C0000002000A8 -:0408300000002000A4 -:0408340000002000A0 -:04083800000020009C -:04083C000000200098 -:040840000000200094 -:040844000000200090 -:04084800000020008C -:04084C000000200088 -:040850000000200084 -:040854000000200080 -:04085800000020007C -:04085C000000200078 -:040860000000200074 -:040864000000200070 -:04086800000020006C -:04086C000000200068 -:040870000000200064 -:040874000000200060 -:04087800000020005C -:04087C000000200058 -:040880000000200054 -:040884000000200050 -:04088800000020004C -:04088C000000200048 -:040890000000200044 -:040894000000200040 -:04089800000020003C -:04089C000000200038 -:0408A0000000200034 -:0408A4000000200030 -:0408A800000020002C -:0408AC000000200028 -:0408B0000000200024 -:0408B4000000200020 -:0408B800000020001C -:0408BC000000200018 -:0408C0000000200014 -:0408C4000000200010 -:0408C800000020000C -:0408CC000000200008 -:0408D0000000200004 -:0408D4000000200000 -:0408D80000002000FC -:0408DC0000002000F8 -:0408E00000002000F4 -:0408E40000002000F0 -:0408E80000002000EC -:0408EC0000002000E8 -:0408F00000002000E4 -:0408F40000002000E0 -:0408F80000002000DC -:0408FC0000002000D8 -:0409000000002000D3 -:0409040000002000CF -:0409080000002000CB -:04090C0000002000C7 -:0409100000002000C3 -:0409140000002000BF -:0409180000002000BB -:04091C0000002000B7 -:0409200000002000B3 -:0409240000002000AF -:0409280000002000AB -:04092C0000002000A7 -:0409300000002000A3 -:04093400000020009F -:04093800000020009B -:04093C000000200097 -:040940000000200093 -:04094400000020008F -:04094800000020008B -:04094C000000200087 -:040950000000200083 -:04095400000020007F -:04095800000020007B -:04095C000000200077 -:040960000000200073 -:04096400000020006F -:04096800000020006B -:04096C000000200067 -:040970000000200063 -:04097400000020005F -:04097800000020005B -:04097C000000200057 -:040980000000200053 -:04098400000020004F -:04098800000020004B -:04098C000000200047 -:040990000000200043 -:04099400000020003F -:04099800000020003B -:04099C000000200037 -:0409A0000000200033 -:0409A400000020002F -:0409A800000020002B -:0409AC000000200027 -:0409B0000000200023 -:0409B400000020001F -:0409B800000020001B -:0409BC000000200017 -:0409C0000000200013 -:0409C400000020000F -:0409C800000020000B -:0409CC000000200007 -:0409D0000000200003 -:0409D40000002000FF -:0409D80000002000FB -:0409DC0000002000F7 -:0409E00000002000F3 -:0409E40000002000EF -:0409E80000002000EB -:0409EC0000002000E7 -:0409F00000002000E3 -:0409F40000002000DF -:0409F80000002000DB -:0409FC0000002000D7 -:040A000000002000D2 -:040A040000002000CE -:040A080000002000CA -:040A0C0000002000C6 -:040A100000002000C2 -:040A140000002000BE -:040A180000002000BA -:040A1C0000002000B6 -:040A200000002000B2 -:040A240000002000AE -:040A280000002000AA -:040A2C0000002000A6 -:040A300000002000A2 -:040A3400000020009E -:040A3800000020009A -:040A3C000000200096 -:040A40000000200092 -:040A4400000020008E -:040A4800000020008A -:040A4C000000200086 -:040A50000000200082 -:040A5400000020007E -:040A5800000020007A -:040A5C000000200076 -:040A60000000200072 -:040A6400000020006E -:040A6800000020006A -:040A6C000000200066 -:040A70000000200062 -:040A7400000020005E -:040A7800000020005A -:040A7C000000200056 -:040A80000000200052 -:040A8400000020004E -:040A8800000020004A -:040A8C000000200046 -:040A90000000200042 -:040A9400000020003E -:040A9800000020003A -:040A9C000000200036 -:040AA0000000200032 -:040AA400000020002E -:040AA800000020002A -:040AAC000000200026 -:040AB0000000200022 -:040AB400000020001E -:040AB800000020001A -:040ABC000000200016 -:040AC0000000200012 -:040AC400000020000E -:040AC800000020000A -:040ACC000000200006 -:040AD0000000200002 -:040AD40000002000FE -:040AD80000002000FA -:040ADC0000002000F6 -:040AE00000002000F2 -:040AE40000002000EE -:040AE80000002000EA -:040AEC0000002000E6 -:040AF00000002000E2 -:040AF40000002000DE -:040AF80000002000DA -:040AFC0000002000D6 -:040B000000002000D1 -:040B040000002000CD -:040B080000002000C9 -:040B0C0000002000C5 -:040B100000002000C1 -:040B140000002000BD -:040B180000002000B9 -:040B1C0000002000B5 -:040B200000002000B1 -:040B240000002000AD -:040B280000002000A9 -:040B2C0000002000A5 -:040B300000002000A1 -:040B3400000020009D -:040B38000000200099 -:040B3C000000200095 -:040B40000000200091 -:040B4400000020008D -:040B48000000200089 -:040B4C000000200085 -:040B50000000200081 -:040B5400000020007D -:040B58000000200079 -:040B5C000000200075 -:040B60000000200071 -:040B6400000020006D -:040B68000000200069 -:040B6C000000200065 -:040B70000000200061 -:040B7400000020005D -:040B78000000200059 -:040B7C000000200055 -:040B80000000200051 -:040B8400000020004D -:040B88000000200049 -:040B8C000000200045 -:040B90000000200041 -:040B9400000020003D -:040B98000000200039 -:040B9C000000200035 -:040BA0000000200031 -:040BA400000020002D -:040BA8000000200029 -:040BAC000000200025 -:040BB0000000200021 -:040BB400000020001D -:040BB8000000200019 -:040BBC000000200015 -:040BC0000000200011 -:040BC400000020000D -:040BC8000000200009 -:040BCC000000200005 -:040BD0000000200001 -:040BD40000002000FD -:040BD80000002000F9 -:040BDC0000002000F5 -:040BE00000002000F1 -:040BE40000002000ED -:040BE80000002000E9 -:040BEC0000002000E5 -:040BF00000002000E1 -:040BF40000002000DD -:040BF80000002000D9 -:040BFC0000002000D5 -:040C000000002000D0 -:040C040000002000CC -:040C080000002000C8 -:040C0C0000002000C4 -:040C100000002000C0 -:040C140000002000BC -:040C180000002000B8 -:040C1C0000002000B4 -:040C200000002000B0 -:040C240000002000AC -:040C280000002000A8 -:040C2C0000002000A4 -:040C300000002000A0 -:040C3400000020009C -:040C38000000200098 -:040C3C000000200094 -:040C40000000200090 -:040C4400000020008C -:040C48000000200088 -:040C4C000000200084 -:040C50000000200080 -:040C5400000020007C -:040C58000000200078 -:040C5C000000200074 -:040C60000000200070 -:040C6400000020006C -:040C68000000200068 -:040C6C000000200064 -:040C70000000200060 -:040C7400000020005C -:040C78000000200058 -:040C7C000000200054 -:040C80000000200050 -:040C8400000020004C -:040C88000000200048 -:040C8C000000200044 -:040C90000000200040 -:040C9400000020003C -:040C98000000200038 -:040C9C000000200034 -:040CA0000000200030 -:040CA400000020002C -:040CA8000000200028 -:040CAC000000200024 -:040CB0000000200020 -:040CB400000020001C -:040CB8000000200018 -:040CBC000000200014 -:040CC0000000200010 -:040CC400000020000C -:040CC8000000200008 -:040CCC000000200004 -:040CD0000000200000 -:040CD40000002000FC -:040CD80000002000F8 -:040CDC0000002000F4 -:040CE00000002000F0 -:040CE40000002000EC -:040CE80000002000E8 -:040CEC0000002000E4 -:040CF00000002000E0 -:040CF40000002000DC -:040CF80000002000D8 -:040CFC0000002000D4 -:040D000000002000CF -:040D040000002000CB -:040D080000002000C7 -:040D0C0000002000C3 -:040D100000002000BF -:040D140000002000BB -:040D180000002000B7 -:040D1C0000002000B3 -:040D200000002000AF -:040D240000002000AB -:040D280000002000A7 -:040D2C0000002000A3 -:040D3000000020009F -:040D3400000020009B -:040D38000000200097 -:040D3C000000200093 -:040D4000000020008F -:040D4400000020008B -:040D48000000200087 -:040D4C000000200083 -:040D5000000020007F -:040D5400000020007B -:040D58000000200077 -:040D5C000000200073 -:040D6000000020006F -:040D6400000020006B -:040D68000000200067 -:040D6C000000200063 -:040D7000000020005F -:040D7400000020005B -:040D78000000200057 -:040D7C000000200053 -:040D8000000020004F -:040D8400000020004B -:040D88000000200047 -:040D8C000000200043 -:040D9000000020003F -:040D9400000020003B -:040D98000000200037 -:040D9C000000200033 -:040DA000000020002F -:040DA400000020002B -:040DA8000000200027 -:040DAC000000200023 -:040DB000000020001F -:040DB400000020001B -:040DB8000000200017 -:040DBC000000200013 -:040DC000000020000F -:040DC400000020000B -:040DC8000000200007 -:040DCC000000200003 -:040DD00000002000FF -:040DD40000002000FB -:040DD80000002000F7 -:040DDC0000002000F3 -:040DE00000002000EF -:040DE40000002000EB -:040DE80000002000E7 -:040DEC0000002000E3 -:040DF00000002000DF -:040DF40000002000DB -:040DF80000002000D7 -:040DFC0000002000D3 -:040E000000002000CE -:040E040000002000CA -:040E080000002000C6 -:040E0C0000002000C2 -:040E100000002000BE -:040E140000002000BA -:040E180000002000B6 -:040E1C0000002000B2 -:040E200000002000AE -:040E240000002000AA -:040E280000002000A6 -:040E2C0000002000A2 -:040E3000000020009E -:040E3400000020009A -:040E38000000200096 -:040E3C000000200092 -:040E4000000020008E -:040E4400000020008A -:040E48000000200086 -:040E4C000000200082 -:040E5000000020007E -:040E5400000020007A -:040E58000000200076 -:040E5C000000200072 -:040E6000000020006E -:040E6400000020006A -:040E68000000200066 -:040E6C000000200062 -:040E7000000020005E -:040E7400000020005A -:040E78000000200056 -:040E7C000000200052 -:040E8000000020004E -:040E8400000020004A -:040E88000000200046 -:040E8C000000200042 -:040E9000000020003E -:040E9400000020003A -:040E98000000200036 -:040E9C000000200032 -:040EA000000020002E -:040EA400000020002A -:040EA8000000200026 -:040EAC000000200022 -:040EB000000020001E -:040EB400000020001A -:040EB8000000200016 -:040EBC000000200012 -:040EC000000020000E -:040EC400000020000A -:040EC8000000200006 -:040ECC000000200002 -:040ED00000002000FE -:040ED40000002000FA -:040ED80000002000F6 -:040EDC0000002000F2 -:040EE00000002000EE -:040EE40000002000EA -:040EE80000002000E6 -:040EEC0000002000E2 -:040EF00000002000DE -:040EF40000002000DA -:040EF80000002000D6 -:040EFC0000002000D2 -:040F000000002000CD -:040F040000002000C9 -:040F080000002000C5 -:040F0C0000002000C1 -:040F100000002000BD -:040F140000002000B9 -:040F180000002000B5 -:040F1C0000002000B1 -:040F200000002000AD -:040F240000002000A9 -:040F280000002000A5 -:040F2C0000002000A1 -:040F3000000020009D -:040F34000000200099 -:040F38000000200095 -:040F3C000000200091 -:040F4000000020008D -:040F44000000200089 -:040F48000000200085 -:040F4C000000200081 -:040F5000000020007D -:040F54000000200079 -:040F58000000200075 -:040F5C000000200071 -:040F6000000020006D -:040F64000000200069 -:040F68000000200065 -:040F6C000000200061 -:040F7000000020005D -:040F74000000200059 -:040F78000000200055 -:040F7C000000200051 -:040F8000000020004D -:040F84000000200049 -:040F88000000200045 -:040F8C000000200041 -:040F9000000020003D -:040F94000000200039 -:040F98000000200035 -:040F9C000000200031 -:040FA000000020002D -:040FA4000000200029 -:040FA8000000200025 -:040FAC000000200021 -:040FB000000020001D -:040FB4000000200019 -:040FB8000000200015 -:040FBC000000200011 -:040FC000000020000D -:040FC4000000200009 -:040FC8000000200005 -:040FCC000000200001 -:040FD00000002000FD -:040FD40000002000F9 -:040FD80000002000F5 -:040FDC0000002000F1 -:040FE00000002000ED -:040FE40000002000E9 -:040FE80000002000E5 -:040FEC0000002000E1 -:040FF00000002000DD -:040FF40000002000D9 -:040FF80000002000D5 -:040FFC0000002000D1 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_10.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_10.hex deleted file mode 100644 index ae43370003a96b8ad282faaa244394fadbba07e0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_10.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400040000002000D8 -:0400080000002000D4 -:04000C0000002000D0 -:0400100000002000CC -:0400140000002000C8 -:0400180000002000C4 -:04001C0000002000C0 -:0400200000002000BC -:0400240000002000B8 -:0400280000002000B4 -:04002C0000002000B0 -:0400300000002000AC -:0400340000002000A8 -:0400380000002000A4 -:04003C0000002000A0 -:04004000000020009C -:040044000000200098 -:040048000000200094 -:04004C000000200090 -:04005000000020008C -:040054000000200088 -:040058000000200084 -:04005C000000200080 -:04006000000020007C -:040064000000200078 -:040068000000200074 -:04006C000000200070 -:04007000000020006C -:040074000000200068 -:040078000000200064 -:04007C000000200060 -:04008000000020005C -:040084000000200058 -:040088000000200054 -:04008C000000200050 -:04009000000020004C -:040094000000200048 -:040098000000200044 -:04009C000000200040 -:0400A000000020003C -:0400A4000000200038 -:0400A8000000200034 -:0400AC000000200030 -:0400B000000020002C -:0400B4000000200028 -:0400B8000000200024 -:0400BC000000200020 -:0400C000000020001C -:0400C4000000200018 -:0400C8000000200014 -:0400CC000000200010 -:0400D000000020000C -:0400D4000000200008 -:0400D8000000200004 -:0400DC000000200000 -:0400E00000002000FC -:0400E40000002000F8 -:0400E80000002000F4 -:0400EC0000002000F0 -:0400F00000002000EC -:0400F40000002000E8 -:0400F80000002000E4 -:0400FC0000002000E0 -:0401000000002000DB -:0401040000002000D7 -:0401080000002000D3 -:04010C0000002000CF -:0401100000002000CB -:0401140000002000C7 -:0401180000002000C3 -:04011C0000002000BF -:0401200000002000BB -:0401240000002000B7 -:0401280000002000B3 -:04012C0000002000AF -:0401300000002000AB -:0401340000002000A7 -:0401380000002000A3 -:04013C00000020009F -:04014000000020009B -:040144000000200097 -:040148000000200093 -:04014C00000020008F -:04015000000020008B -:040154000000200087 -:040158000000200083 -:04015C00000020007F -:04016000000020007B -:040164000000200077 -:040168000000200073 -:04016C00000020006F -:04017000000020006B -:040174000000200067 -:040178000000200063 -:04017C00000020005F -:04018000000020005B -:040184000000200057 -:040188000000200053 -:04018C00000020004F -:04019000000020004B -:040194000000200047 -:040198000000200043 -:04019C00000020003F -:0401A000000020003B -:0401A4000000200037 -:0401A8000000200033 -:0401AC00000020002F -:0401B000000020002B -:0401B4000000200027 -:0401B8000000200023 -:0401BC00000020001F -:0401C000000020001B -:0401C4000000200017 -:0401C8000000200013 -:0401CC00000020000F -:0401D000000020000B -:0401D4000000200007 -:0401D8000000200003 -:0401DC0000002000FF -:0401E00000002000FB -:0401E40000002000F7 -:0401E80000002000F3 -:0401EC0000002000EF -:0401F00000002000EB -:0401F40000002000E7 -:0401F80000002000E3 -:0401FC0000002000DF -:0402000000002000DA -:0402040000002000D6 -:0402080000002000D2 -:04020C0000002000CE -:0402100000002000CA -:0402140000002000C6 -:0402180000002000C2 -:04021C0000002000BE -:0402200000002000BA -:0402240000002000B6 -:0402280000002000B2 -:04022C0000002000AE -:0402300000002000AA -:0402340000002000A6 -:0402380000002000A2 -:04023C00000020009E -:04024000000020009A -:040244000000200096 -:040248000000200092 -:04024C00000020008E -:04025000000020008A -:040254000000200086 -:040258000000200082 -:04025C00000020007E -:04026000000020007A -:040264000000200076 -:040268000000200072 -:04026C00000020006E -:04027000000020006A -:040274000000200066 -:040278000000200062 -:04027C00000020005E -:04028000000020005A -:040284000000200056 -:040288000000200052 -:04028C00000020004E -:04029000000020004A -:040294000000200046 -:040298000000200042 -:04029C00000020003E -:0402A000000020003A -:0402A4000000200036 -:0402A8000000200032 -:0402AC00000020002E -:0402B000000020002A -:0402B4000000200026 -:0402B8000000200022 -:0402BC00000020001E -:0402C000000020001A -:0402C4000000200016 -:0402C8000000200012 -:0402CC00000020000E -:0402D000000020000A -:0402D4000000200006 -:0402D8000000200002 -:0402DC0000002000FE -:0402E00000002000FA -:0402E40000002000F6 -:0402E80000002000F2 -:0402EC0000002000EE -:0402F00000002000EA -:0402F40000002000E6 -:0402F80000002000E2 -:0402FC0000002000DE -:0403000000002000D9 -:0403040000002000D5 -:0403080000002000D1 -:04030C0000002000CD -:0403100000002000C9 -:0403140000002000C5 -:0403180000002000C1 -:04031C0000002000BD -:0403200000002000B9 -:0403240000002000B5 -:0403280000002000B1 -:04032C0000002000AD -:0403300000002000A9 -:0403340000002000A5 -:0403380000002000A1 -:04033C00000020009D -:040340000000200099 -:040344000000200095 -:040348000000200091 -:04034C00000020008D -:040350000000200089 -:040354000000200085 -:040358000000200081 -:04035C00000020007D -:040360000000200079 -:040364000000200075 -:040368000000200071 -:04036C00000020006D -:040370000000200069 -:040374000000200065 -:040378000000200061 -:04037C00000020005D -:040380000000200059 -:040384000000200055 -:040388000000200051 -:04038C00000020004D -:040390000000200049 -:040394000000200045 -:040398000000200041 -:04039C00000020003D -:0403A0000000200039 -:0403A4000000200035 -:0403A8000000200031 -:0403AC00000020002D -:0403B0000000200029 -:0403B4000000200025 -:0403B8000000200021 -:0403BC00000020001D -:0403C0000000200019 -:0403C4000000200015 -:0403C8000000200011 -:0403CC00000020000D -:0403D0000000200009 -:0403D4000000200005 -:0403D8000000200001 -:0403DC0000002000FD -:0403E00000002000F9 -:0403E40000002000F5 -:0403E80000002000F1 -:0403EC0000002000ED -:0403F00000002000E9 -:0403F40000002000E5 -:0403F80000002000E1 -:0403FC0000002000DD -:0404000000002000D8 -:0404040000002000D4 -:0404080000002000D0 -:04040C0000002000CC -:0404100000002000C8 -:0404140000002000C4 -:0404180000002000C0 -:04041C0000002000BC -:0404200000002000B8 -:0404240000002000B4 -:0404280000002000B0 -:04042C0000002000AC -:0404300000002000A8 -:0404340000002000A4 -:0404380000002000A0 -:04043C00000020009C -:040440000000200098 -:040444000000200094 -:040448000000200090 -:04044C00000020008C -:040450000000200088 -:040454000000200084 -:040458000000200080 -:04045C00000020007C -:040460000000200078 -:040464000000200074 -:040468000000200070 -:04046C00000020006C -:040470000000200068 -:040474000000200064 -:040478000000200060 -:04047C00000020005C -:040480000000200058 -:040484000000200054 -:040488000000200050 -:04048C00000020004C -:040490000000200048 -:040494000000200044 -:040498000000200040 -:04049C00000020003C -:0404A0000000200038 -:0404A4000000200034 -:0404A8000000200030 -:0404AC00000020002C -:0404B0000000200028 -:0404B4000000200024 -:0404B8000000200020 -:0404BC00000020001C -:0404C0000000200018 -:0404C4000000200014 -:0404C8000000200010 -:0404CC00000020000C -:0404D0000000200008 -:0404D4000000200004 -:0404D8000000200000 -:0404DC0000002000FC -:0404E00000002000F8 -:0404E40000002000F4 -:0404E80000002000F0 -:0404EC0000002000EC -:0404F00000002000E8 -:0404F40000002000E4 -:0404F80000002000E0 -:0404FC0000002000DC -:0405000000002000D7 -:0405040000002000D3 -:0405080000002000CF -:04050C0000002000CB -:0405100000002000C7 -:0405140000002000C3 -:0405180000002000BF -:04051C0000002000BB -:0405200000002000B7 -:0405240000002000B3 -:0405280000002000AF -:04052C0000002000AB -:0405300000002000A7 -:0405340000002000A3 -:04053800000020009F -:04053C00000020009B -:040540000000200097 -:040544000000200093 -:04054800000020008F -:04054C00000020008B -:040550000000200087 -:040554000000200083 -:04055800000020007F -:04055C00000020007B -:040560000000200077 -:040564000000200073 -:04056800000020006F -:04056C00000020006B -:040570000000200067 -:040574000000200063 -:04057800000020005F -:04057C00000020005B -:040580000000200057 -:040584000000200053 -:04058800000020004F -:04058C00000020004B -:040590000000200047 -:040594000000200043 -:04059800000020003F -:04059C00000020003B -:0405A0000000200037 -:0405A4000000200033 -:0405A800000020002F -:0405AC00000020002B -:0405B0000000200027 -:0405B4000000200023 -:0405B800000020001F -:0405BC00000020001B -:0405C0000000200017 -:0405C4000000200013 -:0405C800000020000F -:0405CC00000020000B -:0405D0000000200007 -:0405D4000000200003 -:0405D80000002000FF -:0405DC0000002000FB -:0405E00000002000F7 -:0405E40000002000F3 -:0405E80000002000EF -:0405EC0000002000EB -:0405F00000002000E7 -:0405F40000002000E3 -:0405F80000002000DF -:0405FC0000002000DB -:0406000000002000D6 -:0406040000002000D2 -:0406080000002000CE -:04060C0000002000CA -:0406100000002000C6 -:0406140000002000C2 -:0406180000002000BE -:04061C0000002000BA -:0406200000002000B6 -:0406240000002000B2 -:0406280000002000AE -:04062C0000002000AA -:0406300000002000A6 -:0406340000002000A2 -:04063800000020009E -:04063C00000020009A -:040640000000200096 -:040644000000200092 -:04064800000020008E -:04064C00000020008A -:040650000000200086 -:040654000000200082 -:04065800000020007E -:04065C00000020007A -:040660000000200076 -:040664000000200072 -:04066800000020006E -:04066C00000020006A -:040670000000200066 -:040674000000200062 -:04067800000020005E -:04067C00000020005A -:040680000000200056 -:040684000000200052 -:04068800000020004E -:04068C00000020004A -:040690000000200046 -:040694000000200042 -:04069800000020003E -:04069C00000020003A -:0406A0000000200036 -:0406A4000000200032 -:0406A800000020002E -:0406AC00000020002A -:0406B0000000200026 -:0406B4000000200022 -:0406B800000020001E -:0406BC00000020001A -:0406C0000000200016 -:0406C4000000200012 -:0406C800000020000E -:0406CC00000020000A -:0406D0000000200006 -:0406D4000000200002 -:0406D80000002000FE -:0406DC0000002000FA -:0406E00000002000F6 -:0406E40000002000F2 -:0406E80000002000EE -:0406EC0000002000EA -:0406F00000002000E6 -:0406F40000002000E2 -:0406F80000002000DE -:0406FC0000002000DA -:0407000000002000D5 -:0407040000002000D1 -:0407080000002000CD -:04070C0000002000C9 -:0407100000002000C5 -:0407140000002000C1 -:0407180000002000BD -:04071C0000002000B9 -:0407200000002000B5 -:0407240000002000B1 -:0407280000002000AD -:04072C0000002000A9 -:0407300000002000A5 -:0407340000002000A1 -:04073800000020009D -:04073C000000200099 -:040740000000200095 -:040744000000200091 -:04074800000020008D -:04074C000000200089 -:040750000000200085 -:040754000000200081 -:04075800000020007D -:04075C000000200079 -:040760000000200075 -:040764000000200071 -:04076800000020006D -:04076C000000200069 -:040770000000200065 -:040774000000200061 -:04077800000020005D -:04077C000000200059 -:040780000000200055 -:040784000000200051 -:04078800000020004D -:04078C000000200049 -:040790000000200045 -:040794000000200041 -:04079800000020003D -:04079C000000200039 -:0407A0000000200035 -:0407A4000000200031 -:0407A800000020002D -:0407AC000000200029 -:0407B0000000200025 -:0407B4000000200021 -:0407B800000020001D -:0407BC000000200019 -:0407C0000000200015 -:0407C4000000200011 -:0407C800000020000D -:0407CC000000200009 -:0407D0000000200005 -:0407D4000000200001 -:0407D80000002000FD -:0407DC0000002000F9 -:0407E00000002000F5 -:0407E40000002000F1 -:0407E80000002000ED -:0407EC0000002000E9 -:0407F00000002000E5 -:0407F40000002000E1 -:0407F80000002000DD -:0407FC0000002000D9 -:0408000000002000D4 -:0408040000002000D0 -:0408080000002000CC -:04080C0000002000C8 -:0408100000002000C4 -:0408140000002000C0 -:0408180000002000BC -:04081C0000002000B8 -:0408200000002000B4 -:0408240000002000B0 -:0408280000002000AC -:04082C0000002000A8 -:0408300000002000A4 -:0408340000002000A0 -:04083800000020009C -:04083C000000200098 -:040840000000200094 -:040844000000200090 -:04084800000020008C -:04084C000000200088 -:040850000000200084 -:040854000000200080 -:04085800000020007C -:04085C000000200078 -:040860000000200074 -:040864000000200070 -:04086800000020006C -:04086C000000200068 -:040870000000200064 -:040874000000200060 -:04087800000020005C -:04087C000000200058 -:040880000000200054 -:040884000000200050 -:04088800000020004C -:04088C000000200048 -:040890000000200044 -:040894000000200040 -:04089800000020003C -:04089C000000200038 -:0408A0000000200034 -:0408A4000000200030 -:0408A800000020002C -:0408AC000000200028 -:0408B0000000200024 -:0408B4000000200020 -:0408B800000020001C -:0408BC000000200018 -:0408C0000000200014 -:0408C4000000200010 -:0408C800000020000C -:0408CC000000200008 -:0408D0000000200004 -:0408D4000000200000 -:0408D80000002000FC -:0408DC0000002000F8 -:0408E00000002000F4 -:0408E40000002000F0 -:0408E80000002000EC -:0408EC0000002000E8 -:0408F00000002000E4 -:0408F40000002000E0 -:0408F80000002000DC -:0408FC0000002000D8 -:0409000000002000D3 -:0409040000002000CF -:0409080000002000CB -:04090C0000002000C7 -:0409100000002000C3 -:0409140000002000BF -:0409180000002000BB -:04091C0000002000B7 -:0409200000002000B3 -:0409240000002000AF -:0409280000002000AB -:04092C0000002000A7 -:0409300000002000A3 -:04093400000020009F -:04093800000020009B -:04093C000000200097 -:040940000000200093 -:04094400000020008F -:04094800000020008B -:04094C000000200087 -:040950000000200083 -:04095400000020007F -:04095800000020007B -:04095C000000200077 -:040960000000200073 -:04096400000020006F -:04096800000020006B -:04096C000000200067 -:040970000000200063 -:04097400000020005F -:04097800000020005B -:04097C000000200057 -:040980000000200053 -:04098400000020004F -:04098800000020004B -:04098C000000200047 -:040990000000200043 -:04099400000020003F -:04099800000020003B -:04099C000000200037 -:0409A0000000200033 -:0409A400000020002F -:0409A800000020002B -:0409AC000000200027 -:0409B0000000200023 -:0409B400000020001F -:0409B800000020001B -:0409BC000000200017 -:0409C0000000200013 -:0409C400000020000F -:0409C800000020000B -:0409CC000000200007 -:0409D0000000200003 -:0409D40000002000FF -:0409D80000002000FB -:0409DC0000002000F7 -:0409E00000002000F3 -:0409E40000002000EF -:0409E80000002000EB -:0409EC0000002000E7 -:0409F00000002000E3 -:0409F40000002000DF -:0409F80000002000DB -:0409FC0000002000D7 -:040A000000002000D2 -:040A040000002000CE -:040A080000002000CA -:040A0C0000002000C6 -:040A100000002000C2 -:040A140000002000BE -:040A180000002000BA -:040A1C0000002000B6 -:040A200000002000B2 -:040A240000002000AE -:040A280000002000AA -:040A2C0000002000A6 -:040A300000002000A2 -:040A3400000020009E -:040A3800000020009A -:040A3C000000200096 -:040A40000000200092 -:040A4400000020008E -:040A4800000020008A -:040A4C000000200086 -:040A50000000200082 -:040A5400000020007E -:040A5800000020007A -:040A5C000000200076 -:040A60000000200072 -:040A6400000020006E -:040A6800000020006A -:040A6C000000200066 -:040A70000000200062 -:040A7400000020005E -:040A7800000020005A -:040A7C000000200056 -:040A80000000200052 -:040A8400000020004E -:040A8800000020004A -:040A8C000000200046 -:040A90000000200042 -:040A9400000020003E -:040A9800000020003A -:040A9C000000200036 -:040AA0000000200032 -:040AA400000020002E -:040AA800000020002A -:040AAC000000200026 -:040AB0000000200022 -:040AB400000020001E -:040AB800000020001A -:040ABC000000200016 -:040AC0000000200012 -:040AC400000020000E -:040AC800000020000A -:040ACC000000200006 -:040AD0000000200002 -:040AD40000002000FE -:040AD80000002000FA -:040ADC0000002000F6 -:040AE00000002000F2 -:040AE40000002000EE -:040AE80000002000EA -:040AEC0000002000E6 -:040AF00000002000E2 -:040AF40000002000DE -:040AF80000002000DA -:040AFC0000002000D6 -:040B000000002000D1 -:040B040000002000CD -:040B080000002000C9 -:040B0C0000002000C5 -:040B100000002000C1 -:040B140000002000BD -:040B180000002000B9 -:040B1C0000002000B5 -:040B200000002000B1 -:040B240000002000AD -:040B280000002000A9 -:040B2C0000002000A5 -:040B300000002000A1 -:040B3400000020009D -:040B38000000200099 -:040B3C000000200095 -:040B40000000200091 -:040B4400000020008D -:040B48000000200089 -:040B4C000000200085 -:040B50000000200081 -:040B5400000020007D -:040B58000000200079 -:040B5C000000200075 -:040B60000000200071 -:040B6400000020006D -:040B68000000200069 -:040B6C000000200065 -:040B70000000200061 -:040B7400000020005D -:040B78000000200059 -:040B7C000000200055 -:040B80000000200051 -:040B8400000020004D -:040B88000000200049 -:040B8C000000200045 -:040B90000000200041 -:040B9400000020003D -:040B98000000200039 -:040B9C000000200035 -:040BA0000000200031 -:040BA400000020002D -:040BA8000000200029 -:040BAC000000200025 -:040BB0000000200021 -:040BB400000020001D -:040BB8000000200019 -:040BBC000000200015 -:040BC0000000200011 -:040BC400000020000D -:040BC8000000200009 -:040BCC000000200005 -:040BD0000000200001 -:040BD40000002000FD -:040BD80000002000F9 -:040BDC0000002000F5 -:040BE00000002000F1 -:040BE40000002000ED -:040BE80000002000E9 -:040BEC0000002000E5 -:040BF00000002000E1 -:040BF40000002000DD -:040BF80000002000D9 -:040BFC0000002000D5 -:040C000000002000D0 -:040C040000002000CC -:040C080000002000C8 -:040C0C0000002000C4 -:040C100000002000C0 -:040C140000002000BC -:040C180000002000B8 -:040C1C0000002000B4 -:040C200000002000B0 -:040C240000002000AC -:040C280000002000A8 -:040C2C0000002000A4 -:040C300000002000A0 -:040C3400000020009C -:040C38000000200098 -:040C3C000000200094 -:040C40000000200090 -:040C4400000020008C -:040C48000000200088 -:040C4C000000200084 -:040C50000000200080 -:040C5400000020007C -:040C58000000200078 -:040C5C000000200074 -:040C60000000200070 -:040C6400000020006C -:040C68000000200068 -:040C6C000000200064 -:040C70000000200060 -:040C7400000020005C -:040C78000000200058 -:040C7C000000200054 -:040C80000000200050 -:040C8400000020004C -:040C88000000200048 -:040C8C000000200044 -:040C90000000200040 -:040C9400000020003C -:040C98000000200038 -:040C9C000000200034 -:040CA0000000200030 -:040CA400000020002C -:040CA8000000200028 -:040CAC000000200024 -:040CB0000000200020 -:040CB400000020001C -:040CB8000000200018 -:040CBC000000200014 -:040CC0000000200010 -:040CC400000020000C -:040CC8000000200008 -:040CCC000000200004 -:040CD0000000200000 -:040CD40000002000FC -:040CD80000002000F8 -:040CDC0000002000F4 -:040CE00000002000F0 -:040CE40000002000EC -:040CE80000002000E8 -:040CEC0000002000E4 -:040CF00000002000E0 -:040CF40000002000DC -:040CF80000002000D8 -:040CFC0000002000D4 -:040D000000002000CF -:040D040000002000CB -:040D080000002000C7 -:040D0C0000002000C3 -:040D100000002000BF -:040D140000002000BB -:040D180000002000B7 -:040D1C0000002000B3 -:040D200000002000AF -:040D240000002000AB -:040D280000002000A7 -:040D2C0000002000A3 -:040D3000000020009F -:040D3400000020009B -:040D38000000200097 -:040D3C000000200093 -:040D4000000020008F -:040D4400000020008B -:040D48000000200087 -:040D4C000000200083 -:040D5000000020007F -:040D5400000020007B -:040D58000000200077 -:040D5C000000200073 -:040D6000000020006F -:040D6400000020006B -:040D68000000200067 -:040D6C000000200063 -:040D7000000020005F -:040D7400000020005B -:040D78000000200057 -:040D7C000000200053 -:040D8000000020004F -:040D8400000020004B -:040D88000000200047 -:040D8C000000200043 -:040D9000000020003F -:040D9400000020003B -:040D98000000200037 -:040D9C000000200033 -:040DA000000020002F -:040DA400000020002B -:040DA8000000200027 -:040DAC000000200023 -:040DB000000020001F -:040DB400000020001B -:040DB8000000200017 -:040DBC000000200013 -:040DC000000020000F -:040DC400000020000B -:040DC8000000200007 -:040DCC000000200003 -:040DD00000002000FF -:040DD40000002000FB -:040DD80000002000F7 -:040DDC0000002000F3 -:040DE00000002000EF -:040DE40000002000EB -:040DE80000002000E7 -:040DEC0000002000E3 -:040DF00000002000DF -:040DF40000002000DB -:040DF80000002000D7 -:040DFC0000002000D3 -:040E000000002000CE -:040E040000002000CA -:040E080000002000C6 -:040E0C0000002000C2 -:040E100000002000BE -:040E140000002000BA -:040E180000002000B6 -:040E1C0000002000B2 -:040E200000002000AE -:040E240000002000AA -:040E280000002000A6 -:040E2C0000002000A2 -:040E3000000020009E -:040E3400000020009A -:040E38000000200096 -:040E3C000000200092 -:040E4000000020008E -:040E4400000020008A -:040E48000000200086 -:040E4C000000200082 -:040E5000000020007E -:040E5400000020007A -:040E58000000200076 -:040E5C000000200072 -:040E6000000020006E -:040E6400000020006A -:040E68000000200066 -:040E6C000000200062 -:040E7000000020005E -:040E7400000020005A -:040E78000000200056 -:040E7C000000200052 -:040E8000000020004E -:040E8400000020004A -:040E88000000200046 -:040E8C000000200042 -:040E9000000020003E -:040E9400000020003A -:040E98000000200036 -:040E9C000000200032 -:040EA000000020002E -:040EA400000020002A -:040EA8000000200026 -:040EAC000000200022 -:040EB000000020001E -:040EB400000020001A -:040EB8000000200016 -:040EBC000000200012 -:040EC000000020000E -:040EC400000020000A -:040EC8000000200006 -:040ECC000000200002 -:040ED00000002000FE -:040ED40000002000FA -:040ED80000002000F6 -:040EDC0000002000F2 -:040EE00000002000EE -:040EE40000002000EA -:040EE80000002000E6 -:040EEC0000002000E2 -:040EF00000002000DE -:040EF40000002000DA -:040EF80000002000D6 -:040EFC0000002000D2 -:040F000000002000CD -:040F040000002000C9 -:040F080000002000C5 -:040F0C0000002000C1 -:040F100000002000BD -:040F140000002000B9 -:040F180000002000B5 -:040F1C0000002000B1 -:040F200000002000AD -:040F240000002000A9 -:040F280000002000A5 -:040F2C0000002000A1 -:040F3000000020009D -:040F34000000200099 -:040F38000000200095 -:040F3C000000200091 -:040F4000000020008D -:040F44000000200089 -:040F48000000200085 -:040F4C000000200081 -:040F5000000020007D -:040F54000000200079 -:040F58000000200075 -:040F5C000000200071 -:040F6000000020006D -:040F64000000200069 -:040F68000000200065 -:040F6C000000200061 -:040F7000000020005D -:040F74000000200059 -:040F78000000200055 -:040F7C000000200051 -:040F8000000020004D -:040F84000000200049 -:040F88000000200045 -:040F8C000000200041 -:040F9000000020003D -:040F94000000200039 -:040F98000000200035 -:040F9C000000200031 -:040FA000000020002D -:040FA4000000200029 -:040FA8000000200025 -:040FAC000000200021 -:040FB000000020001D -:040FB4000000200019 -:040FB8000000200015 -:040FBC000000200011 -:040FC000000020000D -:040FC4000000200009 -:040FC8000000200005 -:040FCC000000200001 -:040FD00000002000FD -:040FD40000002000F9 -:040FD80000002000F5 -:040FDC0000002000F1 -:040FE00000002000ED -:040FE40000002000E9 -:040FE80000002000E5 -:040FEC0000002000E1 -:040FF00000002000DD -:040FF40000002000D9 -:040FF80000002000D5 -:040FFC0000002000D1 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_11.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_11.hex deleted file mode 100644 index ae43370003a96b8ad282faaa244394fadbba07e0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_11.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400040000002000D8 -:0400080000002000D4 -:04000C0000002000D0 -:0400100000002000CC -:0400140000002000C8 -:0400180000002000C4 -:04001C0000002000C0 -:0400200000002000BC -:0400240000002000B8 -:0400280000002000B4 -:04002C0000002000B0 -:0400300000002000AC -:0400340000002000A8 -:0400380000002000A4 -:04003C0000002000A0 -:04004000000020009C -:040044000000200098 -:040048000000200094 -:04004C000000200090 -:04005000000020008C -:040054000000200088 -:040058000000200084 -:04005C000000200080 -:04006000000020007C -:040064000000200078 -:040068000000200074 -:04006C000000200070 -:04007000000020006C -:040074000000200068 -:040078000000200064 -:04007C000000200060 -:04008000000020005C -:040084000000200058 -:040088000000200054 -:04008C000000200050 -:04009000000020004C -:040094000000200048 -:040098000000200044 -:04009C000000200040 -:0400A000000020003C -:0400A4000000200038 -:0400A8000000200034 -:0400AC000000200030 -:0400B000000020002C -:0400B4000000200028 -:0400B8000000200024 -:0400BC000000200020 -:0400C000000020001C -:0400C4000000200018 -:0400C8000000200014 -:0400CC000000200010 -:0400D000000020000C -:0400D4000000200008 -:0400D8000000200004 -:0400DC000000200000 -:0400E00000002000FC -:0400E40000002000F8 -:0400E80000002000F4 -:0400EC0000002000F0 -:0400F00000002000EC -:0400F40000002000E8 -:0400F80000002000E4 -:0400FC0000002000E0 -:0401000000002000DB -:0401040000002000D7 -:0401080000002000D3 -:04010C0000002000CF -:0401100000002000CB -:0401140000002000C7 -:0401180000002000C3 -:04011C0000002000BF -:0401200000002000BB -:0401240000002000B7 -:0401280000002000B3 -:04012C0000002000AF -:0401300000002000AB -:0401340000002000A7 -:0401380000002000A3 -:04013C00000020009F -:04014000000020009B -:040144000000200097 -:040148000000200093 -:04014C00000020008F -:04015000000020008B -:040154000000200087 -:040158000000200083 -:04015C00000020007F -:04016000000020007B -:040164000000200077 -:040168000000200073 -:04016C00000020006F -:04017000000020006B -:040174000000200067 -:040178000000200063 -:04017C00000020005F -:04018000000020005B -:040184000000200057 -:040188000000200053 -:04018C00000020004F -:04019000000020004B -:040194000000200047 -:040198000000200043 -:04019C00000020003F -:0401A000000020003B -:0401A4000000200037 -:0401A8000000200033 -:0401AC00000020002F -:0401B000000020002B -:0401B4000000200027 -:0401B8000000200023 -:0401BC00000020001F -:0401C000000020001B -:0401C4000000200017 -:0401C8000000200013 -:0401CC00000020000F -:0401D000000020000B -:0401D4000000200007 -:0401D8000000200003 -:0401DC0000002000FF -:0401E00000002000FB -:0401E40000002000F7 -:0401E80000002000F3 -:0401EC0000002000EF -:0401F00000002000EB -:0401F40000002000E7 -:0401F80000002000E3 -:0401FC0000002000DF -:0402000000002000DA -:0402040000002000D6 -:0402080000002000D2 -:04020C0000002000CE -:0402100000002000CA -:0402140000002000C6 -:0402180000002000C2 -:04021C0000002000BE -:0402200000002000BA -:0402240000002000B6 -:0402280000002000B2 -:04022C0000002000AE -:0402300000002000AA -:0402340000002000A6 -:0402380000002000A2 -:04023C00000020009E -:04024000000020009A -:040244000000200096 -:040248000000200092 -:04024C00000020008E -:04025000000020008A -:040254000000200086 -:040258000000200082 -:04025C00000020007E -:04026000000020007A -:040264000000200076 -:040268000000200072 -:04026C00000020006E -:04027000000020006A -:040274000000200066 -:040278000000200062 -:04027C00000020005E -:04028000000020005A -:040284000000200056 -:040288000000200052 -:04028C00000020004E -:04029000000020004A -:040294000000200046 -:040298000000200042 -:04029C00000020003E -:0402A000000020003A -:0402A4000000200036 -:0402A8000000200032 -:0402AC00000020002E -:0402B000000020002A -:0402B4000000200026 -:0402B8000000200022 -:0402BC00000020001E -:0402C000000020001A -:0402C4000000200016 -:0402C8000000200012 -:0402CC00000020000E -:0402D000000020000A -:0402D4000000200006 -:0402D8000000200002 -:0402DC0000002000FE -:0402E00000002000FA -:0402E40000002000F6 -:0402E80000002000F2 -:0402EC0000002000EE -:0402F00000002000EA -:0402F40000002000E6 -:0402F80000002000E2 -:0402FC0000002000DE -:0403000000002000D9 -:0403040000002000D5 -:0403080000002000D1 -:04030C0000002000CD -:0403100000002000C9 -:0403140000002000C5 -:0403180000002000C1 -:04031C0000002000BD -:0403200000002000B9 -:0403240000002000B5 -:0403280000002000B1 -:04032C0000002000AD -:0403300000002000A9 -:0403340000002000A5 -:0403380000002000A1 -:04033C00000020009D -:040340000000200099 -:040344000000200095 -:040348000000200091 -:04034C00000020008D -:040350000000200089 -:040354000000200085 -:040358000000200081 -:04035C00000020007D -:040360000000200079 -:040364000000200075 -:040368000000200071 -:04036C00000020006D -:040370000000200069 -:040374000000200065 -:040378000000200061 -:04037C00000020005D -:040380000000200059 -:040384000000200055 -:040388000000200051 -:04038C00000020004D -:040390000000200049 -:040394000000200045 -:040398000000200041 -:04039C00000020003D -:0403A0000000200039 -:0403A4000000200035 -:0403A8000000200031 -:0403AC00000020002D -:0403B0000000200029 -:0403B4000000200025 -:0403B8000000200021 -:0403BC00000020001D -:0403C0000000200019 -:0403C4000000200015 -:0403C8000000200011 -:0403CC00000020000D -:0403D0000000200009 -:0403D4000000200005 -:0403D8000000200001 -:0403DC0000002000FD -:0403E00000002000F9 -:0403E40000002000F5 -:0403E80000002000F1 -:0403EC0000002000ED -:0403F00000002000E9 -:0403F40000002000E5 -:0403F80000002000E1 -:0403FC0000002000DD -:0404000000002000D8 -:0404040000002000D4 -:0404080000002000D0 -:04040C0000002000CC -:0404100000002000C8 -:0404140000002000C4 -:0404180000002000C0 -:04041C0000002000BC -:0404200000002000B8 -:0404240000002000B4 -:0404280000002000B0 -:04042C0000002000AC -:0404300000002000A8 -:0404340000002000A4 -:0404380000002000A0 -:04043C00000020009C -:040440000000200098 -:040444000000200094 -:040448000000200090 -:04044C00000020008C -:040450000000200088 -:040454000000200084 -:040458000000200080 -:04045C00000020007C -:040460000000200078 -:040464000000200074 -:040468000000200070 -:04046C00000020006C -:040470000000200068 -:040474000000200064 -:040478000000200060 -:04047C00000020005C -:040480000000200058 -:040484000000200054 -:040488000000200050 -:04048C00000020004C -:040490000000200048 -:040494000000200044 -:040498000000200040 -:04049C00000020003C -:0404A0000000200038 -:0404A4000000200034 -:0404A8000000200030 -:0404AC00000020002C -:0404B0000000200028 -:0404B4000000200024 -:0404B8000000200020 -:0404BC00000020001C -:0404C0000000200018 -:0404C4000000200014 -:0404C8000000200010 -:0404CC00000020000C -:0404D0000000200008 -:0404D4000000200004 -:0404D8000000200000 -:0404DC0000002000FC -:0404E00000002000F8 -:0404E40000002000F4 -:0404E80000002000F0 -:0404EC0000002000EC -:0404F00000002000E8 -:0404F40000002000E4 -:0404F80000002000E0 -:0404FC0000002000DC -:0405000000002000D7 -:0405040000002000D3 -:0405080000002000CF -:04050C0000002000CB -:0405100000002000C7 -:0405140000002000C3 -:0405180000002000BF -:04051C0000002000BB -:0405200000002000B7 -:0405240000002000B3 -:0405280000002000AF -:04052C0000002000AB -:0405300000002000A7 -:0405340000002000A3 -:04053800000020009F -:04053C00000020009B -:040540000000200097 -:040544000000200093 -:04054800000020008F -:04054C00000020008B -:040550000000200087 -:040554000000200083 -:04055800000020007F -:04055C00000020007B -:040560000000200077 -:040564000000200073 -:04056800000020006F -:04056C00000020006B -:040570000000200067 -:040574000000200063 -:04057800000020005F -:04057C00000020005B -:040580000000200057 -:040584000000200053 -:04058800000020004F -:04058C00000020004B -:040590000000200047 -:040594000000200043 -:04059800000020003F -:04059C00000020003B -:0405A0000000200037 -:0405A4000000200033 -:0405A800000020002F -:0405AC00000020002B -:0405B0000000200027 -:0405B4000000200023 -:0405B800000020001F -:0405BC00000020001B -:0405C0000000200017 -:0405C4000000200013 -:0405C800000020000F -:0405CC00000020000B -:0405D0000000200007 -:0405D4000000200003 -:0405D80000002000FF -:0405DC0000002000FB -:0405E00000002000F7 -:0405E40000002000F3 -:0405E80000002000EF -:0405EC0000002000EB -:0405F00000002000E7 -:0405F40000002000E3 -:0405F80000002000DF -:0405FC0000002000DB -:0406000000002000D6 -:0406040000002000D2 -:0406080000002000CE -:04060C0000002000CA -:0406100000002000C6 -:0406140000002000C2 -:0406180000002000BE -:04061C0000002000BA -:0406200000002000B6 -:0406240000002000B2 -:0406280000002000AE -:04062C0000002000AA -:0406300000002000A6 -:0406340000002000A2 -:04063800000020009E -:04063C00000020009A -:040640000000200096 -:040644000000200092 -:04064800000020008E -:04064C00000020008A -:040650000000200086 -:040654000000200082 -:04065800000020007E -:04065C00000020007A -:040660000000200076 -:040664000000200072 -:04066800000020006E -:04066C00000020006A -:040670000000200066 -:040674000000200062 -:04067800000020005E -:04067C00000020005A -:040680000000200056 -:040684000000200052 -:04068800000020004E -:04068C00000020004A -:040690000000200046 -:040694000000200042 -:04069800000020003E -:04069C00000020003A -:0406A0000000200036 -:0406A4000000200032 -:0406A800000020002E -:0406AC00000020002A -:0406B0000000200026 -:0406B4000000200022 -:0406B800000020001E -:0406BC00000020001A -:0406C0000000200016 -:0406C4000000200012 -:0406C800000020000E -:0406CC00000020000A -:0406D0000000200006 -:0406D4000000200002 -:0406D80000002000FE -:0406DC0000002000FA -:0406E00000002000F6 -:0406E40000002000F2 -:0406E80000002000EE -:0406EC0000002000EA -:0406F00000002000E6 -:0406F40000002000E2 -:0406F80000002000DE -:0406FC0000002000DA -:0407000000002000D5 -:0407040000002000D1 -:0407080000002000CD -:04070C0000002000C9 -:0407100000002000C5 -:0407140000002000C1 -:0407180000002000BD -:04071C0000002000B9 -:0407200000002000B5 -:0407240000002000B1 -:0407280000002000AD -:04072C0000002000A9 -:0407300000002000A5 -:0407340000002000A1 -:04073800000020009D -:04073C000000200099 -:040740000000200095 -:040744000000200091 -:04074800000020008D -:04074C000000200089 -:040750000000200085 -:040754000000200081 -:04075800000020007D -:04075C000000200079 -:040760000000200075 -:040764000000200071 -:04076800000020006D -:04076C000000200069 -:040770000000200065 -:040774000000200061 -:04077800000020005D -:04077C000000200059 -:040780000000200055 -:040784000000200051 -:04078800000020004D -:04078C000000200049 -:040790000000200045 -:040794000000200041 -:04079800000020003D -:04079C000000200039 -:0407A0000000200035 -:0407A4000000200031 -:0407A800000020002D -:0407AC000000200029 -:0407B0000000200025 -:0407B4000000200021 -:0407B800000020001D -:0407BC000000200019 -:0407C0000000200015 -:0407C4000000200011 -:0407C800000020000D -:0407CC000000200009 -:0407D0000000200005 -:0407D4000000200001 -:0407D80000002000FD -:0407DC0000002000F9 -:0407E00000002000F5 -:0407E40000002000F1 -:0407E80000002000ED -:0407EC0000002000E9 -:0407F00000002000E5 -:0407F40000002000E1 -:0407F80000002000DD -:0407FC0000002000D9 -:0408000000002000D4 -:0408040000002000D0 -:0408080000002000CC -:04080C0000002000C8 -:0408100000002000C4 -:0408140000002000C0 -:0408180000002000BC -:04081C0000002000B8 -:0408200000002000B4 -:0408240000002000B0 -:0408280000002000AC -:04082C0000002000A8 -:0408300000002000A4 -:0408340000002000A0 -:04083800000020009C -:04083C000000200098 -:040840000000200094 -:040844000000200090 -:04084800000020008C -:04084C000000200088 -:040850000000200084 -:040854000000200080 -:04085800000020007C -:04085C000000200078 -:040860000000200074 -:040864000000200070 -:04086800000020006C -:04086C000000200068 -:040870000000200064 -:040874000000200060 -:04087800000020005C -:04087C000000200058 -:040880000000200054 -:040884000000200050 -:04088800000020004C -:04088C000000200048 -:040890000000200044 -:040894000000200040 -:04089800000020003C -:04089C000000200038 -:0408A0000000200034 -:0408A4000000200030 -:0408A800000020002C -:0408AC000000200028 -:0408B0000000200024 -:0408B4000000200020 -:0408B800000020001C -:0408BC000000200018 -:0408C0000000200014 -:0408C4000000200010 -:0408C800000020000C -:0408CC000000200008 -:0408D0000000200004 -:0408D4000000200000 -:0408D80000002000FC -:0408DC0000002000F8 -:0408E00000002000F4 -:0408E40000002000F0 -:0408E80000002000EC -:0408EC0000002000E8 -:0408F00000002000E4 -:0408F40000002000E0 -:0408F80000002000DC -:0408FC0000002000D8 -:0409000000002000D3 -:0409040000002000CF -:0409080000002000CB -:04090C0000002000C7 -:0409100000002000C3 -:0409140000002000BF -:0409180000002000BB -:04091C0000002000B7 -:0409200000002000B3 -:0409240000002000AF -:0409280000002000AB -:04092C0000002000A7 -:0409300000002000A3 -:04093400000020009F -:04093800000020009B -:04093C000000200097 -:040940000000200093 -:04094400000020008F -:04094800000020008B -:04094C000000200087 -:040950000000200083 -:04095400000020007F -:04095800000020007B -:04095C000000200077 -:040960000000200073 -:04096400000020006F -:04096800000020006B -:04096C000000200067 -:040970000000200063 -:04097400000020005F -:04097800000020005B -:04097C000000200057 -:040980000000200053 -:04098400000020004F -:04098800000020004B -:04098C000000200047 -:040990000000200043 -:04099400000020003F -:04099800000020003B -:04099C000000200037 -:0409A0000000200033 -:0409A400000020002F -:0409A800000020002B -:0409AC000000200027 -:0409B0000000200023 -:0409B400000020001F -:0409B800000020001B -:0409BC000000200017 -:0409C0000000200013 -:0409C400000020000F -:0409C800000020000B -:0409CC000000200007 -:0409D0000000200003 -:0409D40000002000FF -:0409D80000002000FB -:0409DC0000002000F7 -:0409E00000002000F3 -:0409E40000002000EF -:0409E80000002000EB -:0409EC0000002000E7 -:0409F00000002000E3 -:0409F40000002000DF -:0409F80000002000DB -:0409FC0000002000D7 -:040A000000002000D2 -:040A040000002000CE -:040A080000002000CA -:040A0C0000002000C6 -:040A100000002000C2 -:040A140000002000BE -:040A180000002000BA -:040A1C0000002000B6 -:040A200000002000B2 -:040A240000002000AE -:040A280000002000AA -:040A2C0000002000A6 -:040A300000002000A2 -:040A3400000020009E -:040A3800000020009A -:040A3C000000200096 -:040A40000000200092 -:040A4400000020008E -:040A4800000020008A -:040A4C000000200086 -:040A50000000200082 -:040A5400000020007E -:040A5800000020007A -:040A5C000000200076 -:040A60000000200072 -:040A6400000020006E -:040A6800000020006A -:040A6C000000200066 -:040A70000000200062 -:040A7400000020005E -:040A7800000020005A -:040A7C000000200056 -:040A80000000200052 -:040A8400000020004E -:040A8800000020004A -:040A8C000000200046 -:040A90000000200042 -:040A9400000020003E -:040A9800000020003A -:040A9C000000200036 -:040AA0000000200032 -:040AA400000020002E -:040AA800000020002A -:040AAC000000200026 -:040AB0000000200022 -:040AB400000020001E -:040AB800000020001A -:040ABC000000200016 -:040AC0000000200012 -:040AC400000020000E -:040AC800000020000A -:040ACC000000200006 -:040AD0000000200002 -:040AD40000002000FE -:040AD80000002000FA -:040ADC0000002000F6 -:040AE00000002000F2 -:040AE40000002000EE -:040AE80000002000EA -:040AEC0000002000E6 -:040AF00000002000E2 -:040AF40000002000DE -:040AF80000002000DA -:040AFC0000002000D6 -:040B000000002000D1 -:040B040000002000CD -:040B080000002000C9 -:040B0C0000002000C5 -:040B100000002000C1 -:040B140000002000BD -:040B180000002000B9 -:040B1C0000002000B5 -:040B200000002000B1 -:040B240000002000AD -:040B280000002000A9 -:040B2C0000002000A5 -:040B300000002000A1 -:040B3400000020009D -:040B38000000200099 -:040B3C000000200095 -:040B40000000200091 -:040B4400000020008D -:040B48000000200089 -:040B4C000000200085 -:040B50000000200081 -:040B5400000020007D -:040B58000000200079 -:040B5C000000200075 -:040B60000000200071 -:040B6400000020006D -:040B68000000200069 -:040B6C000000200065 -:040B70000000200061 -:040B7400000020005D -:040B78000000200059 -:040B7C000000200055 -:040B80000000200051 -:040B8400000020004D -:040B88000000200049 -:040B8C000000200045 -:040B90000000200041 -:040B9400000020003D -:040B98000000200039 -:040B9C000000200035 -:040BA0000000200031 -:040BA400000020002D -:040BA8000000200029 -:040BAC000000200025 -:040BB0000000200021 -:040BB400000020001D -:040BB8000000200019 -:040BBC000000200015 -:040BC0000000200011 -:040BC400000020000D -:040BC8000000200009 -:040BCC000000200005 -:040BD0000000200001 -:040BD40000002000FD -:040BD80000002000F9 -:040BDC0000002000F5 -:040BE00000002000F1 -:040BE40000002000ED -:040BE80000002000E9 -:040BEC0000002000E5 -:040BF00000002000E1 -:040BF40000002000DD -:040BF80000002000D9 -:040BFC0000002000D5 -:040C000000002000D0 -:040C040000002000CC -:040C080000002000C8 -:040C0C0000002000C4 -:040C100000002000C0 -:040C140000002000BC -:040C180000002000B8 -:040C1C0000002000B4 -:040C200000002000B0 -:040C240000002000AC -:040C280000002000A8 -:040C2C0000002000A4 -:040C300000002000A0 -:040C3400000020009C -:040C38000000200098 -:040C3C000000200094 -:040C40000000200090 -:040C4400000020008C -:040C48000000200088 -:040C4C000000200084 -:040C50000000200080 -:040C5400000020007C -:040C58000000200078 -:040C5C000000200074 -:040C60000000200070 -:040C6400000020006C -:040C68000000200068 -:040C6C000000200064 -:040C70000000200060 -:040C7400000020005C -:040C78000000200058 -:040C7C000000200054 -:040C80000000200050 -:040C8400000020004C -:040C88000000200048 -:040C8C000000200044 -:040C90000000200040 -:040C9400000020003C -:040C98000000200038 -:040C9C000000200034 -:040CA0000000200030 -:040CA400000020002C -:040CA8000000200028 -:040CAC000000200024 -:040CB0000000200020 -:040CB400000020001C -:040CB8000000200018 -:040CBC000000200014 -:040CC0000000200010 -:040CC400000020000C -:040CC8000000200008 -:040CCC000000200004 -:040CD0000000200000 -:040CD40000002000FC -:040CD80000002000F8 -:040CDC0000002000F4 -:040CE00000002000F0 -:040CE40000002000EC -:040CE80000002000E8 -:040CEC0000002000E4 -:040CF00000002000E0 -:040CF40000002000DC -:040CF80000002000D8 -:040CFC0000002000D4 -:040D000000002000CF -:040D040000002000CB -:040D080000002000C7 -:040D0C0000002000C3 -:040D100000002000BF -:040D140000002000BB -:040D180000002000B7 -:040D1C0000002000B3 -:040D200000002000AF -:040D240000002000AB -:040D280000002000A7 -:040D2C0000002000A3 -:040D3000000020009F -:040D3400000020009B -:040D38000000200097 -:040D3C000000200093 -:040D4000000020008F -:040D4400000020008B -:040D48000000200087 -:040D4C000000200083 -:040D5000000020007F -:040D5400000020007B -:040D58000000200077 -:040D5C000000200073 -:040D6000000020006F -:040D6400000020006B -:040D68000000200067 -:040D6C000000200063 -:040D7000000020005F -:040D7400000020005B -:040D78000000200057 -:040D7C000000200053 -:040D8000000020004F -:040D8400000020004B -:040D88000000200047 -:040D8C000000200043 -:040D9000000020003F -:040D9400000020003B -:040D98000000200037 -:040D9C000000200033 -:040DA000000020002F -:040DA400000020002B -:040DA8000000200027 -:040DAC000000200023 -:040DB000000020001F -:040DB400000020001B -:040DB8000000200017 -:040DBC000000200013 -:040DC000000020000F -:040DC400000020000B -:040DC8000000200007 -:040DCC000000200003 -:040DD00000002000FF -:040DD40000002000FB -:040DD80000002000F7 -:040DDC0000002000F3 -:040DE00000002000EF -:040DE40000002000EB -:040DE80000002000E7 -:040DEC0000002000E3 -:040DF00000002000DF -:040DF40000002000DB -:040DF80000002000D7 -:040DFC0000002000D3 -:040E000000002000CE -:040E040000002000CA -:040E080000002000C6 -:040E0C0000002000C2 -:040E100000002000BE -:040E140000002000BA -:040E180000002000B6 -:040E1C0000002000B2 -:040E200000002000AE -:040E240000002000AA -:040E280000002000A6 -:040E2C0000002000A2 -:040E3000000020009E -:040E3400000020009A -:040E38000000200096 -:040E3C000000200092 -:040E4000000020008E -:040E4400000020008A -:040E48000000200086 -:040E4C000000200082 -:040E5000000020007E -:040E5400000020007A -:040E58000000200076 -:040E5C000000200072 -:040E6000000020006E -:040E6400000020006A -:040E68000000200066 -:040E6C000000200062 -:040E7000000020005E -:040E7400000020005A -:040E78000000200056 -:040E7C000000200052 -:040E8000000020004E -:040E8400000020004A -:040E88000000200046 -:040E8C000000200042 -:040E9000000020003E -:040E9400000020003A -:040E98000000200036 -:040E9C000000200032 -:040EA000000020002E -:040EA400000020002A -:040EA8000000200026 -:040EAC000000200022 -:040EB000000020001E -:040EB400000020001A -:040EB8000000200016 -:040EBC000000200012 -:040EC000000020000E -:040EC400000020000A -:040EC8000000200006 -:040ECC000000200002 -:040ED00000002000FE -:040ED40000002000FA -:040ED80000002000F6 -:040EDC0000002000F2 -:040EE00000002000EE -:040EE40000002000EA -:040EE80000002000E6 -:040EEC0000002000E2 -:040EF00000002000DE -:040EF40000002000DA -:040EF80000002000D6 -:040EFC0000002000D2 -:040F000000002000CD -:040F040000002000C9 -:040F080000002000C5 -:040F0C0000002000C1 -:040F100000002000BD -:040F140000002000B9 -:040F180000002000B5 -:040F1C0000002000B1 -:040F200000002000AD -:040F240000002000A9 -:040F280000002000A5 -:040F2C0000002000A1 -:040F3000000020009D -:040F34000000200099 -:040F38000000200095 -:040F3C000000200091 -:040F4000000020008D -:040F44000000200089 -:040F48000000200085 -:040F4C000000200081 -:040F5000000020007D -:040F54000000200079 -:040F58000000200075 -:040F5C000000200071 -:040F6000000020006D -:040F64000000200069 -:040F68000000200065 -:040F6C000000200061 -:040F7000000020005D -:040F74000000200059 -:040F78000000200055 -:040F7C000000200051 -:040F8000000020004D -:040F84000000200049 -:040F88000000200045 -:040F8C000000200041 -:040F9000000020003D -:040F94000000200039 -:040F98000000200035 -:040F9C000000200031 -:040FA000000020002D -:040FA4000000200029 -:040FA8000000200025 -:040FAC000000200021 -:040FB000000020001D -:040FB4000000200019 -:040FB8000000200015 -:040FBC000000200011 -:040FC000000020000D -:040FC4000000200009 -:040FC8000000200005 -:040FCC000000200001 -:040FD00000002000FD -:040FD40000002000F9 -:040FD80000002000F5 -:040FDC0000002000F1 -:040FE00000002000ED -:040FE40000002000E9 -:040FE80000002000E5 -:040FEC0000002000E1 -:040FF00000002000DD -:040FF40000002000D9 -:040FF80000002000D5 -:040FFC0000002000D1 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_2.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_2.hex deleted file mode 100644 index ae43370003a96b8ad282faaa244394fadbba07e0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_2.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400040000002000D8 -:0400080000002000D4 -:04000C0000002000D0 -:0400100000002000CC -:0400140000002000C8 -:0400180000002000C4 -:04001C0000002000C0 -:0400200000002000BC -:0400240000002000B8 -:0400280000002000B4 -:04002C0000002000B0 -:0400300000002000AC -:0400340000002000A8 -:0400380000002000A4 -:04003C0000002000A0 -:04004000000020009C -:040044000000200098 -:040048000000200094 -:04004C000000200090 -:04005000000020008C -:040054000000200088 -:040058000000200084 -:04005C000000200080 -:04006000000020007C -:040064000000200078 -:040068000000200074 -:04006C000000200070 -:04007000000020006C -:040074000000200068 -:040078000000200064 -:04007C000000200060 -:04008000000020005C -:040084000000200058 -:040088000000200054 -:04008C000000200050 -:04009000000020004C -:040094000000200048 -:040098000000200044 -:04009C000000200040 -:0400A000000020003C -:0400A4000000200038 -:0400A8000000200034 -:0400AC000000200030 -:0400B000000020002C -:0400B4000000200028 -:0400B8000000200024 -:0400BC000000200020 -:0400C000000020001C -:0400C4000000200018 -:0400C8000000200014 -:0400CC000000200010 -:0400D000000020000C -:0400D4000000200008 -:0400D8000000200004 -:0400DC000000200000 -:0400E00000002000FC -:0400E40000002000F8 -:0400E80000002000F4 -:0400EC0000002000F0 -:0400F00000002000EC -:0400F40000002000E8 -:0400F80000002000E4 -:0400FC0000002000E0 -:0401000000002000DB -:0401040000002000D7 -:0401080000002000D3 -:04010C0000002000CF -:0401100000002000CB -:0401140000002000C7 -:0401180000002000C3 -:04011C0000002000BF -:0401200000002000BB -:0401240000002000B7 -:0401280000002000B3 -:04012C0000002000AF -:0401300000002000AB -:0401340000002000A7 -:0401380000002000A3 -:04013C00000020009F -:04014000000020009B -:040144000000200097 -:040148000000200093 -:04014C00000020008F -:04015000000020008B -:040154000000200087 -:040158000000200083 -:04015C00000020007F -:04016000000020007B -:040164000000200077 -:040168000000200073 -:04016C00000020006F -:04017000000020006B -:040174000000200067 -:040178000000200063 -:04017C00000020005F -:04018000000020005B -:040184000000200057 -:040188000000200053 -:04018C00000020004F -:04019000000020004B -:040194000000200047 -:040198000000200043 -:04019C00000020003F -:0401A000000020003B -:0401A4000000200037 -:0401A8000000200033 -:0401AC00000020002F -:0401B000000020002B -:0401B4000000200027 -:0401B8000000200023 -:0401BC00000020001F -:0401C000000020001B -:0401C4000000200017 -:0401C8000000200013 -:0401CC00000020000F -:0401D000000020000B -:0401D4000000200007 -:0401D8000000200003 -:0401DC0000002000FF -:0401E00000002000FB -:0401E40000002000F7 -:0401E80000002000F3 -:0401EC0000002000EF -:0401F00000002000EB -:0401F40000002000E7 -:0401F80000002000E3 -:0401FC0000002000DF -:0402000000002000DA -:0402040000002000D6 -:0402080000002000D2 -:04020C0000002000CE -:0402100000002000CA -:0402140000002000C6 -:0402180000002000C2 -:04021C0000002000BE -:0402200000002000BA -:0402240000002000B6 -:0402280000002000B2 -:04022C0000002000AE -:0402300000002000AA -:0402340000002000A6 -:0402380000002000A2 -:04023C00000020009E -:04024000000020009A -:040244000000200096 -:040248000000200092 -:04024C00000020008E -:04025000000020008A -:040254000000200086 -:040258000000200082 -:04025C00000020007E -:04026000000020007A -:040264000000200076 -:040268000000200072 -:04026C00000020006E -:04027000000020006A -:040274000000200066 -:040278000000200062 -:04027C00000020005E -:04028000000020005A -:040284000000200056 -:040288000000200052 -:04028C00000020004E -:04029000000020004A -:040294000000200046 -:040298000000200042 -:04029C00000020003E -:0402A000000020003A -:0402A4000000200036 -:0402A8000000200032 -:0402AC00000020002E -:0402B000000020002A -:0402B4000000200026 -:0402B8000000200022 -:0402BC00000020001E -:0402C000000020001A -:0402C4000000200016 -:0402C8000000200012 -:0402CC00000020000E -:0402D000000020000A -:0402D4000000200006 -:0402D8000000200002 -:0402DC0000002000FE -:0402E00000002000FA -:0402E40000002000F6 -:0402E80000002000F2 -:0402EC0000002000EE -:0402F00000002000EA -:0402F40000002000E6 -:0402F80000002000E2 -:0402FC0000002000DE -:0403000000002000D9 -:0403040000002000D5 -:0403080000002000D1 -:04030C0000002000CD -:0403100000002000C9 -:0403140000002000C5 -:0403180000002000C1 -:04031C0000002000BD -:0403200000002000B9 -:0403240000002000B5 -:0403280000002000B1 -:04032C0000002000AD -:0403300000002000A9 -:0403340000002000A5 -:0403380000002000A1 -:04033C00000020009D -:040340000000200099 -:040344000000200095 -:040348000000200091 -:04034C00000020008D -:040350000000200089 -:040354000000200085 -:040358000000200081 -:04035C00000020007D -:040360000000200079 -:040364000000200075 -:040368000000200071 -:04036C00000020006D -:040370000000200069 -:040374000000200065 -:040378000000200061 -:04037C00000020005D -:040380000000200059 -:040384000000200055 -:040388000000200051 -:04038C00000020004D -:040390000000200049 -:040394000000200045 -:040398000000200041 -:04039C00000020003D -:0403A0000000200039 -:0403A4000000200035 -:0403A8000000200031 -:0403AC00000020002D -:0403B0000000200029 -:0403B4000000200025 -:0403B8000000200021 -:0403BC00000020001D -:0403C0000000200019 -:0403C4000000200015 -:0403C8000000200011 -:0403CC00000020000D -:0403D0000000200009 -:0403D4000000200005 -:0403D8000000200001 -:0403DC0000002000FD -:0403E00000002000F9 -:0403E40000002000F5 -:0403E80000002000F1 -:0403EC0000002000ED -:0403F00000002000E9 -:0403F40000002000E5 -:0403F80000002000E1 -:0403FC0000002000DD -:0404000000002000D8 -:0404040000002000D4 -:0404080000002000D0 -:04040C0000002000CC -:0404100000002000C8 -:0404140000002000C4 -:0404180000002000C0 -:04041C0000002000BC -:0404200000002000B8 -:0404240000002000B4 -:0404280000002000B0 -:04042C0000002000AC -:0404300000002000A8 -:0404340000002000A4 -:0404380000002000A0 -:04043C00000020009C -:040440000000200098 -:040444000000200094 -:040448000000200090 -:04044C00000020008C -:040450000000200088 -:040454000000200084 -:040458000000200080 -:04045C00000020007C -:040460000000200078 -:040464000000200074 -:040468000000200070 -:04046C00000020006C -:040470000000200068 -:040474000000200064 -:040478000000200060 -:04047C00000020005C -:040480000000200058 -:040484000000200054 -:040488000000200050 -:04048C00000020004C -:040490000000200048 -:040494000000200044 -:040498000000200040 -:04049C00000020003C -:0404A0000000200038 -:0404A4000000200034 -:0404A8000000200030 -:0404AC00000020002C -:0404B0000000200028 -:0404B4000000200024 -:0404B8000000200020 -:0404BC00000020001C -:0404C0000000200018 -:0404C4000000200014 -:0404C8000000200010 -:0404CC00000020000C -:0404D0000000200008 -:0404D4000000200004 -:0404D8000000200000 -:0404DC0000002000FC -:0404E00000002000F8 -:0404E40000002000F4 -:0404E80000002000F0 -:0404EC0000002000EC -:0404F00000002000E8 -:0404F40000002000E4 -:0404F80000002000E0 -:0404FC0000002000DC -:0405000000002000D7 -:0405040000002000D3 -:0405080000002000CF -:04050C0000002000CB -:0405100000002000C7 -:0405140000002000C3 -:0405180000002000BF -:04051C0000002000BB -:0405200000002000B7 -:0405240000002000B3 -:0405280000002000AF -:04052C0000002000AB -:0405300000002000A7 -:0405340000002000A3 -:04053800000020009F -:04053C00000020009B -:040540000000200097 -:040544000000200093 -:04054800000020008F -:04054C00000020008B -:040550000000200087 -:040554000000200083 -:04055800000020007F -:04055C00000020007B -:040560000000200077 -:040564000000200073 -:04056800000020006F -:04056C00000020006B -:040570000000200067 -:040574000000200063 -:04057800000020005F -:04057C00000020005B -:040580000000200057 -:040584000000200053 -:04058800000020004F -:04058C00000020004B -:040590000000200047 -:040594000000200043 -:04059800000020003F -:04059C00000020003B -:0405A0000000200037 -:0405A4000000200033 -:0405A800000020002F -:0405AC00000020002B -:0405B0000000200027 -:0405B4000000200023 -:0405B800000020001F -:0405BC00000020001B -:0405C0000000200017 -:0405C4000000200013 -:0405C800000020000F -:0405CC00000020000B -:0405D0000000200007 -:0405D4000000200003 -:0405D80000002000FF -:0405DC0000002000FB -:0405E00000002000F7 -:0405E40000002000F3 -:0405E80000002000EF -:0405EC0000002000EB -:0405F00000002000E7 -:0405F40000002000E3 -:0405F80000002000DF -:0405FC0000002000DB -:0406000000002000D6 -:0406040000002000D2 -:0406080000002000CE -:04060C0000002000CA -:0406100000002000C6 -:0406140000002000C2 -:0406180000002000BE -:04061C0000002000BA -:0406200000002000B6 -:0406240000002000B2 -:0406280000002000AE -:04062C0000002000AA -:0406300000002000A6 -:0406340000002000A2 -:04063800000020009E -:04063C00000020009A -:040640000000200096 -:040644000000200092 -:04064800000020008E -:04064C00000020008A -:040650000000200086 -:040654000000200082 -:04065800000020007E -:04065C00000020007A -:040660000000200076 -:040664000000200072 -:04066800000020006E -:04066C00000020006A -:040670000000200066 -:040674000000200062 -:04067800000020005E -:04067C00000020005A -:040680000000200056 -:040684000000200052 -:04068800000020004E -:04068C00000020004A -:040690000000200046 -:040694000000200042 -:04069800000020003E -:04069C00000020003A -:0406A0000000200036 -:0406A4000000200032 -:0406A800000020002E -:0406AC00000020002A -:0406B0000000200026 -:0406B4000000200022 -:0406B800000020001E -:0406BC00000020001A -:0406C0000000200016 -:0406C4000000200012 -:0406C800000020000E -:0406CC00000020000A -:0406D0000000200006 -:0406D4000000200002 -:0406D80000002000FE -:0406DC0000002000FA -:0406E00000002000F6 -:0406E40000002000F2 -:0406E80000002000EE -:0406EC0000002000EA -:0406F00000002000E6 -:0406F40000002000E2 -:0406F80000002000DE -:0406FC0000002000DA -:0407000000002000D5 -:0407040000002000D1 -:0407080000002000CD -:04070C0000002000C9 -:0407100000002000C5 -:0407140000002000C1 -:0407180000002000BD -:04071C0000002000B9 -:0407200000002000B5 -:0407240000002000B1 -:0407280000002000AD -:04072C0000002000A9 -:0407300000002000A5 -:0407340000002000A1 -:04073800000020009D -:04073C000000200099 -:040740000000200095 -:040744000000200091 -:04074800000020008D -:04074C000000200089 -:040750000000200085 -:040754000000200081 -:04075800000020007D -:04075C000000200079 -:040760000000200075 -:040764000000200071 -:04076800000020006D -:04076C000000200069 -:040770000000200065 -:040774000000200061 -:04077800000020005D -:04077C000000200059 -:040780000000200055 -:040784000000200051 -:04078800000020004D -:04078C000000200049 -:040790000000200045 -:040794000000200041 -:04079800000020003D -:04079C000000200039 -:0407A0000000200035 -:0407A4000000200031 -:0407A800000020002D -:0407AC000000200029 -:0407B0000000200025 -:0407B4000000200021 -:0407B800000020001D -:0407BC000000200019 -:0407C0000000200015 -:0407C4000000200011 -:0407C800000020000D -:0407CC000000200009 -:0407D0000000200005 -:0407D4000000200001 -:0407D80000002000FD -:0407DC0000002000F9 -:0407E00000002000F5 -:0407E40000002000F1 -:0407E80000002000ED -:0407EC0000002000E9 -:0407F00000002000E5 -:0407F40000002000E1 -:0407F80000002000DD -:0407FC0000002000D9 -:0408000000002000D4 -:0408040000002000D0 -:0408080000002000CC -:04080C0000002000C8 -:0408100000002000C4 -:0408140000002000C0 -:0408180000002000BC -:04081C0000002000B8 -:0408200000002000B4 -:0408240000002000B0 -:0408280000002000AC -:04082C0000002000A8 -:0408300000002000A4 -:0408340000002000A0 -:04083800000020009C -:04083C000000200098 -:040840000000200094 -:040844000000200090 -:04084800000020008C -:04084C000000200088 -:040850000000200084 -:040854000000200080 -:04085800000020007C -:04085C000000200078 -:040860000000200074 -:040864000000200070 -:04086800000020006C -:04086C000000200068 -:040870000000200064 -:040874000000200060 -:04087800000020005C -:04087C000000200058 -:040880000000200054 -:040884000000200050 -:04088800000020004C -:04088C000000200048 -:040890000000200044 -:040894000000200040 -:04089800000020003C -:04089C000000200038 -:0408A0000000200034 -:0408A4000000200030 -:0408A800000020002C -:0408AC000000200028 -:0408B0000000200024 -:0408B4000000200020 -:0408B800000020001C -:0408BC000000200018 -:0408C0000000200014 -:0408C4000000200010 -:0408C800000020000C -:0408CC000000200008 -:0408D0000000200004 -:0408D4000000200000 -:0408D80000002000FC -:0408DC0000002000F8 -:0408E00000002000F4 -:0408E40000002000F0 -:0408E80000002000EC -:0408EC0000002000E8 -:0408F00000002000E4 -:0408F40000002000E0 -:0408F80000002000DC -:0408FC0000002000D8 -:0409000000002000D3 -:0409040000002000CF -:0409080000002000CB -:04090C0000002000C7 -:0409100000002000C3 -:0409140000002000BF -:0409180000002000BB -:04091C0000002000B7 -:0409200000002000B3 -:0409240000002000AF -:0409280000002000AB -:04092C0000002000A7 -:0409300000002000A3 -:04093400000020009F -:04093800000020009B -:04093C000000200097 -:040940000000200093 -:04094400000020008F -:04094800000020008B -:04094C000000200087 -:040950000000200083 -:04095400000020007F -:04095800000020007B -:04095C000000200077 -:040960000000200073 -:04096400000020006F -:04096800000020006B -:04096C000000200067 -:040970000000200063 -:04097400000020005F -:04097800000020005B -:04097C000000200057 -:040980000000200053 -:04098400000020004F -:04098800000020004B -:04098C000000200047 -:040990000000200043 -:04099400000020003F -:04099800000020003B -:04099C000000200037 -:0409A0000000200033 -:0409A400000020002F -:0409A800000020002B -:0409AC000000200027 -:0409B0000000200023 -:0409B400000020001F -:0409B800000020001B -:0409BC000000200017 -:0409C0000000200013 -:0409C400000020000F -:0409C800000020000B -:0409CC000000200007 -:0409D0000000200003 -:0409D40000002000FF -:0409D80000002000FB -:0409DC0000002000F7 -:0409E00000002000F3 -:0409E40000002000EF -:0409E80000002000EB -:0409EC0000002000E7 -:0409F00000002000E3 -:0409F40000002000DF -:0409F80000002000DB -:0409FC0000002000D7 -:040A000000002000D2 -:040A040000002000CE -:040A080000002000CA -:040A0C0000002000C6 -:040A100000002000C2 -:040A140000002000BE -:040A180000002000BA -:040A1C0000002000B6 -:040A200000002000B2 -:040A240000002000AE -:040A280000002000AA -:040A2C0000002000A6 -:040A300000002000A2 -:040A3400000020009E -:040A3800000020009A -:040A3C000000200096 -:040A40000000200092 -:040A4400000020008E -:040A4800000020008A -:040A4C000000200086 -:040A50000000200082 -:040A5400000020007E -:040A5800000020007A -:040A5C000000200076 -:040A60000000200072 -:040A6400000020006E -:040A6800000020006A -:040A6C000000200066 -:040A70000000200062 -:040A7400000020005E -:040A7800000020005A -:040A7C000000200056 -:040A80000000200052 -:040A8400000020004E -:040A8800000020004A -:040A8C000000200046 -:040A90000000200042 -:040A9400000020003E -:040A9800000020003A -:040A9C000000200036 -:040AA0000000200032 -:040AA400000020002E -:040AA800000020002A -:040AAC000000200026 -:040AB0000000200022 -:040AB400000020001E -:040AB800000020001A -:040ABC000000200016 -:040AC0000000200012 -:040AC400000020000E -:040AC800000020000A -:040ACC000000200006 -:040AD0000000200002 -:040AD40000002000FE -:040AD80000002000FA -:040ADC0000002000F6 -:040AE00000002000F2 -:040AE40000002000EE -:040AE80000002000EA -:040AEC0000002000E6 -:040AF00000002000E2 -:040AF40000002000DE -:040AF80000002000DA -:040AFC0000002000D6 -:040B000000002000D1 -:040B040000002000CD -:040B080000002000C9 -:040B0C0000002000C5 -:040B100000002000C1 -:040B140000002000BD -:040B180000002000B9 -:040B1C0000002000B5 -:040B200000002000B1 -:040B240000002000AD -:040B280000002000A9 -:040B2C0000002000A5 -:040B300000002000A1 -:040B3400000020009D -:040B38000000200099 -:040B3C000000200095 -:040B40000000200091 -:040B4400000020008D -:040B48000000200089 -:040B4C000000200085 -:040B50000000200081 -:040B5400000020007D -:040B58000000200079 -:040B5C000000200075 -:040B60000000200071 -:040B6400000020006D -:040B68000000200069 -:040B6C000000200065 -:040B70000000200061 -:040B7400000020005D -:040B78000000200059 -:040B7C000000200055 -:040B80000000200051 -:040B8400000020004D -:040B88000000200049 -:040B8C000000200045 -:040B90000000200041 -:040B9400000020003D -:040B98000000200039 -:040B9C000000200035 -:040BA0000000200031 -:040BA400000020002D -:040BA8000000200029 -:040BAC000000200025 -:040BB0000000200021 -:040BB400000020001D -:040BB8000000200019 -:040BBC000000200015 -:040BC0000000200011 -:040BC400000020000D -:040BC8000000200009 -:040BCC000000200005 -:040BD0000000200001 -:040BD40000002000FD -:040BD80000002000F9 -:040BDC0000002000F5 -:040BE00000002000F1 -:040BE40000002000ED -:040BE80000002000E9 -:040BEC0000002000E5 -:040BF00000002000E1 -:040BF40000002000DD -:040BF80000002000D9 -:040BFC0000002000D5 -:040C000000002000D0 -:040C040000002000CC -:040C080000002000C8 -:040C0C0000002000C4 -:040C100000002000C0 -:040C140000002000BC -:040C180000002000B8 -:040C1C0000002000B4 -:040C200000002000B0 -:040C240000002000AC -:040C280000002000A8 -:040C2C0000002000A4 -:040C300000002000A0 -:040C3400000020009C -:040C38000000200098 -:040C3C000000200094 -:040C40000000200090 -:040C4400000020008C -:040C48000000200088 -:040C4C000000200084 -:040C50000000200080 -:040C5400000020007C -:040C58000000200078 -:040C5C000000200074 -:040C60000000200070 -:040C6400000020006C -:040C68000000200068 -:040C6C000000200064 -:040C70000000200060 -:040C7400000020005C -:040C78000000200058 -:040C7C000000200054 -:040C80000000200050 -:040C8400000020004C -:040C88000000200048 -:040C8C000000200044 -:040C90000000200040 -:040C9400000020003C -:040C98000000200038 -:040C9C000000200034 -:040CA0000000200030 -:040CA400000020002C -:040CA8000000200028 -:040CAC000000200024 -:040CB0000000200020 -:040CB400000020001C -:040CB8000000200018 -:040CBC000000200014 -:040CC0000000200010 -:040CC400000020000C -:040CC8000000200008 -:040CCC000000200004 -:040CD0000000200000 -:040CD40000002000FC -:040CD80000002000F8 -:040CDC0000002000F4 -:040CE00000002000F0 -:040CE40000002000EC -:040CE80000002000E8 -:040CEC0000002000E4 -:040CF00000002000E0 -:040CF40000002000DC -:040CF80000002000D8 -:040CFC0000002000D4 -:040D000000002000CF -:040D040000002000CB -:040D080000002000C7 -:040D0C0000002000C3 -:040D100000002000BF -:040D140000002000BB -:040D180000002000B7 -:040D1C0000002000B3 -:040D200000002000AF -:040D240000002000AB -:040D280000002000A7 -:040D2C0000002000A3 -:040D3000000020009F -:040D3400000020009B -:040D38000000200097 -:040D3C000000200093 -:040D4000000020008F -:040D4400000020008B -:040D48000000200087 -:040D4C000000200083 -:040D5000000020007F -:040D5400000020007B -:040D58000000200077 -:040D5C000000200073 -:040D6000000020006F -:040D6400000020006B -:040D68000000200067 -:040D6C000000200063 -:040D7000000020005F -:040D7400000020005B -:040D78000000200057 -:040D7C000000200053 -:040D8000000020004F -:040D8400000020004B -:040D88000000200047 -:040D8C000000200043 -:040D9000000020003F -:040D9400000020003B -:040D98000000200037 -:040D9C000000200033 -:040DA000000020002F -:040DA400000020002B -:040DA8000000200027 -:040DAC000000200023 -:040DB000000020001F -:040DB400000020001B -:040DB8000000200017 -:040DBC000000200013 -:040DC000000020000F -:040DC400000020000B -:040DC8000000200007 -:040DCC000000200003 -:040DD00000002000FF -:040DD40000002000FB -:040DD80000002000F7 -:040DDC0000002000F3 -:040DE00000002000EF -:040DE40000002000EB -:040DE80000002000E7 -:040DEC0000002000E3 -:040DF00000002000DF -:040DF40000002000DB -:040DF80000002000D7 -:040DFC0000002000D3 -:040E000000002000CE -:040E040000002000CA -:040E080000002000C6 -:040E0C0000002000C2 -:040E100000002000BE -:040E140000002000BA -:040E180000002000B6 -:040E1C0000002000B2 -:040E200000002000AE -:040E240000002000AA -:040E280000002000A6 -:040E2C0000002000A2 -:040E3000000020009E -:040E3400000020009A -:040E38000000200096 -:040E3C000000200092 -:040E4000000020008E -:040E4400000020008A -:040E48000000200086 -:040E4C000000200082 -:040E5000000020007E -:040E5400000020007A -:040E58000000200076 -:040E5C000000200072 -:040E6000000020006E -:040E6400000020006A -:040E68000000200066 -:040E6C000000200062 -:040E7000000020005E -:040E7400000020005A -:040E78000000200056 -:040E7C000000200052 -:040E8000000020004E -:040E8400000020004A -:040E88000000200046 -:040E8C000000200042 -:040E9000000020003E -:040E9400000020003A -:040E98000000200036 -:040E9C000000200032 -:040EA000000020002E -:040EA400000020002A -:040EA8000000200026 -:040EAC000000200022 -:040EB000000020001E -:040EB400000020001A -:040EB8000000200016 -:040EBC000000200012 -:040EC000000020000E -:040EC400000020000A -:040EC8000000200006 -:040ECC000000200002 -:040ED00000002000FE -:040ED40000002000FA -:040ED80000002000F6 -:040EDC0000002000F2 -:040EE00000002000EE -:040EE40000002000EA -:040EE80000002000E6 -:040EEC0000002000E2 -:040EF00000002000DE -:040EF40000002000DA -:040EF80000002000D6 -:040EFC0000002000D2 -:040F000000002000CD -:040F040000002000C9 -:040F080000002000C5 -:040F0C0000002000C1 -:040F100000002000BD -:040F140000002000B9 -:040F180000002000B5 -:040F1C0000002000B1 -:040F200000002000AD -:040F240000002000A9 -:040F280000002000A5 -:040F2C0000002000A1 -:040F3000000020009D -:040F34000000200099 -:040F38000000200095 -:040F3C000000200091 -:040F4000000020008D -:040F44000000200089 -:040F48000000200085 -:040F4C000000200081 -:040F5000000020007D -:040F54000000200079 -:040F58000000200075 -:040F5C000000200071 -:040F6000000020006D -:040F64000000200069 -:040F68000000200065 -:040F6C000000200061 -:040F7000000020005D -:040F74000000200059 -:040F78000000200055 -:040F7C000000200051 -:040F8000000020004D -:040F84000000200049 -:040F88000000200045 -:040F8C000000200041 -:040F9000000020003D -:040F94000000200039 -:040F98000000200035 -:040F9C000000200031 -:040FA000000020002D -:040FA4000000200029 -:040FA8000000200025 -:040FAC000000200021 -:040FB000000020001D -:040FB4000000200019 -:040FB8000000200015 -:040FBC000000200011 -:040FC000000020000D -:040FC4000000200009 -:040FC8000000200005 -:040FCC000000200001 -:040FD00000002000FD -:040FD40000002000F9 -:040FD80000002000F5 -:040FDC0000002000F1 -:040FE00000002000ED -:040FE40000002000E9 -:040FE80000002000E5 -:040FEC0000002000E1 -:040FF00000002000DD -:040FF40000002000D9 -:040FF80000002000D5 -:040FFC0000002000D1 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_3.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_3.hex deleted file mode 100644 index ae43370003a96b8ad282faaa244394fadbba07e0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_3.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400040000002000D8 -:0400080000002000D4 -:04000C0000002000D0 -:0400100000002000CC -:0400140000002000C8 -:0400180000002000C4 -:04001C0000002000C0 -:0400200000002000BC -:0400240000002000B8 -:0400280000002000B4 -:04002C0000002000B0 -:0400300000002000AC -:0400340000002000A8 -:0400380000002000A4 -:04003C0000002000A0 -:04004000000020009C -:040044000000200098 -:040048000000200094 -:04004C000000200090 -:04005000000020008C -:040054000000200088 -:040058000000200084 -:04005C000000200080 -:04006000000020007C -:040064000000200078 -:040068000000200074 -:04006C000000200070 -:04007000000020006C -:040074000000200068 -:040078000000200064 -:04007C000000200060 -:04008000000020005C -:040084000000200058 -:040088000000200054 -:04008C000000200050 -:04009000000020004C -:040094000000200048 -:040098000000200044 -:04009C000000200040 -:0400A000000020003C -:0400A4000000200038 -:0400A8000000200034 -:0400AC000000200030 -:0400B000000020002C -:0400B4000000200028 -:0400B8000000200024 -:0400BC000000200020 -:0400C000000020001C -:0400C4000000200018 -:0400C8000000200014 -:0400CC000000200010 -:0400D000000020000C -:0400D4000000200008 -:0400D8000000200004 -:0400DC000000200000 -:0400E00000002000FC -:0400E40000002000F8 -:0400E80000002000F4 -:0400EC0000002000F0 -:0400F00000002000EC -:0400F40000002000E8 -:0400F80000002000E4 -:0400FC0000002000E0 -:0401000000002000DB -:0401040000002000D7 -:0401080000002000D3 -:04010C0000002000CF -:0401100000002000CB -:0401140000002000C7 -:0401180000002000C3 -:04011C0000002000BF -:0401200000002000BB -:0401240000002000B7 -:0401280000002000B3 -:04012C0000002000AF -:0401300000002000AB -:0401340000002000A7 -:0401380000002000A3 -:04013C00000020009F -:04014000000020009B -:040144000000200097 -:040148000000200093 -:04014C00000020008F -:04015000000020008B -:040154000000200087 -:040158000000200083 -:04015C00000020007F -:04016000000020007B -:040164000000200077 -:040168000000200073 -:04016C00000020006F -:04017000000020006B -:040174000000200067 -:040178000000200063 -:04017C00000020005F -:04018000000020005B -:040184000000200057 -:040188000000200053 -:04018C00000020004F -:04019000000020004B -:040194000000200047 -:040198000000200043 -:04019C00000020003F -:0401A000000020003B -:0401A4000000200037 -:0401A8000000200033 -:0401AC00000020002F -:0401B000000020002B -:0401B4000000200027 -:0401B8000000200023 -:0401BC00000020001F -:0401C000000020001B -:0401C4000000200017 -:0401C8000000200013 -:0401CC00000020000F -:0401D000000020000B -:0401D4000000200007 -:0401D8000000200003 -:0401DC0000002000FF -:0401E00000002000FB -:0401E40000002000F7 -:0401E80000002000F3 -:0401EC0000002000EF -:0401F00000002000EB -:0401F40000002000E7 -:0401F80000002000E3 -:0401FC0000002000DF -:0402000000002000DA -:0402040000002000D6 -:0402080000002000D2 -:04020C0000002000CE -:0402100000002000CA -:0402140000002000C6 -:0402180000002000C2 -:04021C0000002000BE -:0402200000002000BA -:0402240000002000B6 -:0402280000002000B2 -:04022C0000002000AE -:0402300000002000AA -:0402340000002000A6 -:0402380000002000A2 -:04023C00000020009E -:04024000000020009A -:040244000000200096 -:040248000000200092 -:04024C00000020008E -:04025000000020008A -:040254000000200086 -:040258000000200082 -:04025C00000020007E -:04026000000020007A -:040264000000200076 -:040268000000200072 -:04026C00000020006E -:04027000000020006A -:040274000000200066 -:040278000000200062 -:04027C00000020005E -:04028000000020005A -:040284000000200056 -:040288000000200052 -:04028C00000020004E -:04029000000020004A -:040294000000200046 -:040298000000200042 -:04029C00000020003E -:0402A000000020003A -:0402A4000000200036 -:0402A8000000200032 -:0402AC00000020002E -:0402B000000020002A -:0402B4000000200026 -:0402B8000000200022 -:0402BC00000020001E -:0402C000000020001A -:0402C4000000200016 -:0402C8000000200012 -:0402CC00000020000E -:0402D000000020000A -:0402D4000000200006 -:0402D8000000200002 -:0402DC0000002000FE -:0402E00000002000FA -:0402E40000002000F6 -:0402E80000002000F2 -:0402EC0000002000EE -:0402F00000002000EA -:0402F40000002000E6 -:0402F80000002000E2 -:0402FC0000002000DE -:0403000000002000D9 -:0403040000002000D5 -:0403080000002000D1 -:04030C0000002000CD -:0403100000002000C9 -:0403140000002000C5 -:0403180000002000C1 -:04031C0000002000BD -:0403200000002000B9 -:0403240000002000B5 -:0403280000002000B1 -:04032C0000002000AD -:0403300000002000A9 -:0403340000002000A5 -:0403380000002000A1 -:04033C00000020009D -:040340000000200099 -:040344000000200095 -:040348000000200091 -:04034C00000020008D -:040350000000200089 -:040354000000200085 -:040358000000200081 -:04035C00000020007D -:040360000000200079 -:040364000000200075 -:040368000000200071 -:04036C00000020006D -:040370000000200069 -:040374000000200065 -:040378000000200061 -:04037C00000020005D -:040380000000200059 -:040384000000200055 -:040388000000200051 -:04038C00000020004D -:040390000000200049 -:040394000000200045 -:040398000000200041 -:04039C00000020003D -:0403A0000000200039 -:0403A4000000200035 -:0403A8000000200031 -:0403AC00000020002D -:0403B0000000200029 -:0403B4000000200025 -:0403B8000000200021 -:0403BC00000020001D -:0403C0000000200019 -:0403C4000000200015 -:0403C8000000200011 -:0403CC00000020000D -:0403D0000000200009 -:0403D4000000200005 -:0403D8000000200001 -:0403DC0000002000FD -:0403E00000002000F9 -:0403E40000002000F5 -:0403E80000002000F1 -:0403EC0000002000ED -:0403F00000002000E9 -:0403F40000002000E5 -:0403F80000002000E1 -:0403FC0000002000DD -:0404000000002000D8 -:0404040000002000D4 -:0404080000002000D0 -:04040C0000002000CC -:0404100000002000C8 -:0404140000002000C4 -:0404180000002000C0 -:04041C0000002000BC -:0404200000002000B8 -:0404240000002000B4 -:0404280000002000B0 -:04042C0000002000AC -:0404300000002000A8 -:0404340000002000A4 -:0404380000002000A0 -:04043C00000020009C -:040440000000200098 -:040444000000200094 -:040448000000200090 -:04044C00000020008C -:040450000000200088 -:040454000000200084 -:040458000000200080 -:04045C00000020007C -:040460000000200078 -:040464000000200074 -:040468000000200070 -:04046C00000020006C -:040470000000200068 -:040474000000200064 -:040478000000200060 -:04047C00000020005C -:040480000000200058 -:040484000000200054 -:040488000000200050 -:04048C00000020004C -:040490000000200048 -:040494000000200044 -:040498000000200040 -:04049C00000020003C -:0404A0000000200038 -:0404A4000000200034 -:0404A8000000200030 -:0404AC00000020002C -:0404B0000000200028 -:0404B4000000200024 -:0404B8000000200020 -:0404BC00000020001C -:0404C0000000200018 -:0404C4000000200014 -:0404C8000000200010 -:0404CC00000020000C -:0404D0000000200008 -:0404D4000000200004 -:0404D8000000200000 -:0404DC0000002000FC -:0404E00000002000F8 -:0404E40000002000F4 -:0404E80000002000F0 -:0404EC0000002000EC -:0404F00000002000E8 -:0404F40000002000E4 -:0404F80000002000E0 -:0404FC0000002000DC -:0405000000002000D7 -:0405040000002000D3 -:0405080000002000CF -:04050C0000002000CB -:0405100000002000C7 -:0405140000002000C3 -:0405180000002000BF -:04051C0000002000BB -:0405200000002000B7 -:0405240000002000B3 -:0405280000002000AF -:04052C0000002000AB -:0405300000002000A7 -:0405340000002000A3 -:04053800000020009F -:04053C00000020009B -:040540000000200097 -:040544000000200093 -:04054800000020008F -:04054C00000020008B -:040550000000200087 -:040554000000200083 -:04055800000020007F -:04055C00000020007B -:040560000000200077 -:040564000000200073 -:04056800000020006F -:04056C00000020006B -:040570000000200067 -:040574000000200063 -:04057800000020005F -:04057C00000020005B -:040580000000200057 -:040584000000200053 -:04058800000020004F -:04058C00000020004B -:040590000000200047 -:040594000000200043 -:04059800000020003F -:04059C00000020003B -:0405A0000000200037 -:0405A4000000200033 -:0405A800000020002F -:0405AC00000020002B -:0405B0000000200027 -:0405B4000000200023 -:0405B800000020001F -:0405BC00000020001B -:0405C0000000200017 -:0405C4000000200013 -:0405C800000020000F -:0405CC00000020000B -:0405D0000000200007 -:0405D4000000200003 -:0405D80000002000FF -:0405DC0000002000FB -:0405E00000002000F7 -:0405E40000002000F3 -:0405E80000002000EF -:0405EC0000002000EB -:0405F00000002000E7 -:0405F40000002000E3 -:0405F80000002000DF -:0405FC0000002000DB -:0406000000002000D6 -:0406040000002000D2 -:0406080000002000CE -:04060C0000002000CA -:0406100000002000C6 -:0406140000002000C2 -:0406180000002000BE -:04061C0000002000BA -:0406200000002000B6 -:0406240000002000B2 -:0406280000002000AE -:04062C0000002000AA -:0406300000002000A6 -:0406340000002000A2 -:04063800000020009E -:04063C00000020009A -:040640000000200096 -:040644000000200092 -:04064800000020008E -:04064C00000020008A -:040650000000200086 -:040654000000200082 -:04065800000020007E -:04065C00000020007A -:040660000000200076 -:040664000000200072 -:04066800000020006E -:04066C00000020006A -:040670000000200066 -:040674000000200062 -:04067800000020005E -:04067C00000020005A -:040680000000200056 -:040684000000200052 -:04068800000020004E -:04068C00000020004A -:040690000000200046 -:040694000000200042 -:04069800000020003E -:04069C00000020003A -:0406A0000000200036 -:0406A4000000200032 -:0406A800000020002E -:0406AC00000020002A -:0406B0000000200026 -:0406B4000000200022 -:0406B800000020001E -:0406BC00000020001A -:0406C0000000200016 -:0406C4000000200012 -:0406C800000020000E -:0406CC00000020000A -:0406D0000000200006 -:0406D4000000200002 -:0406D80000002000FE -:0406DC0000002000FA -:0406E00000002000F6 -:0406E40000002000F2 -:0406E80000002000EE -:0406EC0000002000EA -:0406F00000002000E6 -:0406F40000002000E2 -:0406F80000002000DE -:0406FC0000002000DA -:0407000000002000D5 -:0407040000002000D1 -:0407080000002000CD -:04070C0000002000C9 -:0407100000002000C5 -:0407140000002000C1 -:0407180000002000BD -:04071C0000002000B9 -:0407200000002000B5 -:0407240000002000B1 -:0407280000002000AD -:04072C0000002000A9 -:0407300000002000A5 -:0407340000002000A1 -:04073800000020009D -:04073C000000200099 -:040740000000200095 -:040744000000200091 -:04074800000020008D -:04074C000000200089 -:040750000000200085 -:040754000000200081 -:04075800000020007D -:04075C000000200079 -:040760000000200075 -:040764000000200071 -:04076800000020006D -:04076C000000200069 -:040770000000200065 -:040774000000200061 -:04077800000020005D -:04077C000000200059 -:040780000000200055 -:040784000000200051 -:04078800000020004D -:04078C000000200049 -:040790000000200045 -:040794000000200041 -:04079800000020003D -:04079C000000200039 -:0407A0000000200035 -:0407A4000000200031 -:0407A800000020002D -:0407AC000000200029 -:0407B0000000200025 -:0407B4000000200021 -:0407B800000020001D -:0407BC000000200019 -:0407C0000000200015 -:0407C4000000200011 -:0407C800000020000D -:0407CC000000200009 -:0407D0000000200005 -:0407D4000000200001 -:0407D80000002000FD -:0407DC0000002000F9 -:0407E00000002000F5 -:0407E40000002000F1 -:0407E80000002000ED -:0407EC0000002000E9 -:0407F00000002000E5 -:0407F40000002000E1 -:0407F80000002000DD -:0407FC0000002000D9 -:0408000000002000D4 -:0408040000002000D0 -:0408080000002000CC -:04080C0000002000C8 -:0408100000002000C4 -:0408140000002000C0 -:0408180000002000BC -:04081C0000002000B8 -:0408200000002000B4 -:0408240000002000B0 -:0408280000002000AC -:04082C0000002000A8 -:0408300000002000A4 -:0408340000002000A0 -:04083800000020009C -:04083C000000200098 -:040840000000200094 -:040844000000200090 -:04084800000020008C -:04084C000000200088 -:040850000000200084 -:040854000000200080 -:04085800000020007C -:04085C000000200078 -:040860000000200074 -:040864000000200070 -:04086800000020006C -:04086C000000200068 -:040870000000200064 -:040874000000200060 -:04087800000020005C -:04087C000000200058 -:040880000000200054 -:040884000000200050 -:04088800000020004C -:04088C000000200048 -:040890000000200044 -:040894000000200040 -:04089800000020003C -:04089C000000200038 -:0408A0000000200034 -:0408A4000000200030 -:0408A800000020002C -:0408AC000000200028 -:0408B0000000200024 -:0408B4000000200020 -:0408B800000020001C -:0408BC000000200018 -:0408C0000000200014 -:0408C4000000200010 -:0408C800000020000C -:0408CC000000200008 -:0408D0000000200004 -:0408D4000000200000 -:0408D80000002000FC -:0408DC0000002000F8 -:0408E00000002000F4 -:0408E40000002000F0 -:0408E80000002000EC -:0408EC0000002000E8 -:0408F00000002000E4 -:0408F40000002000E0 -:0408F80000002000DC -:0408FC0000002000D8 -:0409000000002000D3 -:0409040000002000CF -:0409080000002000CB -:04090C0000002000C7 -:0409100000002000C3 -:0409140000002000BF -:0409180000002000BB -:04091C0000002000B7 -:0409200000002000B3 -:0409240000002000AF -:0409280000002000AB -:04092C0000002000A7 -:0409300000002000A3 -:04093400000020009F -:04093800000020009B -:04093C000000200097 -:040940000000200093 -:04094400000020008F -:04094800000020008B -:04094C000000200087 -:040950000000200083 -:04095400000020007F -:04095800000020007B -:04095C000000200077 -:040960000000200073 -:04096400000020006F -:04096800000020006B -:04096C000000200067 -:040970000000200063 -:04097400000020005F -:04097800000020005B -:04097C000000200057 -:040980000000200053 -:04098400000020004F -:04098800000020004B -:04098C000000200047 -:040990000000200043 -:04099400000020003F -:04099800000020003B -:04099C000000200037 -:0409A0000000200033 -:0409A400000020002F -:0409A800000020002B -:0409AC000000200027 -:0409B0000000200023 -:0409B400000020001F -:0409B800000020001B -:0409BC000000200017 -:0409C0000000200013 -:0409C400000020000F -:0409C800000020000B -:0409CC000000200007 -:0409D0000000200003 -:0409D40000002000FF -:0409D80000002000FB -:0409DC0000002000F7 -:0409E00000002000F3 -:0409E40000002000EF -:0409E80000002000EB -:0409EC0000002000E7 -:0409F00000002000E3 -:0409F40000002000DF -:0409F80000002000DB -:0409FC0000002000D7 -:040A000000002000D2 -:040A040000002000CE -:040A080000002000CA -:040A0C0000002000C6 -:040A100000002000C2 -:040A140000002000BE -:040A180000002000BA -:040A1C0000002000B6 -:040A200000002000B2 -:040A240000002000AE -:040A280000002000AA -:040A2C0000002000A6 -:040A300000002000A2 -:040A3400000020009E -:040A3800000020009A -:040A3C000000200096 -:040A40000000200092 -:040A4400000020008E -:040A4800000020008A -:040A4C000000200086 -:040A50000000200082 -:040A5400000020007E -:040A5800000020007A -:040A5C000000200076 -:040A60000000200072 -:040A6400000020006E -:040A6800000020006A -:040A6C000000200066 -:040A70000000200062 -:040A7400000020005E -:040A7800000020005A -:040A7C000000200056 -:040A80000000200052 -:040A8400000020004E -:040A8800000020004A -:040A8C000000200046 -:040A90000000200042 -:040A9400000020003E -:040A9800000020003A -:040A9C000000200036 -:040AA0000000200032 -:040AA400000020002E -:040AA800000020002A -:040AAC000000200026 -:040AB0000000200022 -:040AB400000020001E -:040AB800000020001A -:040ABC000000200016 -:040AC0000000200012 -:040AC400000020000E -:040AC800000020000A -:040ACC000000200006 -:040AD0000000200002 -:040AD40000002000FE -:040AD80000002000FA -:040ADC0000002000F6 -:040AE00000002000F2 -:040AE40000002000EE -:040AE80000002000EA -:040AEC0000002000E6 -:040AF00000002000E2 -:040AF40000002000DE -:040AF80000002000DA -:040AFC0000002000D6 -:040B000000002000D1 -:040B040000002000CD -:040B080000002000C9 -:040B0C0000002000C5 -:040B100000002000C1 -:040B140000002000BD -:040B180000002000B9 -:040B1C0000002000B5 -:040B200000002000B1 -:040B240000002000AD -:040B280000002000A9 -:040B2C0000002000A5 -:040B300000002000A1 -:040B3400000020009D -:040B38000000200099 -:040B3C000000200095 -:040B40000000200091 -:040B4400000020008D -:040B48000000200089 -:040B4C000000200085 -:040B50000000200081 -:040B5400000020007D -:040B58000000200079 -:040B5C000000200075 -:040B60000000200071 -:040B6400000020006D -:040B68000000200069 -:040B6C000000200065 -:040B70000000200061 -:040B7400000020005D -:040B78000000200059 -:040B7C000000200055 -:040B80000000200051 -:040B8400000020004D -:040B88000000200049 -:040B8C000000200045 -:040B90000000200041 -:040B9400000020003D -:040B98000000200039 -:040B9C000000200035 -:040BA0000000200031 -:040BA400000020002D -:040BA8000000200029 -:040BAC000000200025 -:040BB0000000200021 -:040BB400000020001D -:040BB8000000200019 -:040BBC000000200015 -:040BC0000000200011 -:040BC400000020000D -:040BC8000000200009 -:040BCC000000200005 -:040BD0000000200001 -:040BD40000002000FD -:040BD80000002000F9 -:040BDC0000002000F5 -:040BE00000002000F1 -:040BE40000002000ED -:040BE80000002000E9 -:040BEC0000002000E5 -:040BF00000002000E1 -:040BF40000002000DD -:040BF80000002000D9 -:040BFC0000002000D5 -:040C000000002000D0 -:040C040000002000CC -:040C080000002000C8 -:040C0C0000002000C4 -:040C100000002000C0 -:040C140000002000BC -:040C180000002000B8 -:040C1C0000002000B4 -:040C200000002000B0 -:040C240000002000AC -:040C280000002000A8 -:040C2C0000002000A4 -:040C300000002000A0 -:040C3400000020009C -:040C38000000200098 -:040C3C000000200094 -:040C40000000200090 -:040C4400000020008C -:040C48000000200088 -:040C4C000000200084 -:040C50000000200080 -:040C5400000020007C -:040C58000000200078 -:040C5C000000200074 -:040C60000000200070 -:040C6400000020006C -:040C68000000200068 -:040C6C000000200064 -:040C70000000200060 -:040C7400000020005C -:040C78000000200058 -:040C7C000000200054 -:040C80000000200050 -:040C8400000020004C -:040C88000000200048 -:040C8C000000200044 -:040C90000000200040 -:040C9400000020003C -:040C98000000200038 -:040C9C000000200034 -:040CA0000000200030 -:040CA400000020002C -:040CA8000000200028 -:040CAC000000200024 -:040CB0000000200020 -:040CB400000020001C -:040CB8000000200018 -:040CBC000000200014 -:040CC0000000200010 -:040CC400000020000C -:040CC8000000200008 -:040CCC000000200004 -:040CD0000000200000 -:040CD40000002000FC -:040CD80000002000F8 -:040CDC0000002000F4 -:040CE00000002000F0 -:040CE40000002000EC -:040CE80000002000E8 -:040CEC0000002000E4 -:040CF00000002000E0 -:040CF40000002000DC -:040CF80000002000D8 -:040CFC0000002000D4 -:040D000000002000CF -:040D040000002000CB -:040D080000002000C7 -:040D0C0000002000C3 -:040D100000002000BF -:040D140000002000BB -:040D180000002000B7 -:040D1C0000002000B3 -:040D200000002000AF -:040D240000002000AB -:040D280000002000A7 -:040D2C0000002000A3 -:040D3000000020009F -:040D3400000020009B -:040D38000000200097 -:040D3C000000200093 -:040D4000000020008F -:040D4400000020008B -:040D48000000200087 -:040D4C000000200083 -:040D5000000020007F -:040D5400000020007B -:040D58000000200077 -:040D5C000000200073 -:040D6000000020006F -:040D6400000020006B -:040D68000000200067 -:040D6C000000200063 -:040D7000000020005F -:040D7400000020005B -:040D78000000200057 -:040D7C000000200053 -:040D8000000020004F -:040D8400000020004B -:040D88000000200047 -:040D8C000000200043 -:040D9000000020003F -:040D9400000020003B -:040D98000000200037 -:040D9C000000200033 -:040DA000000020002F -:040DA400000020002B -:040DA8000000200027 -:040DAC000000200023 -:040DB000000020001F -:040DB400000020001B -:040DB8000000200017 -:040DBC000000200013 -:040DC000000020000F -:040DC400000020000B -:040DC8000000200007 -:040DCC000000200003 -:040DD00000002000FF -:040DD40000002000FB -:040DD80000002000F7 -:040DDC0000002000F3 -:040DE00000002000EF -:040DE40000002000EB -:040DE80000002000E7 -:040DEC0000002000E3 -:040DF00000002000DF -:040DF40000002000DB -:040DF80000002000D7 -:040DFC0000002000D3 -:040E000000002000CE -:040E040000002000CA -:040E080000002000C6 -:040E0C0000002000C2 -:040E100000002000BE -:040E140000002000BA -:040E180000002000B6 -:040E1C0000002000B2 -:040E200000002000AE -:040E240000002000AA -:040E280000002000A6 -:040E2C0000002000A2 -:040E3000000020009E -:040E3400000020009A -:040E38000000200096 -:040E3C000000200092 -:040E4000000020008E -:040E4400000020008A -:040E48000000200086 -:040E4C000000200082 -:040E5000000020007E -:040E5400000020007A -:040E58000000200076 -:040E5C000000200072 -:040E6000000020006E -:040E6400000020006A -:040E68000000200066 -:040E6C000000200062 -:040E7000000020005E -:040E7400000020005A -:040E78000000200056 -:040E7C000000200052 -:040E8000000020004E -:040E8400000020004A -:040E88000000200046 -:040E8C000000200042 -:040E9000000020003E -:040E9400000020003A -:040E98000000200036 -:040E9C000000200032 -:040EA000000020002E -:040EA400000020002A -:040EA8000000200026 -:040EAC000000200022 -:040EB000000020001E -:040EB400000020001A -:040EB8000000200016 -:040EBC000000200012 -:040EC000000020000E -:040EC400000020000A -:040EC8000000200006 -:040ECC000000200002 -:040ED00000002000FE -:040ED40000002000FA -:040ED80000002000F6 -:040EDC0000002000F2 -:040EE00000002000EE -:040EE40000002000EA -:040EE80000002000E6 -:040EEC0000002000E2 -:040EF00000002000DE -:040EF40000002000DA -:040EF80000002000D6 -:040EFC0000002000D2 -:040F000000002000CD -:040F040000002000C9 -:040F080000002000C5 -:040F0C0000002000C1 -:040F100000002000BD -:040F140000002000B9 -:040F180000002000B5 -:040F1C0000002000B1 -:040F200000002000AD -:040F240000002000A9 -:040F280000002000A5 -:040F2C0000002000A1 -:040F3000000020009D -:040F34000000200099 -:040F38000000200095 -:040F3C000000200091 -:040F4000000020008D -:040F44000000200089 -:040F48000000200085 -:040F4C000000200081 -:040F5000000020007D -:040F54000000200079 -:040F58000000200075 -:040F5C000000200071 -:040F6000000020006D -:040F64000000200069 -:040F68000000200065 -:040F6C000000200061 -:040F7000000020005D -:040F74000000200059 -:040F78000000200055 -:040F7C000000200051 -:040F8000000020004D -:040F84000000200049 -:040F88000000200045 -:040F8C000000200041 -:040F9000000020003D -:040F94000000200039 -:040F98000000200035 -:040F9C000000200031 -:040FA000000020002D -:040FA4000000200029 -:040FA8000000200025 -:040FAC000000200021 -:040FB000000020001D -:040FB4000000200019 -:040FB8000000200015 -:040FBC000000200011 -:040FC000000020000D -:040FC4000000200009 -:040FC8000000200005 -:040FCC000000200001 -:040FD00000002000FD -:040FD40000002000F9 -:040FD80000002000F5 -:040FDC0000002000F1 -:040FE00000002000ED -:040FE40000002000E9 -:040FE80000002000E5 -:040FEC0000002000E1 -:040FF00000002000DD -:040FF40000002000D9 -:040FF80000002000D5 -:040FFC0000002000D1 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_4.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_4.hex deleted file mode 100644 index ae43370003a96b8ad282faaa244394fadbba07e0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_4.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400040000002000D8 -:0400080000002000D4 -:04000C0000002000D0 -:0400100000002000CC -:0400140000002000C8 -:0400180000002000C4 -:04001C0000002000C0 -:0400200000002000BC -:0400240000002000B8 -:0400280000002000B4 -:04002C0000002000B0 -:0400300000002000AC -:0400340000002000A8 -:0400380000002000A4 -:04003C0000002000A0 -:04004000000020009C -:040044000000200098 -:040048000000200094 -:04004C000000200090 -:04005000000020008C -:040054000000200088 -:040058000000200084 -:04005C000000200080 -:04006000000020007C -:040064000000200078 -:040068000000200074 -:04006C000000200070 -:04007000000020006C -:040074000000200068 -:040078000000200064 -:04007C000000200060 -:04008000000020005C -:040084000000200058 -:040088000000200054 -:04008C000000200050 -:04009000000020004C -:040094000000200048 -:040098000000200044 -:04009C000000200040 -:0400A000000020003C -:0400A4000000200038 -:0400A8000000200034 -:0400AC000000200030 -:0400B000000020002C -:0400B4000000200028 -:0400B8000000200024 -:0400BC000000200020 -:0400C000000020001C -:0400C4000000200018 -:0400C8000000200014 -:0400CC000000200010 -:0400D000000020000C -:0400D4000000200008 -:0400D8000000200004 -:0400DC000000200000 -:0400E00000002000FC -:0400E40000002000F8 -:0400E80000002000F4 -:0400EC0000002000F0 -:0400F00000002000EC -:0400F40000002000E8 -:0400F80000002000E4 -:0400FC0000002000E0 -:0401000000002000DB -:0401040000002000D7 -:0401080000002000D3 -:04010C0000002000CF -:0401100000002000CB -:0401140000002000C7 -:0401180000002000C3 -:04011C0000002000BF -:0401200000002000BB -:0401240000002000B7 -:0401280000002000B3 -:04012C0000002000AF -:0401300000002000AB -:0401340000002000A7 -:0401380000002000A3 -:04013C00000020009F -:04014000000020009B -:040144000000200097 -:040148000000200093 -:04014C00000020008F -:04015000000020008B -:040154000000200087 -:040158000000200083 -:04015C00000020007F -:04016000000020007B -:040164000000200077 -:040168000000200073 -:04016C00000020006F -:04017000000020006B -:040174000000200067 -:040178000000200063 -:04017C00000020005F -:04018000000020005B -:040184000000200057 -:040188000000200053 -:04018C00000020004F -:04019000000020004B -:040194000000200047 -:040198000000200043 -:04019C00000020003F -:0401A000000020003B -:0401A4000000200037 -:0401A8000000200033 -:0401AC00000020002F -:0401B000000020002B -:0401B4000000200027 -:0401B8000000200023 -:0401BC00000020001F -:0401C000000020001B -:0401C4000000200017 -:0401C8000000200013 -:0401CC00000020000F -:0401D000000020000B -:0401D4000000200007 -:0401D8000000200003 -:0401DC0000002000FF -:0401E00000002000FB -:0401E40000002000F7 -:0401E80000002000F3 -:0401EC0000002000EF -:0401F00000002000EB -:0401F40000002000E7 -:0401F80000002000E3 -:0401FC0000002000DF -:0402000000002000DA -:0402040000002000D6 -:0402080000002000D2 -:04020C0000002000CE -:0402100000002000CA -:0402140000002000C6 -:0402180000002000C2 -:04021C0000002000BE -:0402200000002000BA -:0402240000002000B6 -:0402280000002000B2 -:04022C0000002000AE -:0402300000002000AA -:0402340000002000A6 -:0402380000002000A2 -:04023C00000020009E -:04024000000020009A -:040244000000200096 -:040248000000200092 -:04024C00000020008E -:04025000000020008A -:040254000000200086 -:040258000000200082 -:04025C00000020007E -:04026000000020007A -:040264000000200076 -:040268000000200072 -:04026C00000020006E -:04027000000020006A -:040274000000200066 -:040278000000200062 -:04027C00000020005E -:04028000000020005A -:040284000000200056 -:040288000000200052 -:04028C00000020004E -:04029000000020004A -:040294000000200046 -:040298000000200042 -:04029C00000020003E -:0402A000000020003A -:0402A4000000200036 -:0402A8000000200032 -:0402AC00000020002E -:0402B000000020002A -:0402B4000000200026 -:0402B8000000200022 -:0402BC00000020001E -:0402C000000020001A -:0402C4000000200016 -:0402C8000000200012 -:0402CC00000020000E -:0402D000000020000A -:0402D4000000200006 -:0402D8000000200002 -:0402DC0000002000FE -:0402E00000002000FA -:0402E40000002000F6 -:0402E80000002000F2 -:0402EC0000002000EE -:0402F00000002000EA -:0402F40000002000E6 -:0402F80000002000E2 -:0402FC0000002000DE -:0403000000002000D9 -:0403040000002000D5 -:0403080000002000D1 -:04030C0000002000CD -:0403100000002000C9 -:0403140000002000C5 -:0403180000002000C1 -:04031C0000002000BD -:0403200000002000B9 -:0403240000002000B5 -:0403280000002000B1 -:04032C0000002000AD -:0403300000002000A9 -:0403340000002000A5 -:0403380000002000A1 -:04033C00000020009D -:040340000000200099 -:040344000000200095 -:040348000000200091 -:04034C00000020008D -:040350000000200089 -:040354000000200085 -:040358000000200081 -:04035C00000020007D -:040360000000200079 -:040364000000200075 -:040368000000200071 -:04036C00000020006D -:040370000000200069 -:040374000000200065 -:040378000000200061 -:04037C00000020005D -:040380000000200059 -:040384000000200055 -:040388000000200051 -:04038C00000020004D -:040390000000200049 -:040394000000200045 -:040398000000200041 -:04039C00000020003D -:0403A0000000200039 -:0403A4000000200035 -:0403A8000000200031 -:0403AC00000020002D -:0403B0000000200029 -:0403B4000000200025 -:0403B8000000200021 -:0403BC00000020001D -:0403C0000000200019 -:0403C4000000200015 -:0403C8000000200011 -:0403CC00000020000D -:0403D0000000200009 -:0403D4000000200005 -:0403D8000000200001 -:0403DC0000002000FD -:0403E00000002000F9 -:0403E40000002000F5 -:0403E80000002000F1 -:0403EC0000002000ED -:0403F00000002000E9 -:0403F40000002000E5 -:0403F80000002000E1 -:0403FC0000002000DD -:0404000000002000D8 -:0404040000002000D4 -:0404080000002000D0 -:04040C0000002000CC -:0404100000002000C8 -:0404140000002000C4 -:0404180000002000C0 -:04041C0000002000BC -:0404200000002000B8 -:0404240000002000B4 -:0404280000002000B0 -:04042C0000002000AC -:0404300000002000A8 -:0404340000002000A4 -:0404380000002000A0 -:04043C00000020009C -:040440000000200098 -:040444000000200094 -:040448000000200090 -:04044C00000020008C -:040450000000200088 -:040454000000200084 -:040458000000200080 -:04045C00000020007C -:040460000000200078 -:040464000000200074 -:040468000000200070 -:04046C00000020006C -:040470000000200068 -:040474000000200064 -:040478000000200060 -:04047C00000020005C -:040480000000200058 -:040484000000200054 -:040488000000200050 -:04048C00000020004C -:040490000000200048 -:040494000000200044 -:040498000000200040 -:04049C00000020003C -:0404A0000000200038 -:0404A4000000200034 -:0404A8000000200030 -:0404AC00000020002C -:0404B0000000200028 -:0404B4000000200024 -:0404B8000000200020 -:0404BC00000020001C -:0404C0000000200018 -:0404C4000000200014 -:0404C8000000200010 -:0404CC00000020000C -:0404D0000000200008 -:0404D4000000200004 -:0404D8000000200000 -:0404DC0000002000FC -:0404E00000002000F8 -:0404E40000002000F4 -:0404E80000002000F0 -:0404EC0000002000EC -:0404F00000002000E8 -:0404F40000002000E4 -:0404F80000002000E0 -:0404FC0000002000DC -:0405000000002000D7 -:0405040000002000D3 -:0405080000002000CF -:04050C0000002000CB -:0405100000002000C7 -:0405140000002000C3 -:0405180000002000BF -:04051C0000002000BB -:0405200000002000B7 -:0405240000002000B3 -:0405280000002000AF -:04052C0000002000AB -:0405300000002000A7 -:0405340000002000A3 -:04053800000020009F -:04053C00000020009B -:040540000000200097 -:040544000000200093 -:04054800000020008F -:04054C00000020008B -:040550000000200087 -:040554000000200083 -:04055800000020007F -:04055C00000020007B -:040560000000200077 -:040564000000200073 -:04056800000020006F -:04056C00000020006B -:040570000000200067 -:040574000000200063 -:04057800000020005F -:04057C00000020005B -:040580000000200057 -:040584000000200053 -:04058800000020004F -:04058C00000020004B -:040590000000200047 -:040594000000200043 -:04059800000020003F -:04059C00000020003B -:0405A0000000200037 -:0405A4000000200033 -:0405A800000020002F -:0405AC00000020002B -:0405B0000000200027 -:0405B4000000200023 -:0405B800000020001F -:0405BC00000020001B -:0405C0000000200017 -:0405C4000000200013 -:0405C800000020000F -:0405CC00000020000B -:0405D0000000200007 -:0405D4000000200003 -:0405D80000002000FF -:0405DC0000002000FB -:0405E00000002000F7 -:0405E40000002000F3 -:0405E80000002000EF -:0405EC0000002000EB -:0405F00000002000E7 -:0405F40000002000E3 -:0405F80000002000DF -:0405FC0000002000DB -:0406000000002000D6 -:0406040000002000D2 -:0406080000002000CE -:04060C0000002000CA -:0406100000002000C6 -:0406140000002000C2 -:0406180000002000BE -:04061C0000002000BA -:0406200000002000B6 -:0406240000002000B2 -:0406280000002000AE -:04062C0000002000AA -:0406300000002000A6 -:0406340000002000A2 -:04063800000020009E -:04063C00000020009A -:040640000000200096 -:040644000000200092 -:04064800000020008E -:04064C00000020008A -:040650000000200086 -:040654000000200082 -:04065800000020007E -:04065C00000020007A -:040660000000200076 -:040664000000200072 -:04066800000020006E -:04066C00000020006A -:040670000000200066 -:040674000000200062 -:04067800000020005E -:04067C00000020005A -:040680000000200056 -:040684000000200052 -:04068800000020004E -:04068C00000020004A -:040690000000200046 -:040694000000200042 -:04069800000020003E -:04069C00000020003A -:0406A0000000200036 -:0406A4000000200032 -:0406A800000020002E -:0406AC00000020002A -:0406B0000000200026 -:0406B4000000200022 -:0406B800000020001E -:0406BC00000020001A -:0406C0000000200016 -:0406C4000000200012 -:0406C800000020000E -:0406CC00000020000A -:0406D0000000200006 -:0406D4000000200002 -:0406D80000002000FE -:0406DC0000002000FA -:0406E00000002000F6 -:0406E40000002000F2 -:0406E80000002000EE -:0406EC0000002000EA -:0406F00000002000E6 -:0406F40000002000E2 -:0406F80000002000DE -:0406FC0000002000DA -:0407000000002000D5 -:0407040000002000D1 -:0407080000002000CD -:04070C0000002000C9 -:0407100000002000C5 -:0407140000002000C1 -:0407180000002000BD -:04071C0000002000B9 -:0407200000002000B5 -:0407240000002000B1 -:0407280000002000AD -:04072C0000002000A9 -:0407300000002000A5 -:0407340000002000A1 -:04073800000020009D -:04073C000000200099 -:040740000000200095 -:040744000000200091 -:04074800000020008D -:04074C000000200089 -:040750000000200085 -:040754000000200081 -:04075800000020007D -:04075C000000200079 -:040760000000200075 -:040764000000200071 -:04076800000020006D -:04076C000000200069 -:040770000000200065 -:040774000000200061 -:04077800000020005D -:04077C000000200059 -:040780000000200055 -:040784000000200051 -:04078800000020004D -:04078C000000200049 -:040790000000200045 -:040794000000200041 -:04079800000020003D -:04079C000000200039 -:0407A0000000200035 -:0407A4000000200031 -:0407A800000020002D -:0407AC000000200029 -:0407B0000000200025 -:0407B4000000200021 -:0407B800000020001D -:0407BC000000200019 -:0407C0000000200015 -:0407C4000000200011 -:0407C800000020000D -:0407CC000000200009 -:0407D0000000200005 -:0407D4000000200001 -:0407D80000002000FD -:0407DC0000002000F9 -:0407E00000002000F5 -:0407E40000002000F1 -:0407E80000002000ED -:0407EC0000002000E9 -:0407F00000002000E5 -:0407F40000002000E1 -:0407F80000002000DD -:0407FC0000002000D9 -:0408000000002000D4 -:0408040000002000D0 -:0408080000002000CC -:04080C0000002000C8 -:0408100000002000C4 -:0408140000002000C0 -:0408180000002000BC -:04081C0000002000B8 -:0408200000002000B4 -:0408240000002000B0 -:0408280000002000AC -:04082C0000002000A8 -:0408300000002000A4 -:0408340000002000A0 -:04083800000020009C -:04083C000000200098 -:040840000000200094 -:040844000000200090 -:04084800000020008C -:04084C000000200088 -:040850000000200084 -:040854000000200080 -:04085800000020007C -:04085C000000200078 -:040860000000200074 -:040864000000200070 -:04086800000020006C -:04086C000000200068 -:040870000000200064 -:040874000000200060 -:04087800000020005C -:04087C000000200058 -:040880000000200054 -:040884000000200050 -:04088800000020004C -:04088C000000200048 -:040890000000200044 -:040894000000200040 -:04089800000020003C -:04089C000000200038 -:0408A0000000200034 -:0408A4000000200030 -:0408A800000020002C -:0408AC000000200028 -:0408B0000000200024 -:0408B4000000200020 -:0408B800000020001C -:0408BC000000200018 -:0408C0000000200014 -:0408C4000000200010 -:0408C800000020000C -:0408CC000000200008 -:0408D0000000200004 -:0408D4000000200000 -:0408D80000002000FC -:0408DC0000002000F8 -:0408E00000002000F4 -:0408E40000002000F0 -:0408E80000002000EC -:0408EC0000002000E8 -:0408F00000002000E4 -:0408F40000002000E0 -:0408F80000002000DC -:0408FC0000002000D8 -:0409000000002000D3 -:0409040000002000CF -:0409080000002000CB -:04090C0000002000C7 -:0409100000002000C3 -:0409140000002000BF -:0409180000002000BB -:04091C0000002000B7 -:0409200000002000B3 -:0409240000002000AF -:0409280000002000AB -:04092C0000002000A7 -:0409300000002000A3 -:04093400000020009F -:04093800000020009B -:04093C000000200097 -:040940000000200093 -:04094400000020008F -:04094800000020008B -:04094C000000200087 -:040950000000200083 -:04095400000020007F -:04095800000020007B -:04095C000000200077 -:040960000000200073 -:04096400000020006F -:04096800000020006B -:04096C000000200067 -:040970000000200063 -:04097400000020005F -:04097800000020005B -:04097C000000200057 -:040980000000200053 -:04098400000020004F -:04098800000020004B -:04098C000000200047 -:040990000000200043 -:04099400000020003F -:04099800000020003B -:04099C000000200037 -:0409A0000000200033 -:0409A400000020002F -:0409A800000020002B -:0409AC000000200027 -:0409B0000000200023 -:0409B400000020001F -:0409B800000020001B -:0409BC000000200017 -:0409C0000000200013 -:0409C400000020000F -:0409C800000020000B -:0409CC000000200007 -:0409D0000000200003 -:0409D40000002000FF -:0409D80000002000FB -:0409DC0000002000F7 -:0409E00000002000F3 -:0409E40000002000EF -:0409E80000002000EB -:0409EC0000002000E7 -:0409F00000002000E3 -:0409F40000002000DF -:0409F80000002000DB -:0409FC0000002000D7 -:040A000000002000D2 -:040A040000002000CE -:040A080000002000CA -:040A0C0000002000C6 -:040A100000002000C2 -:040A140000002000BE -:040A180000002000BA -:040A1C0000002000B6 -:040A200000002000B2 -:040A240000002000AE -:040A280000002000AA -:040A2C0000002000A6 -:040A300000002000A2 -:040A3400000020009E -:040A3800000020009A -:040A3C000000200096 -:040A40000000200092 -:040A4400000020008E -:040A4800000020008A -:040A4C000000200086 -:040A50000000200082 -:040A5400000020007E -:040A5800000020007A -:040A5C000000200076 -:040A60000000200072 -:040A6400000020006E -:040A6800000020006A -:040A6C000000200066 -:040A70000000200062 -:040A7400000020005E -:040A7800000020005A -:040A7C000000200056 -:040A80000000200052 -:040A8400000020004E -:040A8800000020004A -:040A8C000000200046 -:040A90000000200042 -:040A9400000020003E -:040A9800000020003A -:040A9C000000200036 -:040AA0000000200032 -:040AA400000020002E -:040AA800000020002A -:040AAC000000200026 -:040AB0000000200022 -:040AB400000020001E -:040AB800000020001A -:040ABC000000200016 -:040AC0000000200012 -:040AC400000020000E -:040AC800000020000A -:040ACC000000200006 -:040AD0000000200002 -:040AD40000002000FE -:040AD80000002000FA -:040ADC0000002000F6 -:040AE00000002000F2 -:040AE40000002000EE -:040AE80000002000EA -:040AEC0000002000E6 -:040AF00000002000E2 -:040AF40000002000DE -:040AF80000002000DA -:040AFC0000002000D6 -:040B000000002000D1 -:040B040000002000CD -:040B080000002000C9 -:040B0C0000002000C5 -:040B100000002000C1 -:040B140000002000BD -:040B180000002000B9 -:040B1C0000002000B5 -:040B200000002000B1 -:040B240000002000AD -:040B280000002000A9 -:040B2C0000002000A5 -:040B300000002000A1 -:040B3400000020009D -:040B38000000200099 -:040B3C000000200095 -:040B40000000200091 -:040B4400000020008D -:040B48000000200089 -:040B4C000000200085 -:040B50000000200081 -:040B5400000020007D -:040B58000000200079 -:040B5C000000200075 -:040B60000000200071 -:040B6400000020006D -:040B68000000200069 -:040B6C000000200065 -:040B70000000200061 -:040B7400000020005D -:040B78000000200059 -:040B7C000000200055 -:040B80000000200051 -:040B8400000020004D -:040B88000000200049 -:040B8C000000200045 -:040B90000000200041 -:040B9400000020003D -:040B98000000200039 -:040B9C000000200035 -:040BA0000000200031 -:040BA400000020002D -:040BA8000000200029 -:040BAC000000200025 -:040BB0000000200021 -:040BB400000020001D -:040BB8000000200019 -:040BBC000000200015 -:040BC0000000200011 -:040BC400000020000D -:040BC8000000200009 -:040BCC000000200005 -:040BD0000000200001 -:040BD40000002000FD -:040BD80000002000F9 -:040BDC0000002000F5 -:040BE00000002000F1 -:040BE40000002000ED -:040BE80000002000E9 -:040BEC0000002000E5 -:040BF00000002000E1 -:040BF40000002000DD -:040BF80000002000D9 -:040BFC0000002000D5 -:040C000000002000D0 -:040C040000002000CC -:040C080000002000C8 -:040C0C0000002000C4 -:040C100000002000C0 -:040C140000002000BC -:040C180000002000B8 -:040C1C0000002000B4 -:040C200000002000B0 -:040C240000002000AC -:040C280000002000A8 -:040C2C0000002000A4 -:040C300000002000A0 -:040C3400000020009C -:040C38000000200098 -:040C3C000000200094 -:040C40000000200090 -:040C4400000020008C -:040C48000000200088 -:040C4C000000200084 -:040C50000000200080 -:040C5400000020007C -:040C58000000200078 -:040C5C000000200074 -:040C60000000200070 -:040C6400000020006C -:040C68000000200068 -:040C6C000000200064 -:040C70000000200060 -:040C7400000020005C -:040C78000000200058 -:040C7C000000200054 -:040C80000000200050 -:040C8400000020004C -:040C88000000200048 -:040C8C000000200044 -:040C90000000200040 -:040C9400000020003C -:040C98000000200038 -:040C9C000000200034 -:040CA0000000200030 -:040CA400000020002C -:040CA8000000200028 -:040CAC000000200024 -:040CB0000000200020 -:040CB400000020001C -:040CB8000000200018 -:040CBC000000200014 -:040CC0000000200010 -:040CC400000020000C -:040CC8000000200008 -:040CCC000000200004 -:040CD0000000200000 -:040CD40000002000FC -:040CD80000002000F8 -:040CDC0000002000F4 -:040CE00000002000F0 -:040CE40000002000EC -:040CE80000002000E8 -:040CEC0000002000E4 -:040CF00000002000E0 -:040CF40000002000DC -:040CF80000002000D8 -:040CFC0000002000D4 -:040D000000002000CF -:040D040000002000CB -:040D080000002000C7 -:040D0C0000002000C3 -:040D100000002000BF -:040D140000002000BB -:040D180000002000B7 -:040D1C0000002000B3 -:040D200000002000AF -:040D240000002000AB -:040D280000002000A7 -:040D2C0000002000A3 -:040D3000000020009F -:040D3400000020009B -:040D38000000200097 -:040D3C000000200093 -:040D4000000020008F -:040D4400000020008B -:040D48000000200087 -:040D4C000000200083 -:040D5000000020007F -:040D5400000020007B -:040D58000000200077 -:040D5C000000200073 -:040D6000000020006F -:040D6400000020006B -:040D68000000200067 -:040D6C000000200063 -:040D7000000020005F -:040D7400000020005B -:040D78000000200057 -:040D7C000000200053 -:040D8000000020004F -:040D8400000020004B -:040D88000000200047 -:040D8C000000200043 -:040D9000000020003F -:040D9400000020003B -:040D98000000200037 -:040D9C000000200033 -:040DA000000020002F -:040DA400000020002B -:040DA8000000200027 -:040DAC000000200023 -:040DB000000020001F -:040DB400000020001B -:040DB8000000200017 -:040DBC000000200013 -:040DC000000020000F -:040DC400000020000B -:040DC8000000200007 -:040DCC000000200003 -:040DD00000002000FF -:040DD40000002000FB -:040DD80000002000F7 -:040DDC0000002000F3 -:040DE00000002000EF -:040DE40000002000EB -:040DE80000002000E7 -:040DEC0000002000E3 -:040DF00000002000DF -:040DF40000002000DB -:040DF80000002000D7 -:040DFC0000002000D3 -:040E000000002000CE -:040E040000002000CA -:040E080000002000C6 -:040E0C0000002000C2 -:040E100000002000BE -:040E140000002000BA -:040E180000002000B6 -:040E1C0000002000B2 -:040E200000002000AE -:040E240000002000AA -:040E280000002000A6 -:040E2C0000002000A2 -:040E3000000020009E -:040E3400000020009A -:040E38000000200096 -:040E3C000000200092 -:040E4000000020008E -:040E4400000020008A -:040E48000000200086 -:040E4C000000200082 -:040E5000000020007E -:040E5400000020007A -:040E58000000200076 -:040E5C000000200072 -:040E6000000020006E -:040E6400000020006A -:040E68000000200066 -:040E6C000000200062 -:040E7000000020005E -:040E7400000020005A -:040E78000000200056 -:040E7C000000200052 -:040E8000000020004E -:040E8400000020004A -:040E88000000200046 -:040E8C000000200042 -:040E9000000020003E -:040E9400000020003A -:040E98000000200036 -:040E9C000000200032 -:040EA000000020002E -:040EA400000020002A -:040EA8000000200026 -:040EAC000000200022 -:040EB000000020001E -:040EB400000020001A -:040EB8000000200016 -:040EBC000000200012 -:040EC000000020000E -:040EC400000020000A -:040EC8000000200006 -:040ECC000000200002 -:040ED00000002000FE -:040ED40000002000FA -:040ED80000002000F6 -:040EDC0000002000F2 -:040EE00000002000EE -:040EE40000002000EA -:040EE80000002000E6 -:040EEC0000002000E2 -:040EF00000002000DE -:040EF40000002000DA -:040EF80000002000D6 -:040EFC0000002000D2 -:040F000000002000CD -:040F040000002000C9 -:040F080000002000C5 -:040F0C0000002000C1 -:040F100000002000BD -:040F140000002000B9 -:040F180000002000B5 -:040F1C0000002000B1 -:040F200000002000AD -:040F240000002000A9 -:040F280000002000A5 -:040F2C0000002000A1 -:040F3000000020009D -:040F34000000200099 -:040F38000000200095 -:040F3C000000200091 -:040F4000000020008D -:040F44000000200089 -:040F48000000200085 -:040F4C000000200081 -:040F5000000020007D -:040F54000000200079 -:040F58000000200075 -:040F5C000000200071 -:040F6000000020006D -:040F64000000200069 -:040F68000000200065 -:040F6C000000200061 -:040F7000000020005D -:040F74000000200059 -:040F78000000200055 -:040F7C000000200051 -:040F8000000020004D -:040F84000000200049 -:040F88000000200045 -:040F8C000000200041 -:040F9000000020003D -:040F94000000200039 -:040F98000000200035 -:040F9C000000200031 -:040FA000000020002D -:040FA4000000200029 -:040FA8000000200025 -:040FAC000000200021 -:040FB000000020001D -:040FB4000000200019 -:040FB8000000200015 -:040FBC000000200011 -:040FC000000020000D -:040FC4000000200009 -:040FC8000000200005 -:040FCC000000200001 -:040FD00000002000FD -:040FD40000002000F9 -:040FD80000002000F5 -:040FDC0000002000F1 -:040FE00000002000ED -:040FE40000002000E9 -:040FE80000002000E5 -:040FEC0000002000E1 -:040FF00000002000DD -:040FF40000002000D9 -:040FF80000002000D5 -:040FFC0000002000D1 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_5.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_5.hex deleted file mode 100644 index ae43370003a96b8ad282faaa244394fadbba07e0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_5.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400040000002000D8 -:0400080000002000D4 -:04000C0000002000D0 -:0400100000002000CC -:0400140000002000C8 -:0400180000002000C4 -:04001C0000002000C0 -:0400200000002000BC -:0400240000002000B8 -:0400280000002000B4 -:04002C0000002000B0 -:0400300000002000AC -:0400340000002000A8 -:0400380000002000A4 -:04003C0000002000A0 -:04004000000020009C -:040044000000200098 -:040048000000200094 -:04004C000000200090 -:04005000000020008C -:040054000000200088 -:040058000000200084 -:04005C000000200080 -:04006000000020007C -:040064000000200078 -:040068000000200074 -:04006C000000200070 -:04007000000020006C -:040074000000200068 -:040078000000200064 -:04007C000000200060 -:04008000000020005C -:040084000000200058 -:040088000000200054 -:04008C000000200050 -:04009000000020004C -:040094000000200048 -:040098000000200044 -:04009C000000200040 -:0400A000000020003C -:0400A4000000200038 -:0400A8000000200034 -:0400AC000000200030 -:0400B000000020002C -:0400B4000000200028 -:0400B8000000200024 -:0400BC000000200020 -:0400C000000020001C -:0400C4000000200018 -:0400C8000000200014 -:0400CC000000200010 -:0400D000000020000C -:0400D4000000200008 -:0400D8000000200004 -:0400DC000000200000 -:0400E00000002000FC -:0400E40000002000F8 -:0400E80000002000F4 -:0400EC0000002000F0 -:0400F00000002000EC -:0400F40000002000E8 -:0400F80000002000E4 -:0400FC0000002000E0 -:0401000000002000DB -:0401040000002000D7 -:0401080000002000D3 -:04010C0000002000CF -:0401100000002000CB -:0401140000002000C7 -:0401180000002000C3 -:04011C0000002000BF -:0401200000002000BB -:0401240000002000B7 -:0401280000002000B3 -:04012C0000002000AF -:0401300000002000AB -:0401340000002000A7 -:0401380000002000A3 -:04013C00000020009F -:04014000000020009B -:040144000000200097 -:040148000000200093 -:04014C00000020008F -:04015000000020008B -:040154000000200087 -:040158000000200083 -:04015C00000020007F -:04016000000020007B -:040164000000200077 -:040168000000200073 -:04016C00000020006F -:04017000000020006B -:040174000000200067 -:040178000000200063 -:04017C00000020005F -:04018000000020005B -:040184000000200057 -:040188000000200053 -:04018C00000020004F -:04019000000020004B -:040194000000200047 -:040198000000200043 -:04019C00000020003F -:0401A000000020003B -:0401A4000000200037 -:0401A8000000200033 -:0401AC00000020002F -:0401B000000020002B -:0401B4000000200027 -:0401B8000000200023 -:0401BC00000020001F -:0401C000000020001B -:0401C4000000200017 -:0401C8000000200013 -:0401CC00000020000F -:0401D000000020000B -:0401D4000000200007 -:0401D8000000200003 -:0401DC0000002000FF -:0401E00000002000FB -:0401E40000002000F7 -:0401E80000002000F3 -:0401EC0000002000EF -:0401F00000002000EB -:0401F40000002000E7 -:0401F80000002000E3 -:0401FC0000002000DF -:0402000000002000DA -:0402040000002000D6 -:0402080000002000D2 -:04020C0000002000CE -:0402100000002000CA -:0402140000002000C6 -:0402180000002000C2 -:04021C0000002000BE -:0402200000002000BA -:0402240000002000B6 -:0402280000002000B2 -:04022C0000002000AE -:0402300000002000AA -:0402340000002000A6 -:0402380000002000A2 -:04023C00000020009E -:04024000000020009A -:040244000000200096 -:040248000000200092 -:04024C00000020008E -:04025000000020008A -:040254000000200086 -:040258000000200082 -:04025C00000020007E -:04026000000020007A -:040264000000200076 -:040268000000200072 -:04026C00000020006E -:04027000000020006A -:040274000000200066 -:040278000000200062 -:04027C00000020005E -:04028000000020005A -:040284000000200056 -:040288000000200052 -:04028C00000020004E -:04029000000020004A -:040294000000200046 -:040298000000200042 -:04029C00000020003E -:0402A000000020003A -:0402A4000000200036 -:0402A8000000200032 -:0402AC00000020002E -:0402B000000020002A -:0402B4000000200026 -:0402B8000000200022 -:0402BC00000020001E -:0402C000000020001A -:0402C4000000200016 -:0402C8000000200012 -:0402CC00000020000E -:0402D000000020000A -:0402D4000000200006 -:0402D8000000200002 -:0402DC0000002000FE -:0402E00000002000FA -:0402E40000002000F6 -:0402E80000002000F2 -:0402EC0000002000EE -:0402F00000002000EA -:0402F40000002000E6 -:0402F80000002000E2 -:0402FC0000002000DE -:0403000000002000D9 -:0403040000002000D5 -:0403080000002000D1 -:04030C0000002000CD -:0403100000002000C9 -:0403140000002000C5 -:0403180000002000C1 -:04031C0000002000BD -:0403200000002000B9 -:0403240000002000B5 -:0403280000002000B1 -:04032C0000002000AD -:0403300000002000A9 -:0403340000002000A5 -:0403380000002000A1 -:04033C00000020009D -:040340000000200099 -:040344000000200095 -:040348000000200091 -:04034C00000020008D -:040350000000200089 -:040354000000200085 -:040358000000200081 -:04035C00000020007D -:040360000000200079 -:040364000000200075 -:040368000000200071 -:04036C00000020006D -:040370000000200069 -:040374000000200065 -:040378000000200061 -:04037C00000020005D -:040380000000200059 -:040384000000200055 -:040388000000200051 -:04038C00000020004D -:040390000000200049 -:040394000000200045 -:040398000000200041 -:04039C00000020003D -:0403A0000000200039 -:0403A4000000200035 -:0403A8000000200031 -:0403AC00000020002D -:0403B0000000200029 -:0403B4000000200025 -:0403B8000000200021 -:0403BC00000020001D -:0403C0000000200019 -:0403C4000000200015 -:0403C8000000200011 -:0403CC00000020000D -:0403D0000000200009 -:0403D4000000200005 -:0403D8000000200001 -:0403DC0000002000FD -:0403E00000002000F9 -:0403E40000002000F5 -:0403E80000002000F1 -:0403EC0000002000ED -:0403F00000002000E9 -:0403F40000002000E5 -:0403F80000002000E1 -:0403FC0000002000DD -:0404000000002000D8 -:0404040000002000D4 -:0404080000002000D0 -:04040C0000002000CC -:0404100000002000C8 -:0404140000002000C4 -:0404180000002000C0 -:04041C0000002000BC -:0404200000002000B8 -:0404240000002000B4 -:0404280000002000B0 -:04042C0000002000AC -:0404300000002000A8 -:0404340000002000A4 -:0404380000002000A0 -:04043C00000020009C -:040440000000200098 -:040444000000200094 -:040448000000200090 -:04044C00000020008C -:040450000000200088 -:040454000000200084 -:040458000000200080 -:04045C00000020007C -:040460000000200078 -:040464000000200074 -:040468000000200070 -:04046C00000020006C -:040470000000200068 -:040474000000200064 -:040478000000200060 -:04047C00000020005C -:040480000000200058 -:040484000000200054 -:040488000000200050 -:04048C00000020004C -:040490000000200048 -:040494000000200044 -:040498000000200040 -:04049C00000020003C -:0404A0000000200038 -:0404A4000000200034 -:0404A8000000200030 -:0404AC00000020002C -:0404B0000000200028 -:0404B4000000200024 -:0404B8000000200020 -:0404BC00000020001C -:0404C0000000200018 -:0404C4000000200014 -:0404C8000000200010 -:0404CC00000020000C -:0404D0000000200008 -:0404D4000000200004 -:0404D8000000200000 -:0404DC0000002000FC -:0404E00000002000F8 -:0404E40000002000F4 -:0404E80000002000F0 -:0404EC0000002000EC -:0404F00000002000E8 -:0404F40000002000E4 -:0404F80000002000E0 -:0404FC0000002000DC -:0405000000002000D7 -:0405040000002000D3 -:0405080000002000CF -:04050C0000002000CB -:0405100000002000C7 -:0405140000002000C3 -:0405180000002000BF -:04051C0000002000BB -:0405200000002000B7 -:0405240000002000B3 -:0405280000002000AF -:04052C0000002000AB -:0405300000002000A7 -:0405340000002000A3 -:04053800000020009F -:04053C00000020009B -:040540000000200097 -:040544000000200093 -:04054800000020008F -:04054C00000020008B -:040550000000200087 -:040554000000200083 -:04055800000020007F -:04055C00000020007B -:040560000000200077 -:040564000000200073 -:04056800000020006F -:04056C00000020006B -:040570000000200067 -:040574000000200063 -:04057800000020005F -:04057C00000020005B -:040580000000200057 -:040584000000200053 -:04058800000020004F -:04058C00000020004B -:040590000000200047 -:040594000000200043 -:04059800000020003F -:04059C00000020003B -:0405A0000000200037 -:0405A4000000200033 -:0405A800000020002F -:0405AC00000020002B -:0405B0000000200027 -:0405B4000000200023 -:0405B800000020001F -:0405BC00000020001B -:0405C0000000200017 -:0405C4000000200013 -:0405C800000020000F -:0405CC00000020000B -:0405D0000000200007 -:0405D4000000200003 -:0405D80000002000FF -:0405DC0000002000FB -:0405E00000002000F7 -:0405E40000002000F3 -:0405E80000002000EF -:0405EC0000002000EB -:0405F00000002000E7 -:0405F40000002000E3 -:0405F80000002000DF -:0405FC0000002000DB -:0406000000002000D6 -:0406040000002000D2 -:0406080000002000CE -:04060C0000002000CA -:0406100000002000C6 -:0406140000002000C2 -:0406180000002000BE -:04061C0000002000BA -:0406200000002000B6 -:0406240000002000B2 -:0406280000002000AE -:04062C0000002000AA -:0406300000002000A6 -:0406340000002000A2 -:04063800000020009E -:04063C00000020009A -:040640000000200096 -:040644000000200092 -:04064800000020008E -:04064C00000020008A -:040650000000200086 -:040654000000200082 -:04065800000020007E -:04065C00000020007A -:040660000000200076 -:040664000000200072 -:04066800000020006E -:04066C00000020006A -:040670000000200066 -:040674000000200062 -:04067800000020005E -:04067C00000020005A -:040680000000200056 -:040684000000200052 -:04068800000020004E -:04068C00000020004A -:040690000000200046 -:040694000000200042 -:04069800000020003E -:04069C00000020003A -:0406A0000000200036 -:0406A4000000200032 -:0406A800000020002E -:0406AC00000020002A -:0406B0000000200026 -:0406B4000000200022 -:0406B800000020001E -:0406BC00000020001A -:0406C0000000200016 -:0406C4000000200012 -:0406C800000020000E -:0406CC00000020000A -:0406D0000000200006 -:0406D4000000200002 -:0406D80000002000FE -:0406DC0000002000FA -:0406E00000002000F6 -:0406E40000002000F2 -:0406E80000002000EE -:0406EC0000002000EA -:0406F00000002000E6 -:0406F40000002000E2 -:0406F80000002000DE -:0406FC0000002000DA -:0407000000002000D5 -:0407040000002000D1 -:0407080000002000CD -:04070C0000002000C9 -:0407100000002000C5 -:0407140000002000C1 -:0407180000002000BD -:04071C0000002000B9 -:0407200000002000B5 -:0407240000002000B1 -:0407280000002000AD -:04072C0000002000A9 -:0407300000002000A5 -:0407340000002000A1 -:04073800000020009D -:04073C000000200099 -:040740000000200095 -:040744000000200091 -:04074800000020008D -:04074C000000200089 -:040750000000200085 -:040754000000200081 -:04075800000020007D -:04075C000000200079 -:040760000000200075 -:040764000000200071 -:04076800000020006D -:04076C000000200069 -:040770000000200065 -:040774000000200061 -:04077800000020005D -:04077C000000200059 -:040780000000200055 -:040784000000200051 -:04078800000020004D -:04078C000000200049 -:040790000000200045 -:040794000000200041 -:04079800000020003D -:04079C000000200039 -:0407A0000000200035 -:0407A4000000200031 -:0407A800000020002D -:0407AC000000200029 -:0407B0000000200025 -:0407B4000000200021 -:0407B800000020001D -:0407BC000000200019 -:0407C0000000200015 -:0407C4000000200011 -:0407C800000020000D -:0407CC000000200009 -:0407D0000000200005 -:0407D4000000200001 -:0407D80000002000FD -:0407DC0000002000F9 -:0407E00000002000F5 -:0407E40000002000F1 -:0407E80000002000ED -:0407EC0000002000E9 -:0407F00000002000E5 -:0407F40000002000E1 -:0407F80000002000DD -:0407FC0000002000D9 -:0408000000002000D4 -:0408040000002000D0 -:0408080000002000CC -:04080C0000002000C8 -:0408100000002000C4 -:0408140000002000C0 -:0408180000002000BC -:04081C0000002000B8 -:0408200000002000B4 -:0408240000002000B0 -:0408280000002000AC -:04082C0000002000A8 -:0408300000002000A4 -:0408340000002000A0 -:04083800000020009C -:04083C000000200098 -:040840000000200094 -:040844000000200090 -:04084800000020008C -:04084C000000200088 -:040850000000200084 -:040854000000200080 -:04085800000020007C -:04085C000000200078 -:040860000000200074 -:040864000000200070 -:04086800000020006C -:04086C000000200068 -:040870000000200064 -:040874000000200060 -:04087800000020005C -:04087C000000200058 -:040880000000200054 -:040884000000200050 -:04088800000020004C -:04088C000000200048 -:040890000000200044 -:040894000000200040 -:04089800000020003C -:04089C000000200038 -:0408A0000000200034 -:0408A4000000200030 -:0408A800000020002C -:0408AC000000200028 -:0408B0000000200024 -:0408B4000000200020 -:0408B800000020001C -:0408BC000000200018 -:0408C0000000200014 -:0408C4000000200010 -:0408C800000020000C -:0408CC000000200008 -:0408D0000000200004 -:0408D4000000200000 -:0408D80000002000FC -:0408DC0000002000F8 -:0408E00000002000F4 -:0408E40000002000F0 -:0408E80000002000EC -:0408EC0000002000E8 -:0408F00000002000E4 -:0408F40000002000E0 -:0408F80000002000DC -:0408FC0000002000D8 -:0409000000002000D3 -:0409040000002000CF -:0409080000002000CB -:04090C0000002000C7 -:0409100000002000C3 -:0409140000002000BF -:0409180000002000BB -:04091C0000002000B7 -:0409200000002000B3 -:0409240000002000AF -:0409280000002000AB -:04092C0000002000A7 -:0409300000002000A3 -:04093400000020009F -:04093800000020009B -:04093C000000200097 -:040940000000200093 -:04094400000020008F -:04094800000020008B -:04094C000000200087 -:040950000000200083 -:04095400000020007F -:04095800000020007B -:04095C000000200077 -:040960000000200073 -:04096400000020006F -:04096800000020006B -:04096C000000200067 -:040970000000200063 -:04097400000020005F -:04097800000020005B -:04097C000000200057 -:040980000000200053 -:04098400000020004F -:04098800000020004B -:04098C000000200047 -:040990000000200043 -:04099400000020003F -:04099800000020003B -:04099C000000200037 -:0409A0000000200033 -:0409A400000020002F -:0409A800000020002B -:0409AC000000200027 -:0409B0000000200023 -:0409B400000020001F -:0409B800000020001B -:0409BC000000200017 -:0409C0000000200013 -:0409C400000020000F -:0409C800000020000B -:0409CC000000200007 -:0409D0000000200003 -:0409D40000002000FF -:0409D80000002000FB -:0409DC0000002000F7 -:0409E00000002000F3 -:0409E40000002000EF -:0409E80000002000EB -:0409EC0000002000E7 -:0409F00000002000E3 -:0409F40000002000DF -:0409F80000002000DB -:0409FC0000002000D7 -:040A000000002000D2 -:040A040000002000CE -:040A080000002000CA -:040A0C0000002000C6 -:040A100000002000C2 -:040A140000002000BE -:040A180000002000BA -:040A1C0000002000B6 -:040A200000002000B2 -:040A240000002000AE -:040A280000002000AA -:040A2C0000002000A6 -:040A300000002000A2 -:040A3400000020009E -:040A3800000020009A -:040A3C000000200096 -:040A40000000200092 -:040A4400000020008E -:040A4800000020008A -:040A4C000000200086 -:040A50000000200082 -:040A5400000020007E -:040A5800000020007A -:040A5C000000200076 -:040A60000000200072 -:040A6400000020006E -:040A6800000020006A -:040A6C000000200066 -:040A70000000200062 -:040A7400000020005E -:040A7800000020005A -:040A7C000000200056 -:040A80000000200052 -:040A8400000020004E -:040A8800000020004A -:040A8C000000200046 -:040A90000000200042 -:040A9400000020003E -:040A9800000020003A -:040A9C000000200036 -:040AA0000000200032 -:040AA400000020002E -:040AA800000020002A -:040AAC000000200026 -:040AB0000000200022 -:040AB400000020001E -:040AB800000020001A -:040ABC000000200016 -:040AC0000000200012 -:040AC400000020000E -:040AC800000020000A -:040ACC000000200006 -:040AD0000000200002 -:040AD40000002000FE -:040AD80000002000FA -:040ADC0000002000F6 -:040AE00000002000F2 -:040AE40000002000EE -:040AE80000002000EA -:040AEC0000002000E6 -:040AF00000002000E2 -:040AF40000002000DE -:040AF80000002000DA -:040AFC0000002000D6 -:040B000000002000D1 -:040B040000002000CD -:040B080000002000C9 -:040B0C0000002000C5 -:040B100000002000C1 -:040B140000002000BD -:040B180000002000B9 -:040B1C0000002000B5 -:040B200000002000B1 -:040B240000002000AD -:040B280000002000A9 -:040B2C0000002000A5 -:040B300000002000A1 -:040B3400000020009D -:040B38000000200099 -:040B3C000000200095 -:040B40000000200091 -:040B4400000020008D -:040B48000000200089 -:040B4C000000200085 -:040B50000000200081 -:040B5400000020007D -:040B58000000200079 -:040B5C000000200075 -:040B60000000200071 -:040B6400000020006D -:040B68000000200069 -:040B6C000000200065 -:040B70000000200061 -:040B7400000020005D -:040B78000000200059 -:040B7C000000200055 -:040B80000000200051 -:040B8400000020004D -:040B88000000200049 -:040B8C000000200045 -:040B90000000200041 -:040B9400000020003D -:040B98000000200039 -:040B9C000000200035 -:040BA0000000200031 -:040BA400000020002D -:040BA8000000200029 -:040BAC000000200025 -:040BB0000000200021 -:040BB400000020001D -:040BB8000000200019 -:040BBC000000200015 -:040BC0000000200011 -:040BC400000020000D -:040BC8000000200009 -:040BCC000000200005 -:040BD0000000200001 -:040BD40000002000FD -:040BD80000002000F9 -:040BDC0000002000F5 -:040BE00000002000F1 -:040BE40000002000ED -:040BE80000002000E9 -:040BEC0000002000E5 -:040BF00000002000E1 -:040BF40000002000DD -:040BF80000002000D9 -:040BFC0000002000D5 -:040C000000002000D0 -:040C040000002000CC -:040C080000002000C8 -:040C0C0000002000C4 -:040C100000002000C0 -:040C140000002000BC -:040C180000002000B8 -:040C1C0000002000B4 -:040C200000002000B0 -:040C240000002000AC -:040C280000002000A8 -:040C2C0000002000A4 -:040C300000002000A0 -:040C3400000020009C -:040C38000000200098 -:040C3C000000200094 -:040C40000000200090 -:040C4400000020008C -:040C48000000200088 -:040C4C000000200084 -:040C50000000200080 -:040C5400000020007C -:040C58000000200078 -:040C5C000000200074 -:040C60000000200070 -:040C6400000020006C -:040C68000000200068 -:040C6C000000200064 -:040C70000000200060 -:040C7400000020005C -:040C78000000200058 -:040C7C000000200054 -:040C80000000200050 -:040C8400000020004C -:040C88000000200048 -:040C8C000000200044 -:040C90000000200040 -:040C9400000020003C -:040C98000000200038 -:040C9C000000200034 -:040CA0000000200030 -:040CA400000020002C -:040CA8000000200028 -:040CAC000000200024 -:040CB0000000200020 -:040CB400000020001C -:040CB8000000200018 -:040CBC000000200014 -:040CC0000000200010 -:040CC400000020000C -:040CC8000000200008 -:040CCC000000200004 -:040CD0000000200000 -:040CD40000002000FC -:040CD80000002000F8 -:040CDC0000002000F4 -:040CE00000002000F0 -:040CE40000002000EC -:040CE80000002000E8 -:040CEC0000002000E4 -:040CF00000002000E0 -:040CF40000002000DC -:040CF80000002000D8 -:040CFC0000002000D4 -:040D000000002000CF -:040D040000002000CB -:040D080000002000C7 -:040D0C0000002000C3 -:040D100000002000BF -:040D140000002000BB -:040D180000002000B7 -:040D1C0000002000B3 -:040D200000002000AF -:040D240000002000AB -:040D280000002000A7 -:040D2C0000002000A3 -:040D3000000020009F -:040D3400000020009B -:040D38000000200097 -:040D3C000000200093 -:040D4000000020008F -:040D4400000020008B -:040D48000000200087 -:040D4C000000200083 -:040D5000000020007F -:040D5400000020007B -:040D58000000200077 -:040D5C000000200073 -:040D6000000020006F -:040D6400000020006B -:040D68000000200067 -:040D6C000000200063 -:040D7000000020005F -:040D7400000020005B -:040D78000000200057 -:040D7C000000200053 -:040D8000000020004F -:040D8400000020004B -:040D88000000200047 -:040D8C000000200043 -:040D9000000020003F -:040D9400000020003B -:040D98000000200037 -:040D9C000000200033 -:040DA000000020002F -:040DA400000020002B -:040DA8000000200027 -:040DAC000000200023 -:040DB000000020001F -:040DB400000020001B -:040DB8000000200017 -:040DBC000000200013 -:040DC000000020000F -:040DC400000020000B -:040DC8000000200007 -:040DCC000000200003 -:040DD00000002000FF -:040DD40000002000FB -:040DD80000002000F7 -:040DDC0000002000F3 -:040DE00000002000EF -:040DE40000002000EB -:040DE80000002000E7 -:040DEC0000002000E3 -:040DF00000002000DF -:040DF40000002000DB -:040DF80000002000D7 -:040DFC0000002000D3 -:040E000000002000CE -:040E040000002000CA -:040E080000002000C6 -:040E0C0000002000C2 -:040E100000002000BE -:040E140000002000BA -:040E180000002000B6 -:040E1C0000002000B2 -:040E200000002000AE -:040E240000002000AA -:040E280000002000A6 -:040E2C0000002000A2 -:040E3000000020009E -:040E3400000020009A -:040E38000000200096 -:040E3C000000200092 -:040E4000000020008E -:040E4400000020008A -:040E48000000200086 -:040E4C000000200082 -:040E5000000020007E -:040E5400000020007A -:040E58000000200076 -:040E5C000000200072 -:040E6000000020006E -:040E6400000020006A -:040E68000000200066 -:040E6C000000200062 -:040E7000000020005E -:040E7400000020005A -:040E78000000200056 -:040E7C000000200052 -:040E8000000020004E -:040E8400000020004A -:040E88000000200046 -:040E8C000000200042 -:040E9000000020003E -:040E9400000020003A -:040E98000000200036 -:040E9C000000200032 -:040EA000000020002E -:040EA400000020002A -:040EA8000000200026 -:040EAC000000200022 -:040EB000000020001E -:040EB400000020001A -:040EB8000000200016 -:040EBC000000200012 -:040EC000000020000E -:040EC400000020000A -:040EC8000000200006 -:040ECC000000200002 -:040ED00000002000FE -:040ED40000002000FA -:040ED80000002000F6 -:040EDC0000002000F2 -:040EE00000002000EE -:040EE40000002000EA -:040EE80000002000E6 -:040EEC0000002000E2 -:040EF00000002000DE -:040EF40000002000DA -:040EF80000002000D6 -:040EFC0000002000D2 -:040F000000002000CD -:040F040000002000C9 -:040F080000002000C5 -:040F0C0000002000C1 -:040F100000002000BD -:040F140000002000B9 -:040F180000002000B5 -:040F1C0000002000B1 -:040F200000002000AD -:040F240000002000A9 -:040F280000002000A5 -:040F2C0000002000A1 -:040F3000000020009D -:040F34000000200099 -:040F38000000200095 -:040F3C000000200091 -:040F4000000020008D -:040F44000000200089 -:040F48000000200085 -:040F4C000000200081 -:040F5000000020007D -:040F54000000200079 -:040F58000000200075 -:040F5C000000200071 -:040F6000000020006D -:040F64000000200069 -:040F68000000200065 -:040F6C000000200061 -:040F7000000020005D -:040F74000000200059 -:040F78000000200055 -:040F7C000000200051 -:040F8000000020004D -:040F84000000200049 -:040F88000000200045 -:040F8C000000200041 -:040F9000000020003D -:040F94000000200039 -:040F98000000200035 -:040F9C000000200031 -:040FA000000020002D -:040FA4000000200029 -:040FA8000000200025 -:040FAC000000200021 -:040FB000000020001D -:040FB4000000200019 -:040FB8000000200015 -:040FBC000000200011 -:040FC000000020000D -:040FC4000000200009 -:040FC8000000200005 -:040FCC000000200001 -:040FD00000002000FD -:040FD40000002000F9 -:040FD80000002000F5 -:040FDC0000002000F1 -:040FE00000002000ED -:040FE40000002000E9 -:040FE80000002000E5 -:040FEC0000002000E1 -:040FF00000002000DD -:040FF40000002000D9 -:040FF80000002000D5 -:040FFC0000002000D1 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_6.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_6.hex deleted file mode 100644 index ae43370003a96b8ad282faaa244394fadbba07e0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_6.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400040000002000D8 -:0400080000002000D4 -:04000C0000002000D0 -:0400100000002000CC -:0400140000002000C8 -:0400180000002000C4 -:04001C0000002000C0 -:0400200000002000BC -:0400240000002000B8 -:0400280000002000B4 -:04002C0000002000B0 -:0400300000002000AC -:0400340000002000A8 -:0400380000002000A4 -:04003C0000002000A0 -:04004000000020009C -:040044000000200098 -:040048000000200094 -:04004C000000200090 -:04005000000020008C -:040054000000200088 -:040058000000200084 -:04005C000000200080 -:04006000000020007C -:040064000000200078 -:040068000000200074 -:04006C000000200070 -:04007000000020006C -:040074000000200068 -:040078000000200064 -:04007C000000200060 -:04008000000020005C -:040084000000200058 -:040088000000200054 -:04008C000000200050 -:04009000000020004C -:040094000000200048 -:040098000000200044 -:04009C000000200040 -:0400A000000020003C -:0400A4000000200038 -:0400A8000000200034 -:0400AC000000200030 -:0400B000000020002C -:0400B4000000200028 -:0400B8000000200024 -:0400BC000000200020 -:0400C000000020001C -:0400C4000000200018 -:0400C8000000200014 -:0400CC000000200010 -:0400D000000020000C -:0400D4000000200008 -:0400D8000000200004 -:0400DC000000200000 -:0400E00000002000FC -:0400E40000002000F8 -:0400E80000002000F4 -:0400EC0000002000F0 -:0400F00000002000EC -:0400F40000002000E8 -:0400F80000002000E4 -:0400FC0000002000E0 -:0401000000002000DB -:0401040000002000D7 -:0401080000002000D3 -:04010C0000002000CF -:0401100000002000CB -:0401140000002000C7 -:0401180000002000C3 -:04011C0000002000BF -:0401200000002000BB -:0401240000002000B7 -:0401280000002000B3 -:04012C0000002000AF -:0401300000002000AB -:0401340000002000A7 -:0401380000002000A3 -:04013C00000020009F -:04014000000020009B -:040144000000200097 -:040148000000200093 -:04014C00000020008F -:04015000000020008B -:040154000000200087 -:040158000000200083 -:04015C00000020007F -:04016000000020007B -:040164000000200077 -:040168000000200073 -:04016C00000020006F -:04017000000020006B -:040174000000200067 -:040178000000200063 -:04017C00000020005F -:04018000000020005B -:040184000000200057 -:040188000000200053 -:04018C00000020004F -:04019000000020004B -:040194000000200047 -:040198000000200043 -:04019C00000020003F -:0401A000000020003B -:0401A4000000200037 -:0401A8000000200033 -:0401AC00000020002F -:0401B000000020002B -:0401B4000000200027 -:0401B8000000200023 -:0401BC00000020001F -:0401C000000020001B -:0401C4000000200017 -:0401C8000000200013 -:0401CC00000020000F -:0401D000000020000B -:0401D4000000200007 -:0401D8000000200003 -:0401DC0000002000FF -:0401E00000002000FB -:0401E40000002000F7 -:0401E80000002000F3 -:0401EC0000002000EF -:0401F00000002000EB -:0401F40000002000E7 -:0401F80000002000E3 -:0401FC0000002000DF -:0402000000002000DA -:0402040000002000D6 -:0402080000002000D2 -:04020C0000002000CE -:0402100000002000CA -:0402140000002000C6 -:0402180000002000C2 -:04021C0000002000BE -:0402200000002000BA -:0402240000002000B6 -:0402280000002000B2 -:04022C0000002000AE -:0402300000002000AA -:0402340000002000A6 -:0402380000002000A2 -:04023C00000020009E -:04024000000020009A -:040244000000200096 -:040248000000200092 -:04024C00000020008E -:04025000000020008A -:040254000000200086 -:040258000000200082 -:04025C00000020007E -:04026000000020007A -:040264000000200076 -:040268000000200072 -:04026C00000020006E -:04027000000020006A -:040274000000200066 -:040278000000200062 -:04027C00000020005E -:04028000000020005A -:040284000000200056 -:040288000000200052 -:04028C00000020004E -:04029000000020004A -:040294000000200046 -:040298000000200042 -:04029C00000020003E -:0402A000000020003A -:0402A4000000200036 -:0402A8000000200032 -:0402AC00000020002E -:0402B000000020002A -:0402B4000000200026 -:0402B8000000200022 -:0402BC00000020001E -:0402C000000020001A -:0402C4000000200016 -:0402C8000000200012 -:0402CC00000020000E -:0402D000000020000A -:0402D4000000200006 -:0402D8000000200002 -:0402DC0000002000FE -:0402E00000002000FA -:0402E40000002000F6 -:0402E80000002000F2 -:0402EC0000002000EE -:0402F00000002000EA -:0402F40000002000E6 -:0402F80000002000E2 -:0402FC0000002000DE -:0403000000002000D9 -:0403040000002000D5 -:0403080000002000D1 -:04030C0000002000CD -:0403100000002000C9 -:0403140000002000C5 -:0403180000002000C1 -:04031C0000002000BD -:0403200000002000B9 -:0403240000002000B5 -:0403280000002000B1 -:04032C0000002000AD -:0403300000002000A9 -:0403340000002000A5 -:0403380000002000A1 -:04033C00000020009D -:040340000000200099 -:040344000000200095 -:040348000000200091 -:04034C00000020008D -:040350000000200089 -:040354000000200085 -:040358000000200081 -:04035C00000020007D -:040360000000200079 -:040364000000200075 -:040368000000200071 -:04036C00000020006D -:040370000000200069 -:040374000000200065 -:040378000000200061 -:04037C00000020005D -:040380000000200059 -:040384000000200055 -:040388000000200051 -:04038C00000020004D -:040390000000200049 -:040394000000200045 -:040398000000200041 -:04039C00000020003D -:0403A0000000200039 -:0403A4000000200035 -:0403A8000000200031 -:0403AC00000020002D -:0403B0000000200029 -:0403B4000000200025 -:0403B8000000200021 -:0403BC00000020001D -:0403C0000000200019 -:0403C4000000200015 -:0403C8000000200011 -:0403CC00000020000D -:0403D0000000200009 -:0403D4000000200005 -:0403D8000000200001 -:0403DC0000002000FD -:0403E00000002000F9 -:0403E40000002000F5 -:0403E80000002000F1 -:0403EC0000002000ED -:0403F00000002000E9 -:0403F40000002000E5 -:0403F80000002000E1 -:0403FC0000002000DD -:0404000000002000D8 -:0404040000002000D4 -:0404080000002000D0 -:04040C0000002000CC -:0404100000002000C8 -:0404140000002000C4 -:0404180000002000C0 -:04041C0000002000BC -:0404200000002000B8 -:0404240000002000B4 -:0404280000002000B0 -:04042C0000002000AC -:0404300000002000A8 -:0404340000002000A4 -:0404380000002000A0 -:04043C00000020009C -:040440000000200098 -:040444000000200094 -:040448000000200090 -:04044C00000020008C -:040450000000200088 -:040454000000200084 -:040458000000200080 -:04045C00000020007C -:040460000000200078 -:040464000000200074 -:040468000000200070 -:04046C00000020006C -:040470000000200068 -:040474000000200064 -:040478000000200060 -:04047C00000020005C -:040480000000200058 -:040484000000200054 -:040488000000200050 -:04048C00000020004C -:040490000000200048 -:040494000000200044 -:040498000000200040 -:04049C00000020003C -:0404A0000000200038 -:0404A4000000200034 -:0404A8000000200030 -:0404AC00000020002C -:0404B0000000200028 -:0404B4000000200024 -:0404B8000000200020 -:0404BC00000020001C -:0404C0000000200018 -:0404C4000000200014 -:0404C8000000200010 -:0404CC00000020000C -:0404D0000000200008 -:0404D4000000200004 -:0404D8000000200000 -:0404DC0000002000FC -:0404E00000002000F8 -:0404E40000002000F4 -:0404E80000002000F0 -:0404EC0000002000EC -:0404F00000002000E8 -:0404F40000002000E4 -:0404F80000002000E0 -:0404FC0000002000DC -:0405000000002000D7 -:0405040000002000D3 -:0405080000002000CF -:04050C0000002000CB -:0405100000002000C7 -:0405140000002000C3 -:0405180000002000BF -:04051C0000002000BB -:0405200000002000B7 -:0405240000002000B3 -:0405280000002000AF -:04052C0000002000AB -:0405300000002000A7 -:0405340000002000A3 -:04053800000020009F -:04053C00000020009B -:040540000000200097 -:040544000000200093 -:04054800000020008F -:04054C00000020008B -:040550000000200087 -:040554000000200083 -:04055800000020007F -:04055C00000020007B -:040560000000200077 -:040564000000200073 -:04056800000020006F -:04056C00000020006B -:040570000000200067 -:040574000000200063 -:04057800000020005F -:04057C00000020005B -:040580000000200057 -:040584000000200053 -:04058800000020004F -:04058C00000020004B -:040590000000200047 -:040594000000200043 -:04059800000020003F -:04059C00000020003B -:0405A0000000200037 -:0405A4000000200033 -:0405A800000020002F -:0405AC00000020002B -:0405B0000000200027 -:0405B4000000200023 -:0405B800000020001F -:0405BC00000020001B -:0405C0000000200017 -:0405C4000000200013 -:0405C800000020000F -:0405CC00000020000B -:0405D0000000200007 -:0405D4000000200003 -:0405D80000002000FF -:0405DC0000002000FB -:0405E00000002000F7 -:0405E40000002000F3 -:0405E80000002000EF -:0405EC0000002000EB -:0405F00000002000E7 -:0405F40000002000E3 -:0405F80000002000DF -:0405FC0000002000DB -:0406000000002000D6 -:0406040000002000D2 -:0406080000002000CE -:04060C0000002000CA -:0406100000002000C6 -:0406140000002000C2 -:0406180000002000BE -:04061C0000002000BA -:0406200000002000B6 -:0406240000002000B2 -:0406280000002000AE -:04062C0000002000AA -:0406300000002000A6 -:0406340000002000A2 -:04063800000020009E -:04063C00000020009A -:040640000000200096 -:040644000000200092 -:04064800000020008E -:04064C00000020008A -:040650000000200086 -:040654000000200082 -:04065800000020007E -:04065C00000020007A -:040660000000200076 -:040664000000200072 -:04066800000020006E -:04066C00000020006A -:040670000000200066 -:040674000000200062 -:04067800000020005E -:04067C00000020005A -:040680000000200056 -:040684000000200052 -:04068800000020004E -:04068C00000020004A -:040690000000200046 -:040694000000200042 -:04069800000020003E -:04069C00000020003A -:0406A0000000200036 -:0406A4000000200032 -:0406A800000020002E -:0406AC00000020002A -:0406B0000000200026 -:0406B4000000200022 -:0406B800000020001E -:0406BC00000020001A -:0406C0000000200016 -:0406C4000000200012 -:0406C800000020000E -:0406CC00000020000A -:0406D0000000200006 -:0406D4000000200002 -:0406D80000002000FE -:0406DC0000002000FA -:0406E00000002000F6 -:0406E40000002000F2 -:0406E80000002000EE -:0406EC0000002000EA -:0406F00000002000E6 -:0406F40000002000E2 -:0406F80000002000DE -:0406FC0000002000DA -:0407000000002000D5 -:0407040000002000D1 -:0407080000002000CD -:04070C0000002000C9 -:0407100000002000C5 -:0407140000002000C1 -:0407180000002000BD -:04071C0000002000B9 -:0407200000002000B5 -:0407240000002000B1 -:0407280000002000AD -:04072C0000002000A9 -:0407300000002000A5 -:0407340000002000A1 -:04073800000020009D -:04073C000000200099 -:040740000000200095 -:040744000000200091 -:04074800000020008D -:04074C000000200089 -:040750000000200085 -:040754000000200081 -:04075800000020007D -:04075C000000200079 -:040760000000200075 -:040764000000200071 -:04076800000020006D -:04076C000000200069 -:040770000000200065 -:040774000000200061 -:04077800000020005D -:04077C000000200059 -:040780000000200055 -:040784000000200051 -:04078800000020004D -:04078C000000200049 -:040790000000200045 -:040794000000200041 -:04079800000020003D -:04079C000000200039 -:0407A0000000200035 -:0407A4000000200031 -:0407A800000020002D -:0407AC000000200029 -:0407B0000000200025 -:0407B4000000200021 -:0407B800000020001D -:0407BC000000200019 -:0407C0000000200015 -:0407C4000000200011 -:0407C800000020000D -:0407CC000000200009 -:0407D0000000200005 -:0407D4000000200001 -:0407D80000002000FD -:0407DC0000002000F9 -:0407E00000002000F5 -:0407E40000002000F1 -:0407E80000002000ED -:0407EC0000002000E9 -:0407F00000002000E5 -:0407F40000002000E1 -:0407F80000002000DD -:0407FC0000002000D9 -:0408000000002000D4 -:0408040000002000D0 -:0408080000002000CC -:04080C0000002000C8 -:0408100000002000C4 -:0408140000002000C0 -:0408180000002000BC -:04081C0000002000B8 -:0408200000002000B4 -:0408240000002000B0 -:0408280000002000AC -:04082C0000002000A8 -:0408300000002000A4 -:0408340000002000A0 -:04083800000020009C -:04083C000000200098 -:040840000000200094 -:040844000000200090 -:04084800000020008C -:04084C000000200088 -:040850000000200084 -:040854000000200080 -:04085800000020007C -:04085C000000200078 -:040860000000200074 -:040864000000200070 -:04086800000020006C -:04086C000000200068 -:040870000000200064 -:040874000000200060 -:04087800000020005C -:04087C000000200058 -:040880000000200054 -:040884000000200050 -:04088800000020004C -:04088C000000200048 -:040890000000200044 -:040894000000200040 -:04089800000020003C -:04089C000000200038 -:0408A0000000200034 -:0408A4000000200030 -:0408A800000020002C -:0408AC000000200028 -:0408B0000000200024 -:0408B4000000200020 -:0408B800000020001C -:0408BC000000200018 -:0408C0000000200014 -:0408C4000000200010 -:0408C800000020000C -:0408CC000000200008 -:0408D0000000200004 -:0408D4000000200000 -:0408D80000002000FC -:0408DC0000002000F8 -:0408E00000002000F4 -:0408E40000002000F0 -:0408E80000002000EC -:0408EC0000002000E8 -:0408F00000002000E4 -:0408F40000002000E0 -:0408F80000002000DC -:0408FC0000002000D8 -:0409000000002000D3 -:0409040000002000CF -:0409080000002000CB -:04090C0000002000C7 -:0409100000002000C3 -:0409140000002000BF -:0409180000002000BB -:04091C0000002000B7 -:0409200000002000B3 -:0409240000002000AF -:0409280000002000AB -:04092C0000002000A7 -:0409300000002000A3 -:04093400000020009F -:04093800000020009B -:04093C000000200097 -:040940000000200093 -:04094400000020008F -:04094800000020008B -:04094C000000200087 -:040950000000200083 -:04095400000020007F -:04095800000020007B -:04095C000000200077 -:040960000000200073 -:04096400000020006F -:04096800000020006B -:04096C000000200067 -:040970000000200063 -:04097400000020005F -:04097800000020005B -:04097C000000200057 -:040980000000200053 -:04098400000020004F -:04098800000020004B -:04098C000000200047 -:040990000000200043 -:04099400000020003F -:04099800000020003B -:04099C000000200037 -:0409A0000000200033 -:0409A400000020002F -:0409A800000020002B -:0409AC000000200027 -:0409B0000000200023 -:0409B400000020001F -:0409B800000020001B -:0409BC000000200017 -:0409C0000000200013 -:0409C400000020000F -:0409C800000020000B -:0409CC000000200007 -:0409D0000000200003 -:0409D40000002000FF -:0409D80000002000FB -:0409DC0000002000F7 -:0409E00000002000F3 -:0409E40000002000EF -:0409E80000002000EB -:0409EC0000002000E7 -:0409F00000002000E3 -:0409F40000002000DF -:0409F80000002000DB -:0409FC0000002000D7 -:040A000000002000D2 -:040A040000002000CE -:040A080000002000CA -:040A0C0000002000C6 -:040A100000002000C2 -:040A140000002000BE -:040A180000002000BA -:040A1C0000002000B6 -:040A200000002000B2 -:040A240000002000AE -:040A280000002000AA -:040A2C0000002000A6 -:040A300000002000A2 -:040A3400000020009E -:040A3800000020009A -:040A3C000000200096 -:040A40000000200092 -:040A4400000020008E -:040A4800000020008A -:040A4C000000200086 -:040A50000000200082 -:040A5400000020007E -:040A5800000020007A -:040A5C000000200076 -:040A60000000200072 -:040A6400000020006E -:040A6800000020006A -:040A6C000000200066 -:040A70000000200062 -:040A7400000020005E -:040A7800000020005A -:040A7C000000200056 -:040A80000000200052 -:040A8400000020004E -:040A8800000020004A -:040A8C000000200046 -:040A90000000200042 -:040A9400000020003E -:040A9800000020003A -:040A9C000000200036 -:040AA0000000200032 -:040AA400000020002E -:040AA800000020002A -:040AAC000000200026 -:040AB0000000200022 -:040AB400000020001E -:040AB800000020001A -:040ABC000000200016 -:040AC0000000200012 -:040AC400000020000E -:040AC800000020000A -:040ACC000000200006 -:040AD0000000200002 -:040AD40000002000FE -:040AD80000002000FA -:040ADC0000002000F6 -:040AE00000002000F2 -:040AE40000002000EE -:040AE80000002000EA -:040AEC0000002000E6 -:040AF00000002000E2 -:040AF40000002000DE -:040AF80000002000DA -:040AFC0000002000D6 -:040B000000002000D1 -:040B040000002000CD -:040B080000002000C9 -:040B0C0000002000C5 -:040B100000002000C1 -:040B140000002000BD -:040B180000002000B9 -:040B1C0000002000B5 -:040B200000002000B1 -:040B240000002000AD -:040B280000002000A9 -:040B2C0000002000A5 -:040B300000002000A1 -:040B3400000020009D -:040B38000000200099 -:040B3C000000200095 -:040B40000000200091 -:040B4400000020008D -:040B48000000200089 -:040B4C000000200085 -:040B50000000200081 -:040B5400000020007D -:040B58000000200079 -:040B5C000000200075 -:040B60000000200071 -:040B6400000020006D -:040B68000000200069 -:040B6C000000200065 -:040B70000000200061 -:040B7400000020005D -:040B78000000200059 -:040B7C000000200055 -:040B80000000200051 -:040B8400000020004D -:040B88000000200049 -:040B8C000000200045 -:040B90000000200041 -:040B9400000020003D -:040B98000000200039 -:040B9C000000200035 -:040BA0000000200031 -:040BA400000020002D -:040BA8000000200029 -:040BAC000000200025 -:040BB0000000200021 -:040BB400000020001D -:040BB8000000200019 -:040BBC000000200015 -:040BC0000000200011 -:040BC400000020000D -:040BC8000000200009 -:040BCC000000200005 -:040BD0000000200001 -:040BD40000002000FD -:040BD80000002000F9 -:040BDC0000002000F5 -:040BE00000002000F1 -:040BE40000002000ED -:040BE80000002000E9 -:040BEC0000002000E5 -:040BF00000002000E1 -:040BF40000002000DD -:040BF80000002000D9 -:040BFC0000002000D5 -:040C000000002000D0 -:040C040000002000CC -:040C080000002000C8 -:040C0C0000002000C4 -:040C100000002000C0 -:040C140000002000BC -:040C180000002000B8 -:040C1C0000002000B4 -:040C200000002000B0 -:040C240000002000AC -:040C280000002000A8 -:040C2C0000002000A4 -:040C300000002000A0 -:040C3400000020009C -:040C38000000200098 -:040C3C000000200094 -:040C40000000200090 -:040C4400000020008C -:040C48000000200088 -:040C4C000000200084 -:040C50000000200080 -:040C5400000020007C -:040C58000000200078 -:040C5C000000200074 -:040C60000000200070 -:040C6400000020006C -:040C68000000200068 -:040C6C000000200064 -:040C70000000200060 -:040C7400000020005C -:040C78000000200058 -:040C7C000000200054 -:040C80000000200050 -:040C8400000020004C -:040C88000000200048 -:040C8C000000200044 -:040C90000000200040 -:040C9400000020003C -:040C98000000200038 -:040C9C000000200034 -:040CA0000000200030 -:040CA400000020002C -:040CA8000000200028 -:040CAC000000200024 -:040CB0000000200020 -:040CB400000020001C -:040CB8000000200018 -:040CBC000000200014 -:040CC0000000200010 -:040CC400000020000C -:040CC8000000200008 -:040CCC000000200004 -:040CD0000000200000 -:040CD40000002000FC -:040CD80000002000F8 -:040CDC0000002000F4 -:040CE00000002000F0 -:040CE40000002000EC -:040CE80000002000E8 -:040CEC0000002000E4 -:040CF00000002000E0 -:040CF40000002000DC -:040CF80000002000D8 -:040CFC0000002000D4 -:040D000000002000CF -:040D040000002000CB -:040D080000002000C7 -:040D0C0000002000C3 -:040D100000002000BF -:040D140000002000BB -:040D180000002000B7 -:040D1C0000002000B3 -:040D200000002000AF -:040D240000002000AB -:040D280000002000A7 -:040D2C0000002000A3 -:040D3000000020009F -:040D3400000020009B -:040D38000000200097 -:040D3C000000200093 -:040D4000000020008F -:040D4400000020008B -:040D48000000200087 -:040D4C000000200083 -:040D5000000020007F -:040D5400000020007B -:040D58000000200077 -:040D5C000000200073 -:040D6000000020006F -:040D6400000020006B -:040D68000000200067 -:040D6C000000200063 -:040D7000000020005F -:040D7400000020005B -:040D78000000200057 -:040D7C000000200053 -:040D8000000020004F -:040D8400000020004B -:040D88000000200047 -:040D8C000000200043 -:040D9000000020003F -:040D9400000020003B -:040D98000000200037 -:040D9C000000200033 -:040DA000000020002F -:040DA400000020002B -:040DA8000000200027 -:040DAC000000200023 -:040DB000000020001F -:040DB400000020001B -:040DB8000000200017 -:040DBC000000200013 -:040DC000000020000F -:040DC400000020000B -:040DC8000000200007 -:040DCC000000200003 -:040DD00000002000FF -:040DD40000002000FB -:040DD80000002000F7 -:040DDC0000002000F3 -:040DE00000002000EF -:040DE40000002000EB -:040DE80000002000E7 -:040DEC0000002000E3 -:040DF00000002000DF -:040DF40000002000DB -:040DF80000002000D7 -:040DFC0000002000D3 -:040E000000002000CE -:040E040000002000CA -:040E080000002000C6 -:040E0C0000002000C2 -:040E100000002000BE -:040E140000002000BA -:040E180000002000B6 -:040E1C0000002000B2 -:040E200000002000AE -:040E240000002000AA -:040E280000002000A6 -:040E2C0000002000A2 -:040E3000000020009E -:040E3400000020009A -:040E38000000200096 -:040E3C000000200092 -:040E4000000020008E -:040E4400000020008A -:040E48000000200086 -:040E4C000000200082 -:040E5000000020007E -:040E5400000020007A -:040E58000000200076 -:040E5C000000200072 -:040E6000000020006E -:040E6400000020006A -:040E68000000200066 -:040E6C000000200062 -:040E7000000020005E -:040E7400000020005A -:040E78000000200056 -:040E7C000000200052 -:040E8000000020004E -:040E8400000020004A -:040E88000000200046 -:040E8C000000200042 -:040E9000000020003E -:040E9400000020003A -:040E98000000200036 -:040E9C000000200032 -:040EA000000020002E -:040EA400000020002A -:040EA8000000200026 -:040EAC000000200022 -:040EB000000020001E -:040EB400000020001A -:040EB8000000200016 -:040EBC000000200012 -:040EC000000020000E -:040EC400000020000A -:040EC8000000200006 -:040ECC000000200002 -:040ED00000002000FE -:040ED40000002000FA -:040ED80000002000F6 -:040EDC0000002000F2 -:040EE00000002000EE -:040EE40000002000EA -:040EE80000002000E6 -:040EEC0000002000E2 -:040EF00000002000DE -:040EF40000002000DA -:040EF80000002000D6 -:040EFC0000002000D2 -:040F000000002000CD -:040F040000002000C9 -:040F080000002000C5 -:040F0C0000002000C1 -:040F100000002000BD -:040F140000002000B9 -:040F180000002000B5 -:040F1C0000002000B1 -:040F200000002000AD -:040F240000002000A9 -:040F280000002000A5 -:040F2C0000002000A1 -:040F3000000020009D -:040F34000000200099 -:040F38000000200095 -:040F3C000000200091 -:040F4000000020008D -:040F44000000200089 -:040F48000000200085 -:040F4C000000200081 -:040F5000000020007D -:040F54000000200079 -:040F58000000200075 -:040F5C000000200071 -:040F6000000020006D -:040F64000000200069 -:040F68000000200065 -:040F6C000000200061 -:040F7000000020005D -:040F74000000200059 -:040F78000000200055 -:040F7C000000200051 -:040F8000000020004D -:040F84000000200049 -:040F88000000200045 -:040F8C000000200041 -:040F9000000020003D -:040F94000000200039 -:040F98000000200035 -:040F9C000000200031 -:040FA000000020002D -:040FA4000000200029 -:040FA8000000200025 -:040FAC000000200021 -:040FB000000020001D -:040FB4000000200019 -:040FB8000000200015 -:040FBC000000200011 -:040FC000000020000D -:040FC4000000200009 -:040FC8000000200005 -:040FCC000000200001 -:040FD00000002000FD -:040FD40000002000F9 -:040FD80000002000F5 -:040FDC0000002000F1 -:040FE00000002000ED -:040FE40000002000E9 -:040FE80000002000E5 -:040FEC0000002000E1 -:040FF00000002000DD -:040FF40000002000D9 -:040FF80000002000D5 -:040FFC0000002000D1 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_7.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_7.hex deleted file mode 100644 index ae43370003a96b8ad282faaa244394fadbba07e0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_7.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400040000002000D8 -:0400080000002000D4 -:04000C0000002000D0 -:0400100000002000CC -:0400140000002000C8 -:0400180000002000C4 -:04001C0000002000C0 -:0400200000002000BC -:0400240000002000B8 -:0400280000002000B4 -:04002C0000002000B0 -:0400300000002000AC -:0400340000002000A8 -:0400380000002000A4 -:04003C0000002000A0 -:04004000000020009C -:040044000000200098 -:040048000000200094 -:04004C000000200090 -:04005000000020008C -:040054000000200088 -:040058000000200084 -:04005C000000200080 -:04006000000020007C -:040064000000200078 -:040068000000200074 -:04006C000000200070 -:04007000000020006C -:040074000000200068 -:040078000000200064 -:04007C000000200060 -:04008000000020005C -:040084000000200058 -:040088000000200054 -:04008C000000200050 -:04009000000020004C -:040094000000200048 -:040098000000200044 -:04009C000000200040 -:0400A000000020003C -:0400A4000000200038 -:0400A8000000200034 -:0400AC000000200030 -:0400B000000020002C -:0400B4000000200028 -:0400B8000000200024 -:0400BC000000200020 -:0400C000000020001C -:0400C4000000200018 -:0400C8000000200014 -:0400CC000000200010 -:0400D000000020000C -:0400D4000000200008 -:0400D8000000200004 -:0400DC000000200000 -:0400E00000002000FC -:0400E40000002000F8 -:0400E80000002000F4 -:0400EC0000002000F0 -:0400F00000002000EC -:0400F40000002000E8 -:0400F80000002000E4 -:0400FC0000002000E0 -:0401000000002000DB -:0401040000002000D7 -:0401080000002000D3 -:04010C0000002000CF -:0401100000002000CB -:0401140000002000C7 -:0401180000002000C3 -:04011C0000002000BF -:0401200000002000BB -:0401240000002000B7 -:0401280000002000B3 -:04012C0000002000AF -:0401300000002000AB -:0401340000002000A7 -:0401380000002000A3 -:04013C00000020009F -:04014000000020009B -:040144000000200097 -:040148000000200093 -:04014C00000020008F -:04015000000020008B -:040154000000200087 -:040158000000200083 -:04015C00000020007F -:04016000000020007B -:040164000000200077 -:040168000000200073 -:04016C00000020006F -:04017000000020006B -:040174000000200067 -:040178000000200063 -:04017C00000020005F -:04018000000020005B -:040184000000200057 -:040188000000200053 -:04018C00000020004F -:04019000000020004B -:040194000000200047 -:040198000000200043 -:04019C00000020003F -:0401A000000020003B -:0401A4000000200037 -:0401A8000000200033 -:0401AC00000020002F -:0401B000000020002B -:0401B4000000200027 -:0401B8000000200023 -:0401BC00000020001F -:0401C000000020001B -:0401C4000000200017 -:0401C8000000200013 -:0401CC00000020000F -:0401D000000020000B -:0401D4000000200007 -:0401D8000000200003 -:0401DC0000002000FF -:0401E00000002000FB -:0401E40000002000F7 -:0401E80000002000F3 -:0401EC0000002000EF -:0401F00000002000EB -:0401F40000002000E7 -:0401F80000002000E3 -:0401FC0000002000DF -:0402000000002000DA -:0402040000002000D6 -:0402080000002000D2 -:04020C0000002000CE -:0402100000002000CA -:0402140000002000C6 -:0402180000002000C2 -:04021C0000002000BE -:0402200000002000BA -:0402240000002000B6 -:0402280000002000B2 -:04022C0000002000AE -:0402300000002000AA -:0402340000002000A6 -:0402380000002000A2 -:04023C00000020009E -:04024000000020009A -:040244000000200096 -:040248000000200092 -:04024C00000020008E -:04025000000020008A -:040254000000200086 -:040258000000200082 -:04025C00000020007E -:04026000000020007A -:040264000000200076 -:040268000000200072 -:04026C00000020006E -:04027000000020006A -:040274000000200066 -:040278000000200062 -:04027C00000020005E -:04028000000020005A -:040284000000200056 -:040288000000200052 -:04028C00000020004E -:04029000000020004A -:040294000000200046 -:040298000000200042 -:04029C00000020003E -:0402A000000020003A -:0402A4000000200036 -:0402A8000000200032 -:0402AC00000020002E -:0402B000000020002A -:0402B4000000200026 -:0402B8000000200022 -:0402BC00000020001E -:0402C000000020001A -:0402C4000000200016 -:0402C8000000200012 -:0402CC00000020000E -:0402D000000020000A -:0402D4000000200006 -:0402D8000000200002 -:0402DC0000002000FE -:0402E00000002000FA -:0402E40000002000F6 -:0402E80000002000F2 -:0402EC0000002000EE -:0402F00000002000EA -:0402F40000002000E6 -:0402F80000002000E2 -:0402FC0000002000DE -:0403000000002000D9 -:0403040000002000D5 -:0403080000002000D1 -:04030C0000002000CD -:0403100000002000C9 -:0403140000002000C5 -:0403180000002000C1 -:04031C0000002000BD -:0403200000002000B9 -:0403240000002000B5 -:0403280000002000B1 -:04032C0000002000AD -:0403300000002000A9 -:0403340000002000A5 -:0403380000002000A1 -:04033C00000020009D -:040340000000200099 -:040344000000200095 -:040348000000200091 -:04034C00000020008D -:040350000000200089 -:040354000000200085 -:040358000000200081 -:04035C00000020007D -:040360000000200079 -:040364000000200075 -:040368000000200071 -:04036C00000020006D -:040370000000200069 -:040374000000200065 -:040378000000200061 -:04037C00000020005D -:040380000000200059 -:040384000000200055 -:040388000000200051 -:04038C00000020004D -:040390000000200049 -:040394000000200045 -:040398000000200041 -:04039C00000020003D -:0403A0000000200039 -:0403A4000000200035 -:0403A8000000200031 -:0403AC00000020002D -:0403B0000000200029 -:0403B4000000200025 -:0403B8000000200021 -:0403BC00000020001D -:0403C0000000200019 -:0403C4000000200015 -:0403C8000000200011 -:0403CC00000020000D -:0403D0000000200009 -:0403D4000000200005 -:0403D8000000200001 -:0403DC0000002000FD -:0403E00000002000F9 -:0403E40000002000F5 -:0403E80000002000F1 -:0403EC0000002000ED -:0403F00000002000E9 -:0403F40000002000E5 -:0403F80000002000E1 -:0403FC0000002000DD -:0404000000002000D8 -:0404040000002000D4 -:0404080000002000D0 -:04040C0000002000CC -:0404100000002000C8 -:0404140000002000C4 -:0404180000002000C0 -:04041C0000002000BC -:0404200000002000B8 -:0404240000002000B4 -:0404280000002000B0 -:04042C0000002000AC -:0404300000002000A8 -:0404340000002000A4 -:0404380000002000A0 -:04043C00000020009C -:040440000000200098 -:040444000000200094 -:040448000000200090 -:04044C00000020008C -:040450000000200088 -:040454000000200084 -:040458000000200080 -:04045C00000020007C -:040460000000200078 -:040464000000200074 -:040468000000200070 -:04046C00000020006C -:040470000000200068 -:040474000000200064 -:040478000000200060 -:04047C00000020005C -:040480000000200058 -:040484000000200054 -:040488000000200050 -:04048C00000020004C -:040490000000200048 -:040494000000200044 -:040498000000200040 -:04049C00000020003C -:0404A0000000200038 -:0404A4000000200034 -:0404A8000000200030 -:0404AC00000020002C -:0404B0000000200028 -:0404B4000000200024 -:0404B8000000200020 -:0404BC00000020001C -:0404C0000000200018 -:0404C4000000200014 -:0404C8000000200010 -:0404CC00000020000C -:0404D0000000200008 -:0404D4000000200004 -:0404D8000000200000 -:0404DC0000002000FC -:0404E00000002000F8 -:0404E40000002000F4 -:0404E80000002000F0 -:0404EC0000002000EC -:0404F00000002000E8 -:0404F40000002000E4 -:0404F80000002000E0 -:0404FC0000002000DC -:0405000000002000D7 -:0405040000002000D3 -:0405080000002000CF -:04050C0000002000CB -:0405100000002000C7 -:0405140000002000C3 -:0405180000002000BF -:04051C0000002000BB -:0405200000002000B7 -:0405240000002000B3 -:0405280000002000AF -:04052C0000002000AB -:0405300000002000A7 -:0405340000002000A3 -:04053800000020009F -:04053C00000020009B -:040540000000200097 -:040544000000200093 -:04054800000020008F -:04054C00000020008B -:040550000000200087 -:040554000000200083 -:04055800000020007F -:04055C00000020007B -:040560000000200077 -:040564000000200073 -:04056800000020006F -:04056C00000020006B -:040570000000200067 -:040574000000200063 -:04057800000020005F -:04057C00000020005B -:040580000000200057 -:040584000000200053 -:04058800000020004F -:04058C00000020004B -:040590000000200047 -:040594000000200043 -:04059800000020003F -:04059C00000020003B -:0405A0000000200037 -:0405A4000000200033 -:0405A800000020002F -:0405AC00000020002B -:0405B0000000200027 -:0405B4000000200023 -:0405B800000020001F -:0405BC00000020001B -:0405C0000000200017 -:0405C4000000200013 -:0405C800000020000F -:0405CC00000020000B -:0405D0000000200007 -:0405D4000000200003 -:0405D80000002000FF -:0405DC0000002000FB -:0405E00000002000F7 -:0405E40000002000F3 -:0405E80000002000EF -:0405EC0000002000EB -:0405F00000002000E7 -:0405F40000002000E3 -:0405F80000002000DF -:0405FC0000002000DB -:0406000000002000D6 -:0406040000002000D2 -:0406080000002000CE -:04060C0000002000CA -:0406100000002000C6 -:0406140000002000C2 -:0406180000002000BE -:04061C0000002000BA -:0406200000002000B6 -:0406240000002000B2 -:0406280000002000AE -:04062C0000002000AA -:0406300000002000A6 -:0406340000002000A2 -:04063800000020009E -:04063C00000020009A -:040640000000200096 -:040644000000200092 -:04064800000020008E -:04064C00000020008A -:040650000000200086 -:040654000000200082 -:04065800000020007E -:04065C00000020007A -:040660000000200076 -:040664000000200072 -:04066800000020006E -:04066C00000020006A -:040670000000200066 -:040674000000200062 -:04067800000020005E -:04067C00000020005A -:040680000000200056 -:040684000000200052 -:04068800000020004E -:04068C00000020004A -:040690000000200046 -:040694000000200042 -:04069800000020003E -:04069C00000020003A -:0406A0000000200036 -:0406A4000000200032 -:0406A800000020002E -:0406AC00000020002A -:0406B0000000200026 -:0406B4000000200022 -:0406B800000020001E -:0406BC00000020001A -:0406C0000000200016 -:0406C4000000200012 -:0406C800000020000E -:0406CC00000020000A -:0406D0000000200006 -:0406D4000000200002 -:0406D80000002000FE -:0406DC0000002000FA -:0406E00000002000F6 -:0406E40000002000F2 -:0406E80000002000EE -:0406EC0000002000EA -:0406F00000002000E6 -:0406F40000002000E2 -:0406F80000002000DE -:0406FC0000002000DA -:0407000000002000D5 -:0407040000002000D1 -:0407080000002000CD -:04070C0000002000C9 -:0407100000002000C5 -:0407140000002000C1 -:0407180000002000BD -:04071C0000002000B9 -:0407200000002000B5 -:0407240000002000B1 -:0407280000002000AD -:04072C0000002000A9 -:0407300000002000A5 -:0407340000002000A1 -:04073800000020009D -:04073C000000200099 -:040740000000200095 -:040744000000200091 -:04074800000020008D -:04074C000000200089 -:040750000000200085 -:040754000000200081 -:04075800000020007D -:04075C000000200079 -:040760000000200075 -:040764000000200071 -:04076800000020006D -:04076C000000200069 -:040770000000200065 -:040774000000200061 -:04077800000020005D -:04077C000000200059 -:040780000000200055 -:040784000000200051 -:04078800000020004D -:04078C000000200049 -:040790000000200045 -:040794000000200041 -:04079800000020003D -:04079C000000200039 -:0407A0000000200035 -:0407A4000000200031 -:0407A800000020002D -:0407AC000000200029 -:0407B0000000200025 -:0407B4000000200021 -:0407B800000020001D -:0407BC000000200019 -:0407C0000000200015 -:0407C4000000200011 -:0407C800000020000D -:0407CC000000200009 -:0407D0000000200005 -:0407D4000000200001 -:0407D80000002000FD -:0407DC0000002000F9 -:0407E00000002000F5 -:0407E40000002000F1 -:0407E80000002000ED -:0407EC0000002000E9 -:0407F00000002000E5 -:0407F40000002000E1 -:0407F80000002000DD -:0407FC0000002000D9 -:0408000000002000D4 -:0408040000002000D0 -:0408080000002000CC -:04080C0000002000C8 -:0408100000002000C4 -:0408140000002000C0 -:0408180000002000BC -:04081C0000002000B8 -:0408200000002000B4 -:0408240000002000B0 -:0408280000002000AC -:04082C0000002000A8 -:0408300000002000A4 -:0408340000002000A0 -:04083800000020009C -:04083C000000200098 -:040840000000200094 -:040844000000200090 -:04084800000020008C -:04084C000000200088 -:040850000000200084 -:040854000000200080 -:04085800000020007C -:04085C000000200078 -:040860000000200074 -:040864000000200070 -:04086800000020006C -:04086C000000200068 -:040870000000200064 -:040874000000200060 -:04087800000020005C -:04087C000000200058 -:040880000000200054 -:040884000000200050 -:04088800000020004C -:04088C000000200048 -:040890000000200044 -:040894000000200040 -:04089800000020003C -:04089C000000200038 -:0408A0000000200034 -:0408A4000000200030 -:0408A800000020002C -:0408AC000000200028 -:0408B0000000200024 -:0408B4000000200020 -:0408B800000020001C -:0408BC000000200018 -:0408C0000000200014 -:0408C4000000200010 -:0408C800000020000C -:0408CC000000200008 -:0408D0000000200004 -:0408D4000000200000 -:0408D80000002000FC -:0408DC0000002000F8 -:0408E00000002000F4 -:0408E40000002000F0 -:0408E80000002000EC -:0408EC0000002000E8 -:0408F00000002000E4 -:0408F40000002000E0 -:0408F80000002000DC -:0408FC0000002000D8 -:0409000000002000D3 -:0409040000002000CF -:0409080000002000CB -:04090C0000002000C7 -:0409100000002000C3 -:0409140000002000BF -:0409180000002000BB -:04091C0000002000B7 -:0409200000002000B3 -:0409240000002000AF -:0409280000002000AB -:04092C0000002000A7 -:0409300000002000A3 -:04093400000020009F -:04093800000020009B -:04093C000000200097 -:040940000000200093 -:04094400000020008F -:04094800000020008B -:04094C000000200087 -:040950000000200083 -:04095400000020007F -:04095800000020007B -:04095C000000200077 -:040960000000200073 -:04096400000020006F -:04096800000020006B -:04096C000000200067 -:040970000000200063 -:04097400000020005F -:04097800000020005B -:04097C000000200057 -:040980000000200053 -:04098400000020004F -:04098800000020004B -:04098C000000200047 -:040990000000200043 -:04099400000020003F -:04099800000020003B -:04099C000000200037 -:0409A0000000200033 -:0409A400000020002F -:0409A800000020002B -:0409AC000000200027 -:0409B0000000200023 -:0409B400000020001F -:0409B800000020001B -:0409BC000000200017 -:0409C0000000200013 -:0409C400000020000F -:0409C800000020000B -:0409CC000000200007 -:0409D0000000200003 -:0409D40000002000FF -:0409D80000002000FB -:0409DC0000002000F7 -:0409E00000002000F3 -:0409E40000002000EF -:0409E80000002000EB -:0409EC0000002000E7 -:0409F00000002000E3 -:0409F40000002000DF -:0409F80000002000DB -:0409FC0000002000D7 -:040A000000002000D2 -:040A040000002000CE -:040A080000002000CA -:040A0C0000002000C6 -:040A100000002000C2 -:040A140000002000BE -:040A180000002000BA -:040A1C0000002000B6 -:040A200000002000B2 -:040A240000002000AE -:040A280000002000AA -:040A2C0000002000A6 -:040A300000002000A2 -:040A3400000020009E -:040A3800000020009A -:040A3C000000200096 -:040A40000000200092 -:040A4400000020008E -:040A4800000020008A -:040A4C000000200086 -:040A50000000200082 -:040A5400000020007E -:040A5800000020007A -:040A5C000000200076 -:040A60000000200072 -:040A6400000020006E -:040A6800000020006A -:040A6C000000200066 -:040A70000000200062 -:040A7400000020005E -:040A7800000020005A -:040A7C000000200056 -:040A80000000200052 -:040A8400000020004E -:040A8800000020004A -:040A8C000000200046 -:040A90000000200042 -:040A9400000020003E -:040A9800000020003A -:040A9C000000200036 -:040AA0000000200032 -:040AA400000020002E -:040AA800000020002A -:040AAC000000200026 -:040AB0000000200022 -:040AB400000020001E -:040AB800000020001A -:040ABC000000200016 -:040AC0000000200012 -:040AC400000020000E -:040AC800000020000A -:040ACC000000200006 -:040AD0000000200002 -:040AD40000002000FE -:040AD80000002000FA -:040ADC0000002000F6 -:040AE00000002000F2 -:040AE40000002000EE -:040AE80000002000EA -:040AEC0000002000E6 -:040AF00000002000E2 -:040AF40000002000DE -:040AF80000002000DA -:040AFC0000002000D6 -:040B000000002000D1 -:040B040000002000CD -:040B080000002000C9 -:040B0C0000002000C5 -:040B100000002000C1 -:040B140000002000BD -:040B180000002000B9 -:040B1C0000002000B5 -:040B200000002000B1 -:040B240000002000AD -:040B280000002000A9 -:040B2C0000002000A5 -:040B300000002000A1 -:040B3400000020009D -:040B38000000200099 -:040B3C000000200095 -:040B40000000200091 -:040B4400000020008D -:040B48000000200089 -:040B4C000000200085 -:040B50000000200081 -:040B5400000020007D -:040B58000000200079 -:040B5C000000200075 -:040B60000000200071 -:040B6400000020006D -:040B68000000200069 -:040B6C000000200065 -:040B70000000200061 -:040B7400000020005D -:040B78000000200059 -:040B7C000000200055 -:040B80000000200051 -:040B8400000020004D -:040B88000000200049 -:040B8C000000200045 -:040B90000000200041 -:040B9400000020003D -:040B98000000200039 -:040B9C000000200035 -:040BA0000000200031 -:040BA400000020002D -:040BA8000000200029 -:040BAC000000200025 -:040BB0000000200021 -:040BB400000020001D -:040BB8000000200019 -:040BBC000000200015 -:040BC0000000200011 -:040BC400000020000D -:040BC8000000200009 -:040BCC000000200005 -:040BD0000000200001 -:040BD40000002000FD -:040BD80000002000F9 -:040BDC0000002000F5 -:040BE00000002000F1 -:040BE40000002000ED -:040BE80000002000E9 -:040BEC0000002000E5 -:040BF00000002000E1 -:040BF40000002000DD -:040BF80000002000D9 -:040BFC0000002000D5 -:040C000000002000D0 -:040C040000002000CC -:040C080000002000C8 -:040C0C0000002000C4 -:040C100000002000C0 -:040C140000002000BC -:040C180000002000B8 -:040C1C0000002000B4 -:040C200000002000B0 -:040C240000002000AC -:040C280000002000A8 -:040C2C0000002000A4 -:040C300000002000A0 -:040C3400000020009C -:040C38000000200098 -:040C3C000000200094 -:040C40000000200090 -:040C4400000020008C -:040C48000000200088 -:040C4C000000200084 -:040C50000000200080 -:040C5400000020007C -:040C58000000200078 -:040C5C000000200074 -:040C60000000200070 -:040C6400000020006C -:040C68000000200068 -:040C6C000000200064 -:040C70000000200060 -:040C7400000020005C -:040C78000000200058 -:040C7C000000200054 -:040C80000000200050 -:040C8400000020004C -:040C88000000200048 -:040C8C000000200044 -:040C90000000200040 -:040C9400000020003C -:040C98000000200038 -:040C9C000000200034 -:040CA0000000200030 -:040CA400000020002C -:040CA8000000200028 -:040CAC000000200024 -:040CB0000000200020 -:040CB400000020001C -:040CB8000000200018 -:040CBC000000200014 -:040CC0000000200010 -:040CC400000020000C -:040CC8000000200008 -:040CCC000000200004 -:040CD0000000200000 -:040CD40000002000FC -:040CD80000002000F8 -:040CDC0000002000F4 -:040CE00000002000F0 -:040CE40000002000EC -:040CE80000002000E8 -:040CEC0000002000E4 -:040CF00000002000E0 -:040CF40000002000DC -:040CF80000002000D8 -:040CFC0000002000D4 -:040D000000002000CF -:040D040000002000CB -:040D080000002000C7 -:040D0C0000002000C3 -:040D100000002000BF -:040D140000002000BB -:040D180000002000B7 -:040D1C0000002000B3 -:040D200000002000AF -:040D240000002000AB -:040D280000002000A7 -:040D2C0000002000A3 -:040D3000000020009F -:040D3400000020009B -:040D38000000200097 -:040D3C000000200093 -:040D4000000020008F -:040D4400000020008B -:040D48000000200087 -:040D4C000000200083 -:040D5000000020007F -:040D5400000020007B -:040D58000000200077 -:040D5C000000200073 -:040D6000000020006F -:040D6400000020006B -:040D68000000200067 -:040D6C000000200063 -:040D7000000020005F -:040D7400000020005B -:040D78000000200057 -:040D7C000000200053 -:040D8000000020004F -:040D8400000020004B -:040D88000000200047 -:040D8C000000200043 -:040D9000000020003F -:040D9400000020003B -:040D98000000200037 -:040D9C000000200033 -:040DA000000020002F -:040DA400000020002B -:040DA8000000200027 -:040DAC000000200023 -:040DB000000020001F -:040DB400000020001B -:040DB8000000200017 -:040DBC000000200013 -:040DC000000020000F -:040DC400000020000B -:040DC8000000200007 -:040DCC000000200003 -:040DD00000002000FF -:040DD40000002000FB -:040DD80000002000F7 -:040DDC0000002000F3 -:040DE00000002000EF -:040DE40000002000EB -:040DE80000002000E7 -:040DEC0000002000E3 -:040DF00000002000DF -:040DF40000002000DB -:040DF80000002000D7 -:040DFC0000002000D3 -:040E000000002000CE -:040E040000002000CA -:040E080000002000C6 -:040E0C0000002000C2 -:040E100000002000BE -:040E140000002000BA -:040E180000002000B6 -:040E1C0000002000B2 -:040E200000002000AE -:040E240000002000AA -:040E280000002000A6 -:040E2C0000002000A2 -:040E3000000020009E -:040E3400000020009A -:040E38000000200096 -:040E3C000000200092 -:040E4000000020008E -:040E4400000020008A -:040E48000000200086 -:040E4C000000200082 -:040E5000000020007E -:040E5400000020007A -:040E58000000200076 -:040E5C000000200072 -:040E6000000020006E -:040E6400000020006A -:040E68000000200066 -:040E6C000000200062 -:040E7000000020005E -:040E7400000020005A -:040E78000000200056 -:040E7C000000200052 -:040E8000000020004E -:040E8400000020004A -:040E88000000200046 -:040E8C000000200042 -:040E9000000020003E -:040E9400000020003A -:040E98000000200036 -:040E9C000000200032 -:040EA000000020002E -:040EA400000020002A -:040EA8000000200026 -:040EAC000000200022 -:040EB000000020001E -:040EB400000020001A -:040EB8000000200016 -:040EBC000000200012 -:040EC000000020000E -:040EC400000020000A -:040EC8000000200006 -:040ECC000000200002 -:040ED00000002000FE -:040ED40000002000FA -:040ED80000002000F6 -:040EDC0000002000F2 -:040EE00000002000EE -:040EE40000002000EA -:040EE80000002000E6 -:040EEC0000002000E2 -:040EF00000002000DE -:040EF40000002000DA -:040EF80000002000D6 -:040EFC0000002000D2 -:040F000000002000CD -:040F040000002000C9 -:040F080000002000C5 -:040F0C0000002000C1 -:040F100000002000BD -:040F140000002000B9 -:040F180000002000B5 -:040F1C0000002000B1 -:040F200000002000AD -:040F240000002000A9 -:040F280000002000A5 -:040F2C0000002000A1 -:040F3000000020009D -:040F34000000200099 -:040F38000000200095 -:040F3C000000200091 -:040F4000000020008D -:040F44000000200089 -:040F48000000200085 -:040F4C000000200081 -:040F5000000020007D -:040F54000000200079 -:040F58000000200075 -:040F5C000000200071 -:040F6000000020006D -:040F64000000200069 -:040F68000000200065 -:040F6C000000200061 -:040F7000000020005D -:040F74000000200059 -:040F78000000200055 -:040F7C000000200051 -:040F8000000020004D -:040F84000000200049 -:040F88000000200045 -:040F8C000000200041 -:040F9000000020003D -:040F94000000200039 -:040F98000000200035 -:040F9C000000200031 -:040FA000000020002D -:040FA4000000200029 -:040FA8000000200025 -:040FAC000000200021 -:040FB000000020001D -:040FB4000000200019 -:040FB8000000200015 -:040FBC000000200011 -:040FC000000020000D -:040FC4000000200009 -:040FC8000000200005 -:040FCC000000200001 -:040FD00000002000FD -:040FD40000002000F9 -:040FD80000002000F5 -:040FDC0000002000F1 -:040FE00000002000ED -:040FE40000002000E9 -:040FE80000002000E5 -:040FEC0000002000E1 -:040FF00000002000DD -:040FF40000002000D9 -:040FF80000002000D5 -:040FFC0000002000D1 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_8.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_8.hex deleted file mode 100644 index ae43370003a96b8ad282faaa244394fadbba07e0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_8.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400040000002000D8 -:0400080000002000D4 -:04000C0000002000D0 -:0400100000002000CC -:0400140000002000C8 -:0400180000002000C4 -:04001C0000002000C0 -:0400200000002000BC -:0400240000002000B8 -:0400280000002000B4 -:04002C0000002000B0 -:0400300000002000AC -:0400340000002000A8 -:0400380000002000A4 -:04003C0000002000A0 -:04004000000020009C -:040044000000200098 -:040048000000200094 -:04004C000000200090 -:04005000000020008C -:040054000000200088 -:040058000000200084 -:04005C000000200080 -:04006000000020007C -:040064000000200078 -:040068000000200074 -:04006C000000200070 -:04007000000020006C -:040074000000200068 -:040078000000200064 -:04007C000000200060 -:04008000000020005C -:040084000000200058 -:040088000000200054 -:04008C000000200050 -:04009000000020004C -:040094000000200048 -:040098000000200044 -:04009C000000200040 -:0400A000000020003C -:0400A4000000200038 -:0400A8000000200034 -:0400AC000000200030 -:0400B000000020002C -:0400B4000000200028 -:0400B8000000200024 -:0400BC000000200020 -:0400C000000020001C -:0400C4000000200018 -:0400C8000000200014 -:0400CC000000200010 -:0400D000000020000C -:0400D4000000200008 -:0400D8000000200004 -:0400DC000000200000 -:0400E00000002000FC -:0400E40000002000F8 -:0400E80000002000F4 -:0400EC0000002000F0 -:0400F00000002000EC -:0400F40000002000E8 -:0400F80000002000E4 -:0400FC0000002000E0 -:0401000000002000DB -:0401040000002000D7 -:0401080000002000D3 -:04010C0000002000CF -:0401100000002000CB -:0401140000002000C7 -:0401180000002000C3 -:04011C0000002000BF -:0401200000002000BB -:0401240000002000B7 -:0401280000002000B3 -:04012C0000002000AF -:0401300000002000AB -:0401340000002000A7 -:0401380000002000A3 -:04013C00000020009F -:04014000000020009B -:040144000000200097 -:040148000000200093 -:04014C00000020008F -:04015000000020008B -:040154000000200087 -:040158000000200083 -:04015C00000020007F -:04016000000020007B -:040164000000200077 -:040168000000200073 -:04016C00000020006F -:04017000000020006B -:040174000000200067 -:040178000000200063 -:04017C00000020005F -:04018000000020005B -:040184000000200057 -:040188000000200053 -:04018C00000020004F -:04019000000020004B -:040194000000200047 -:040198000000200043 -:04019C00000020003F -:0401A000000020003B -:0401A4000000200037 -:0401A8000000200033 -:0401AC00000020002F -:0401B000000020002B -:0401B4000000200027 -:0401B8000000200023 -:0401BC00000020001F -:0401C000000020001B -:0401C4000000200017 -:0401C8000000200013 -:0401CC00000020000F -:0401D000000020000B -:0401D4000000200007 -:0401D8000000200003 -:0401DC0000002000FF -:0401E00000002000FB -:0401E40000002000F7 -:0401E80000002000F3 -:0401EC0000002000EF -:0401F00000002000EB -:0401F40000002000E7 -:0401F80000002000E3 -:0401FC0000002000DF -:0402000000002000DA -:0402040000002000D6 -:0402080000002000D2 -:04020C0000002000CE -:0402100000002000CA -:0402140000002000C6 -:0402180000002000C2 -:04021C0000002000BE -:0402200000002000BA -:0402240000002000B6 -:0402280000002000B2 -:04022C0000002000AE -:0402300000002000AA -:0402340000002000A6 -:0402380000002000A2 -:04023C00000020009E -:04024000000020009A -:040244000000200096 -:040248000000200092 -:04024C00000020008E -:04025000000020008A -:040254000000200086 -:040258000000200082 -:04025C00000020007E -:04026000000020007A -:040264000000200076 -:040268000000200072 -:04026C00000020006E -:04027000000020006A -:040274000000200066 -:040278000000200062 -:04027C00000020005E -:04028000000020005A -:040284000000200056 -:040288000000200052 -:04028C00000020004E -:04029000000020004A -:040294000000200046 -:040298000000200042 -:04029C00000020003E -:0402A000000020003A -:0402A4000000200036 -:0402A8000000200032 -:0402AC00000020002E -:0402B000000020002A -:0402B4000000200026 -:0402B8000000200022 -:0402BC00000020001E -:0402C000000020001A -:0402C4000000200016 -:0402C8000000200012 -:0402CC00000020000E -:0402D000000020000A -:0402D4000000200006 -:0402D8000000200002 -:0402DC0000002000FE -:0402E00000002000FA -:0402E40000002000F6 -:0402E80000002000F2 -:0402EC0000002000EE -:0402F00000002000EA -:0402F40000002000E6 -:0402F80000002000E2 -:0402FC0000002000DE -:0403000000002000D9 -:0403040000002000D5 -:0403080000002000D1 -:04030C0000002000CD -:0403100000002000C9 -:0403140000002000C5 -:0403180000002000C1 -:04031C0000002000BD -:0403200000002000B9 -:0403240000002000B5 -:0403280000002000B1 -:04032C0000002000AD -:0403300000002000A9 -:0403340000002000A5 -:0403380000002000A1 -:04033C00000020009D -:040340000000200099 -:040344000000200095 -:040348000000200091 -:04034C00000020008D -:040350000000200089 -:040354000000200085 -:040358000000200081 -:04035C00000020007D -:040360000000200079 -:040364000000200075 -:040368000000200071 -:04036C00000020006D -:040370000000200069 -:040374000000200065 -:040378000000200061 -:04037C00000020005D -:040380000000200059 -:040384000000200055 -:040388000000200051 -:04038C00000020004D -:040390000000200049 -:040394000000200045 -:040398000000200041 -:04039C00000020003D -:0403A0000000200039 -:0403A4000000200035 -:0403A8000000200031 -:0403AC00000020002D -:0403B0000000200029 -:0403B4000000200025 -:0403B8000000200021 -:0403BC00000020001D -:0403C0000000200019 -:0403C4000000200015 -:0403C8000000200011 -:0403CC00000020000D -:0403D0000000200009 -:0403D4000000200005 -:0403D8000000200001 -:0403DC0000002000FD -:0403E00000002000F9 -:0403E40000002000F5 -:0403E80000002000F1 -:0403EC0000002000ED -:0403F00000002000E9 -:0403F40000002000E5 -:0403F80000002000E1 -:0403FC0000002000DD -:0404000000002000D8 -:0404040000002000D4 -:0404080000002000D0 -:04040C0000002000CC -:0404100000002000C8 -:0404140000002000C4 -:0404180000002000C0 -:04041C0000002000BC -:0404200000002000B8 -:0404240000002000B4 -:0404280000002000B0 -:04042C0000002000AC -:0404300000002000A8 -:0404340000002000A4 -:0404380000002000A0 -:04043C00000020009C -:040440000000200098 -:040444000000200094 -:040448000000200090 -:04044C00000020008C -:040450000000200088 -:040454000000200084 -:040458000000200080 -:04045C00000020007C -:040460000000200078 -:040464000000200074 -:040468000000200070 -:04046C00000020006C -:040470000000200068 -:040474000000200064 -:040478000000200060 -:04047C00000020005C -:040480000000200058 -:040484000000200054 -:040488000000200050 -:04048C00000020004C -:040490000000200048 -:040494000000200044 -:040498000000200040 -:04049C00000020003C -:0404A0000000200038 -:0404A4000000200034 -:0404A8000000200030 -:0404AC00000020002C -:0404B0000000200028 -:0404B4000000200024 -:0404B8000000200020 -:0404BC00000020001C -:0404C0000000200018 -:0404C4000000200014 -:0404C8000000200010 -:0404CC00000020000C -:0404D0000000200008 -:0404D4000000200004 -:0404D8000000200000 -:0404DC0000002000FC -:0404E00000002000F8 -:0404E40000002000F4 -:0404E80000002000F0 -:0404EC0000002000EC -:0404F00000002000E8 -:0404F40000002000E4 -:0404F80000002000E0 -:0404FC0000002000DC -:0405000000002000D7 -:0405040000002000D3 -:0405080000002000CF -:04050C0000002000CB -:0405100000002000C7 -:0405140000002000C3 -:0405180000002000BF -:04051C0000002000BB -:0405200000002000B7 -:0405240000002000B3 -:0405280000002000AF -:04052C0000002000AB -:0405300000002000A7 -:0405340000002000A3 -:04053800000020009F -:04053C00000020009B -:040540000000200097 -:040544000000200093 -:04054800000020008F -:04054C00000020008B -:040550000000200087 -:040554000000200083 -:04055800000020007F -:04055C00000020007B -:040560000000200077 -:040564000000200073 -:04056800000020006F -:04056C00000020006B -:040570000000200067 -:040574000000200063 -:04057800000020005F -:04057C00000020005B -:040580000000200057 -:040584000000200053 -:04058800000020004F -:04058C00000020004B -:040590000000200047 -:040594000000200043 -:04059800000020003F -:04059C00000020003B -:0405A0000000200037 -:0405A4000000200033 -:0405A800000020002F -:0405AC00000020002B -:0405B0000000200027 -:0405B4000000200023 -:0405B800000020001F -:0405BC00000020001B -:0405C0000000200017 -:0405C4000000200013 -:0405C800000020000F -:0405CC00000020000B -:0405D0000000200007 -:0405D4000000200003 -:0405D80000002000FF -:0405DC0000002000FB -:0405E00000002000F7 -:0405E40000002000F3 -:0405E80000002000EF -:0405EC0000002000EB -:0405F00000002000E7 -:0405F40000002000E3 -:0405F80000002000DF -:0405FC0000002000DB -:0406000000002000D6 -:0406040000002000D2 -:0406080000002000CE -:04060C0000002000CA -:0406100000002000C6 -:0406140000002000C2 -:0406180000002000BE -:04061C0000002000BA -:0406200000002000B6 -:0406240000002000B2 -:0406280000002000AE -:04062C0000002000AA -:0406300000002000A6 -:0406340000002000A2 -:04063800000020009E -:04063C00000020009A -:040640000000200096 -:040644000000200092 -:04064800000020008E -:04064C00000020008A -:040650000000200086 -:040654000000200082 -:04065800000020007E -:04065C00000020007A -:040660000000200076 -:040664000000200072 -:04066800000020006E -:04066C00000020006A -:040670000000200066 -:040674000000200062 -:04067800000020005E -:04067C00000020005A -:040680000000200056 -:040684000000200052 -:04068800000020004E -:04068C00000020004A -:040690000000200046 -:040694000000200042 -:04069800000020003E -:04069C00000020003A -:0406A0000000200036 -:0406A4000000200032 -:0406A800000020002E -:0406AC00000020002A -:0406B0000000200026 -:0406B4000000200022 -:0406B800000020001E -:0406BC00000020001A -:0406C0000000200016 -:0406C4000000200012 -:0406C800000020000E -:0406CC00000020000A -:0406D0000000200006 -:0406D4000000200002 -:0406D80000002000FE -:0406DC0000002000FA -:0406E00000002000F6 -:0406E40000002000F2 -:0406E80000002000EE -:0406EC0000002000EA -:0406F00000002000E6 -:0406F40000002000E2 -:0406F80000002000DE -:0406FC0000002000DA -:0407000000002000D5 -:0407040000002000D1 -:0407080000002000CD -:04070C0000002000C9 -:0407100000002000C5 -:0407140000002000C1 -:0407180000002000BD -:04071C0000002000B9 -:0407200000002000B5 -:0407240000002000B1 -:0407280000002000AD -:04072C0000002000A9 -:0407300000002000A5 -:0407340000002000A1 -:04073800000020009D -:04073C000000200099 -:040740000000200095 -:040744000000200091 -:04074800000020008D -:04074C000000200089 -:040750000000200085 -:040754000000200081 -:04075800000020007D -:04075C000000200079 -:040760000000200075 -:040764000000200071 -:04076800000020006D -:04076C000000200069 -:040770000000200065 -:040774000000200061 -:04077800000020005D -:04077C000000200059 -:040780000000200055 -:040784000000200051 -:04078800000020004D -:04078C000000200049 -:040790000000200045 -:040794000000200041 -:04079800000020003D -:04079C000000200039 -:0407A0000000200035 -:0407A4000000200031 -:0407A800000020002D -:0407AC000000200029 -:0407B0000000200025 -:0407B4000000200021 -:0407B800000020001D -:0407BC000000200019 -:0407C0000000200015 -:0407C4000000200011 -:0407C800000020000D -:0407CC000000200009 -:0407D0000000200005 -:0407D4000000200001 -:0407D80000002000FD -:0407DC0000002000F9 -:0407E00000002000F5 -:0407E40000002000F1 -:0407E80000002000ED -:0407EC0000002000E9 -:0407F00000002000E5 -:0407F40000002000E1 -:0407F80000002000DD -:0407FC0000002000D9 -:0408000000002000D4 -:0408040000002000D0 -:0408080000002000CC -:04080C0000002000C8 -:0408100000002000C4 -:0408140000002000C0 -:0408180000002000BC -:04081C0000002000B8 -:0408200000002000B4 -:0408240000002000B0 -:0408280000002000AC -:04082C0000002000A8 -:0408300000002000A4 -:0408340000002000A0 -:04083800000020009C -:04083C000000200098 -:040840000000200094 -:040844000000200090 -:04084800000020008C -:04084C000000200088 -:040850000000200084 -:040854000000200080 -:04085800000020007C -:04085C000000200078 -:040860000000200074 -:040864000000200070 -:04086800000020006C -:04086C000000200068 -:040870000000200064 -:040874000000200060 -:04087800000020005C -:04087C000000200058 -:040880000000200054 -:040884000000200050 -:04088800000020004C -:04088C000000200048 -:040890000000200044 -:040894000000200040 -:04089800000020003C -:04089C000000200038 -:0408A0000000200034 -:0408A4000000200030 -:0408A800000020002C -:0408AC000000200028 -:0408B0000000200024 -:0408B4000000200020 -:0408B800000020001C -:0408BC000000200018 -:0408C0000000200014 -:0408C4000000200010 -:0408C800000020000C -:0408CC000000200008 -:0408D0000000200004 -:0408D4000000200000 -:0408D80000002000FC -:0408DC0000002000F8 -:0408E00000002000F4 -:0408E40000002000F0 -:0408E80000002000EC -:0408EC0000002000E8 -:0408F00000002000E4 -:0408F40000002000E0 -:0408F80000002000DC -:0408FC0000002000D8 -:0409000000002000D3 -:0409040000002000CF -:0409080000002000CB -:04090C0000002000C7 -:0409100000002000C3 -:0409140000002000BF -:0409180000002000BB -:04091C0000002000B7 -:0409200000002000B3 -:0409240000002000AF -:0409280000002000AB -:04092C0000002000A7 -:0409300000002000A3 -:04093400000020009F -:04093800000020009B -:04093C000000200097 -:040940000000200093 -:04094400000020008F -:04094800000020008B -:04094C000000200087 -:040950000000200083 -:04095400000020007F -:04095800000020007B -:04095C000000200077 -:040960000000200073 -:04096400000020006F -:04096800000020006B -:04096C000000200067 -:040970000000200063 -:04097400000020005F -:04097800000020005B -:04097C000000200057 -:040980000000200053 -:04098400000020004F -:04098800000020004B -:04098C000000200047 -:040990000000200043 -:04099400000020003F -:04099800000020003B -:04099C000000200037 -:0409A0000000200033 -:0409A400000020002F -:0409A800000020002B -:0409AC000000200027 -:0409B0000000200023 -:0409B400000020001F -:0409B800000020001B -:0409BC000000200017 -:0409C0000000200013 -:0409C400000020000F -:0409C800000020000B -:0409CC000000200007 -:0409D0000000200003 -:0409D40000002000FF -:0409D80000002000FB -:0409DC0000002000F7 -:0409E00000002000F3 -:0409E40000002000EF -:0409E80000002000EB -:0409EC0000002000E7 -:0409F00000002000E3 -:0409F40000002000DF -:0409F80000002000DB -:0409FC0000002000D7 -:040A000000002000D2 -:040A040000002000CE -:040A080000002000CA -:040A0C0000002000C6 -:040A100000002000C2 -:040A140000002000BE -:040A180000002000BA -:040A1C0000002000B6 -:040A200000002000B2 -:040A240000002000AE -:040A280000002000AA -:040A2C0000002000A6 -:040A300000002000A2 -:040A3400000020009E -:040A3800000020009A -:040A3C000000200096 -:040A40000000200092 -:040A4400000020008E -:040A4800000020008A -:040A4C000000200086 -:040A50000000200082 -:040A5400000020007E -:040A5800000020007A -:040A5C000000200076 -:040A60000000200072 -:040A6400000020006E -:040A6800000020006A -:040A6C000000200066 -:040A70000000200062 -:040A7400000020005E -:040A7800000020005A -:040A7C000000200056 -:040A80000000200052 -:040A8400000020004E -:040A8800000020004A -:040A8C000000200046 -:040A90000000200042 -:040A9400000020003E -:040A9800000020003A -:040A9C000000200036 -:040AA0000000200032 -:040AA400000020002E -:040AA800000020002A -:040AAC000000200026 -:040AB0000000200022 -:040AB400000020001E -:040AB800000020001A -:040ABC000000200016 -:040AC0000000200012 -:040AC400000020000E -:040AC800000020000A -:040ACC000000200006 -:040AD0000000200002 -:040AD40000002000FE -:040AD80000002000FA -:040ADC0000002000F6 -:040AE00000002000F2 -:040AE40000002000EE -:040AE80000002000EA -:040AEC0000002000E6 -:040AF00000002000E2 -:040AF40000002000DE -:040AF80000002000DA -:040AFC0000002000D6 -:040B000000002000D1 -:040B040000002000CD -:040B080000002000C9 -:040B0C0000002000C5 -:040B100000002000C1 -:040B140000002000BD -:040B180000002000B9 -:040B1C0000002000B5 -:040B200000002000B1 -:040B240000002000AD -:040B280000002000A9 -:040B2C0000002000A5 -:040B300000002000A1 -:040B3400000020009D -:040B38000000200099 -:040B3C000000200095 -:040B40000000200091 -:040B4400000020008D -:040B48000000200089 -:040B4C000000200085 -:040B50000000200081 -:040B5400000020007D -:040B58000000200079 -:040B5C000000200075 -:040B60000000200071 -:040B6400000020006D -:040B68000000200069 -:040B6C000000200065 -:040B70000000200061 -:040B7400000020005D -:040B78000000200059 -:040B7C000000200055 -:040B80000000200051 -:040B8400000020004D -:040B88000000200049 -:040B8C000000200045 -:040B90000000200041 -:040B9400000020003D -:040B98000000200039 -:040B9C000000200035 -:040BA0000000200031 -:040BA400000020002D -:040BA8000000200029 -:040BAC000000200025 -:040BB0000000200021 -:040BB400000020001D -:040BB8000000200019 -:040BBC000000200015 -:040BC0000000200011 -:040BC400000020000D -:040BC8000000200009 -:040BCC000000200005 -:040BD0000000200001 -:040BD40000002000FD -:040BD80000002000F9 -:040BDC0000002000F5 -:040BE00000002000F1 -:040BE40000002000ED -:040BE80000002000E9 -:040BEC0000002000E5 -:040BF00000002000E1 -:040BF40000002000DD -:040BF80000002000D9 -:040BFC0000002000D5 -:040C000000002000D0 -:040C040000002000CC -:040C080000002000C8 -:040C0C0000002000C4 -:040C100000002000C0 -:040C140000002000BC -:040C180000002000B8 -:040C1C0000002000B4 -:040C200000002000B0 -:040C240000002000AC -:040C280000002000A8 -:040C2C0000002000A4 -:040C300000002000A0 -:040C3400000020009C -:040C38000000200098 -:040C3C000000200094 -:040C40000000200090 -:040C4400000020008C -:040C48000000200088 -:040C4C000000200084 -:040C50000000200080 -:040C5400000020007C -:040C58000000200078 -:040C5C000000200074 -:040C60000000200070 -:040C6400000020006C -:040C68000000200068 -:040C6C000000200064 -:040C70000000200060 -:040C7400000020005C -:040C78000000200058 -:040C7C000000200054 -:040C80000000200050 -:040C8400000020004C -:040C88000000200048 -:040C8C000000200044 -:040C90000000200040 -:040C9400000020003C -:040C98000000200038 -:040C9C000000200034 -:040CA0000000200030 -:040CA400000020002C -:040CA8000000200028 -:040CAC000000200024 -:040CB0000000200020 -:040CB400000020001C -:040CB8000000200018 -:040CBC000000200014 -:040CC0000000200010 -:040CC400000020000C -:040CC8000000200008 -:040CCC000000200004 -:040CD0000000200000 -:040CD40000002000FC -:040CD80000002000F8 -:040CDC0000002000F4 -:040CE00000002000F0 -:040CE40000002000EC -:040CE80000002000E8 -:040CEC0000002000E4 -:040CF00000002000E0 -:040CF40000002000DC -:040CF80000002000D8 -:040CFC0000002000D4 -:040D000000002000CF -:040D040000002000CB -:040D080000002000C7 -:040D0C0000002000C3 -:040D100000002000BF -:040D140000002000BB -:040D180000002000B7 -:040D1C0000002000B3 -:040D200000002000AF -:040D240000002000AB -:040D280000002000A7 -:040D2C0000002000A3 -:040D3000000020009F -:040D3400000020009B -:040D38000000200097 -:040D3C000000200093 -:040D4000000020008F -:040D4400000020008B -:040D48000000200087 -:040D4C000000200083 -:040D5000000020007F -:040D5400000020007B -:040D58000000200077 -:040D5C000000200073 -:040D6000000020006F -:040D6400000020006B -:040D68000000200067 -:040D6C000000200063 -:040D7000000020005F -:040D7400000020005B -:040D78000000200057 -:040D7C000000200053 -:040D8000000020004F -:040D8400000020004B -:040D88000000200047 -:040D8C000000200043 -:040D9000000020003F -:040D9400000020003B -:040D98000000200037 -:040D9C000000200033 -:040DA000000020002F -:040DA400000020002B -:040DA8000000200027 -:040DAC000000200023 -:040DB000000020001F -:040DB400000020001B -:040DB8000000200017 -:040DBC000000200013 -:040DC000000020000F -:040DC400000020000B -:040DC8000000200007 -:040DCC000000200003 -:040DD00000002000FF -:040DD40000002000FB -:040DD80000002000F7 -:040DDC0000002000F3 -:040DE00000002000EF -:040DE40000002000EB -:040DE80000002000E7 -:040DEC0000002000E3 -:040DF00000002000DF -:040DF40000002000DB -:040DF80000002000D7 -:040DFC0000002000D3 -:040E000000002000CE -:040E040000002000CA -:040E080000002000C6 -:040E0C0000002000C2 -:040E100000002000BE -:040E140000002000BA -:040E180000002000B6 -:040E1C0000002000B2 -:040E200000002000AE -:040E240000002000AA -:040E280000002000A6 -:040E2C0000002000A2 -:040E3000000020009E -:040E3400000020009A -:040E38000000200096 -:040E3C000000200092 -:040E4000000020008E -:040E4400000020008A -:040E48000000200086 -:040E4C000000200082 -:040E5000000020007E -:040E5400000020007A -:040E58000000200076 -:040E5C000000200072 -:040E6000000020006E -:040E6400000020006A -:040E68000000200066 -:040E6C000000200062 -:040E7000000020005E -:040E7400000020005A -:040E78000000200056 -:040E7C000000200052 -:040E8000000020004E -:040E8400000020004A -:040E88000000200046 -:040E8C000000200042 -:040E9000000020003E -:040E9400000020003A -:040E98000000200036 -:040E9C000000200032 -:040EA000000020002E -:040EA400000020002A -:040EA8000000200026 -:040EAC000000200022 -:040EB000000020001E -:040EB400000020001A -:040EB8000000200016 -:040EBC000000200012 -:040EC000000020000E -:040EC400000020000A -:040EC8000000200006 -:040ECC000000200002 -:040ED00000002000FE -:040ED40000002000FA -:040ED80000002000F6 -:040EDC0000002000F2 -:040EE00000002000EE -:040EE40000002000EA -:040EE80000002000E6 -:040EEC0000002000E2 -:040EF00000002000DE -:040EF40000002000DA -:040EF80000002000D6 -:040EFC0000002000D2 -:040F000000002000CD -:040F040000002000C9 -:040F080000002000C5 -:040F0C0000002000C1 -:040F100000002000BD -:040F140000002000B9 -:040F180000002000B5 -:040F1C0000002000B1 -:040F200000002000AD -:040F240000002000A9 -:040F280000002000A5 -:040F2C0000002000A1 -:040F3000000020009D -:040F34000000200099 -:040F38000000200095 -:040F3C000000200091 -:040F4000000020008D -:040F44000000200089 -:040F48000000200085 -:040F4C000000200081 -:040F5000000020007D -:040F54000000200079 -:040F58000000200075 -:040F5C000000200071 -:040F6000000020006D -:040F64000000200069 -:040F68000000200065 -:040F6C000000200061 -:040F7000000020005D -:040F74000000200059 -:040F78000000200055 -:040F7C000000200051 -:040F8000000020004D -:040F84000000200049 -:040F88000000200045 -:040F8C000000200041 -:040F9000000020003D -:040F94000000200039 -:040F98000000200035 -:040F9C000000200031 -:040FA000000020002D -:040FA4000000200029 -:040FA8000000200025 -:040FAC000000200021 -:040FB000000020001D -:040FB4000000200019 -:040FB8000000200015 -:040FBC000000200011 -:040FC000000020000D -:040FC4000000200009 -:040FC8000000200005 -:040FCC000000200001 -:040FD00000002000FD -:040FD40000002000F9 -:040FD80000002000F5 -:040FDC0000002000F1 -:040FE00000002000ED -:040FE40000002000E9 -:040FE80000002000E5 -:040FEC0000002000E1 -:040FF00000002000DD -:040FF40000002000D9 -:040FF80000002000D5 -:040FFC0000002000D1 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_9.hex b/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_9.hex deleted file mode 100644 index ae43370003a96b8ad282faaa244394fadbba07e0..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/data/gains_1024_complex_16b13f_unit_9.hex +++ /dev/null @@ -1,1025 +0,0 @@ -:0400000000002000DC -:0400040000002000D8 -:0400080000002000D4 -:04000C0000002000D0 -:0400100000002000CC -:0400140000002000C8 -:0400180000002000C4 -:04001C0000002000C0 -:0400200000002000BC -:0400240000002000B8 -:0400280000002000B4 -:04002C0000002000B0 -:0400300000002000AC -:0400340000002000A8 -:0400380000002000A4 -:04003C0000002000A0 -:04004000000020009C -:040044000000200098 -:040048000000200094 -:04004C000000200090 -:04005000000020008C -:040054000000200088 -:040058000000200084 -:04005C000000200080 -:04006000000020007C -:040064000000200078 -:040068000000200074 -:04006C000000200070 -:04007000000020006C -:040074000000200068 -:040078000000200064 -:04007C000000200060 -:04008000000020005C -:040084000000200058 -:040088000000200054 -:04008C000000200050 -:04009000000020004C -:040094000000200048 -:040098000000200044 -:04009C000000200040 -:0400A000000020003C -:0400A4000000200038 -:0400A8000000200034 -:0400AC000000200030 -:0400B000000020002C -:0400B4000000200028 -:0400B8000000200024 -:0400BC000000200020 -:0400C000000020001C -:0400C4000000200018 -:0400C8000000200014 -:0400CC000000200010 -:0400D000000020000C -:0400D4000000200008 -:0400D8000000200004 -:0400DC000000200000 -:0400E00000002000FC -:0400E40000002000F8 -:0400E80000002000F4 -:0400EC0000002000F0 -:0400F00000002000EC -:0400F40000002000E8 -:0400F80000002000E4 -:0400FC0000002000E0 -:0401000000002000DB -:0401040000002000D7 -:0401080000002000D3 -:04010C0000002000CF -:0401100000002000CB -:0401140000002000C7 -:0401180000002000C3 -:04011C0000002000BF -:0401200000002000BB -:0401240000002000B7 -:0401280000002000B3 -:04012C0000002000AF -:0401300000002000AB -:0401340000002000A7 -:0401380000002000A3 -:04013C00000020009F -:04014000000020009B -:040144000000200097 -:040148000000200093 -:04014C00000020008F -:04015000000020008B -:040154000000200087 -:040158000000200083 -:04015C00000020007F -:04016000000020007B -:040164000000200077 -:040168000000200073 -:04016C00000020006F -:04017000000020006B -:040174000000200067 -:040178000000200063 -:04017C00000020005F -:04018000000020005B -:040184000000200057 -:040188000000200053 -:04018C00000020004F -:04019000000020004B -:040194000000200047 -:040198000000200043 -:04019C00000020003F -:0401A000000020003B -:0401A4000000200037 -:0401A8000000200033 -:0401AC00000020002F -:0401B000000020002B -:0401B4000000200027 -:0401B8000000200023 -:0401BC00000020001F -:0401C000000020001B -:0401C4000000200017 -:0401C8000000200013 -:0401CC00000020000F -:0401D000000020000B -:0401D4000000200007 -:0401D8000000200003 -:0401DC0000002000FF -:0401E00000002000FB -:0401E40000002000F7 -:0401E80000002000F3 -:0401EC0000002000EF -:0401F00000002000EB -:0401F40000002000E7 -:0401F80000002000E3 -:0401FC0000002000DF -:0402000000002000DA -:0402040000002000D6 -:0402080000002000D2 -:04020C0000002000CE -:0402100000002000CA -:0402140000002000C6 -:0402180000002000C2 -:04021C0000002000BE -:0402200000002000BA -:0402240000002000B6 -:0402280000002000B2 -:04022C0000002000AE -:0402300000002000AA -:0402340000002000A6 -:0402380000002000A2 -:04023C00000020009E -:04024000000020009A -:040244000000200096 -:040248000000200092 -:04024C00000020008E -:04025000000020008A -:040254000000200086 -:040258000000200082 -:04025C00000020007E -:04026000000020007A -:040264000000200076 -:040268000000200072 -:04026C00000020006E -:04027000000020006A -:040274000000200066 -:040278000000200062 -:04027C00000020005E -:04028000000020005A -:040284000000200056 -:040288000000200052 -:04028C00000020004E -:04029000000020004A -:040294000000200046 -:040298000000200042 -:04029C00000020003E -:0402A000000020003A -:0402A4000000200036 -:0402A8000000200032 -:0402AC00000020002E -:0402B000000020002A -:0402B4000000200026 -:0402B8000000200022 -:0402BC00000020001E -:0402C000000020001A -:0402C4000000200016 -:0402C8000000200012 -:0402CC00000020000E -:0402D000000020000A -:0402D4000000200006 -:0402D8000000200002 -:0402DC0000002000FE -:0402E00000002000FA -:0402E40000002000F6 -:0402E80000002000F2 -:0402EC0000002000EE -:0402F00000002000EA -:0402F40000002000E6 -:0402F80000002000E2 -:0402FC0000002000DE -:0403000000002000D9 -:0403040000002000D5 -:0403080000002000D1 -:04030C0000002000CD -:0403100000002000C9 -:0403140000002000C5 -:0403180000002000C1 -:04031C0000002000BD -:0403200000002000B9 -:0403240000002000B5 -:0403280000002000B1 -:04032C0000002000AD -:0403300000002000A9 -:0403340000002000A5 -:0403380000002000A1 -:04033C00000020009D -:040340000000200099 -:040344000000200095 -:040348000000200091 -:04034C00000020008D -:040350000000200089 -:040354000000200085 -:040358000000200081 -:04035C00000020007D -:040360000000200079 -:040364000000200075 -:040368000000200071 -:04036C00000020006D -:040370000000200069 -:040374000000200065 -:040378000000200061 -:04037C00000020005D -:040380000000200059 -:040384000000200055 -:040388000000200051 -:04038C00000020004D -:040390000000200049 -:040394000000200045 -:040398000000200041 -:04039C00000020003D -:0403A0000000200039 -:0403A4000000200035 -:0403A8000000200031 -:0403AC00000020002D -:0403B0000000200029 -:0403B4000000200025 -:0403B8000000200021 -:0403BC00000020001D -:0403C0000000200019 -:0403C4000000200015 -:0403C8000000200011 -:0403CC00000020000D -:0403D0000000200009 -:0403D4000000200005 -:0403D8000000200001 -:0403DC0000002000FD -:0403E00000002000F9 -:0403E40000002000F5 -:0403E80000002000F1 -:0403EC0000002000ED -:0403F00000002000E9 -:0403F40000002000E5 -:0403F80000002000E1 -:0403FC0000002000DD -:0404000000002000D8 -:0404040000002000D4 -:0404080000002000D0 -:04040C0000002000CC -:0404100000002000C8 -:0404140000002000C4 -:0404180000002000C0 -:04041C0000002000BC -:0404200000002000B8 -:0404240000002000B4 -:0404280000002000B0 -:04042C0000002000AC -:0404300000002000A8 -:0404340000002000A4 -:0404380000002000A0 -:04043C00000020009C -:040440000000200098 -:040444000000200094 -:040448000000200090 -:04044C00000020008C -:040450000000200088 -:040454000000200084 -:040458000000200080 -:04045C00000020007C -:040460000000200078 -:040464000000200074 -:040468000000200070 -:04046C00000020006C -:040470000000200068 -:040474000000200064 -:040478000000200060 -:04047C00000020005C -:040480000000200058 -:040484000000200054 -:040488000000200050 -:04048C00000020004C -:040490000000200048 -:040494000000200044 -:040498000000200040 -:04049C00000020003C -:0404A0000000200038 -:0404A4000000200034 -:0404A8000000200030 -:0404AC00000020002C -:0404B0000000200028 -:0404B4000000200024 -:0404B8000000200020 -:0404BC00000020001C -:0404C0000000200018 -:0404C4000000200014 -:0404C8000000200010 -:0404CC00000020000C -:0404D0000000200008 -:0404D4000000200004 -:0404D8000000200000 -:0404DC0000002000FC -:0404E00000002000F8 -:0404E40000002000F4 -:0404E80000002000F0 -:0404EC0000002000EC -:0404F00000002000E8 -:0404F40000002000E4 -:0404F80000002000E0 -:0404FC0000002000DC -:0405000000002000D7 -:0405040000002000D3 -:0405080000002000CF -:04050C0000002000CB -:0405100000002000C7 -:0405140000002000C3 -:0405180000002000BF -:04051C0000002000BB -:0405200000002000B7 -:0405240000002000B3 -:0405280000002000AF -:04052C0000002000AB -:0405300000002000A7 -:0405340000002000A3 -:04053800000020009F -:04053C00000020009B -:040540000000200097 -:040544000000200093 -:04054800000020008F -:04054C00000020008B -:040550000000200087 -:040554000000200083 -:04055800000020007F -:04055C00000020007B -:040560000000200077 -:040564000000200073 -:04056800000020006F -:04056C00000020006B -:040570000000200067 -:040574000000200063 -:04057800000020005F -:04057C00000020005B -:040580000000200057 -:040584000000200053 -:04058800000020004F -:04058C00000020004B -:040590000000200047 -:040594000000200043 -:04059800000020003F -:04059C00000020003B -:0405A0000000200037 -:0405A4000000200033 -:0405A800000020002F -:0405AC00000020002B -:0405B0000000200027 -:0405B4000000200023 -:0405B800000020001F -:0405BC00000020001B -:0405C0000000200017 -:0405C4000000200013 -:0405C800000020000F -:0405CC00000020000B -:0405D0000000200007 -:0405D4000000200003 -:0405D80000002000FF -:0405DC0000002000FB -:0405E00000002000F7 -:0405E40000002000F3 -:0405E80000002000EF -:0405EC0000002000EB -:0405F00000002000E7 -:0405F40000002000E3 -:0405F80000002000DF -:0405FC0000002000DB -:0406000000002000D6 -:0406040000002000D2 -:0406080000002000CE -:04060C0000002000CA -:0406100000002000C6 -:0406140000002000C2 -:0406180000002000BE -:04061C0000002000BA -:0406200000002000B6 -:0406240000002000B2 -:0406280000002000AE -:04062C0000002000AA -:0406300000002000A6 -:0406340000002000A2 -:04063800000020009E -:04063C00000020009A -:040640000000200096 -:040644000000200092 -:04064800000020008E -:04064C00000020008A -:040650000000200086 -:040654000000200082 -:04065800000020007E -:04065C00000020007A -:040660000000200076 -:040664000000200072 -:04066800000020006E -:04066C00000020006A -:040670000000200066 -:040674000000200062 -:04067800000020005E -:04067C00000020005A -:040680000000200056 -:040684000000200052 -:04068800000020004E -:04068C00000020004A -:040690000000200046 -:040694000000200042 -:04069800000020003E -:04069C00000020003A -:0406A0000000200036 -:0406A4000000200032 -:0406A800000020002E -:0406AC00000020002A -:0406B0000000200026 -:0406B4000000200022 -:0406B800000020001E -:0406BC00000020001A -:0406C0000000200016 -:0406C4000000200012 -:0406C800000020000E -:0406CC00000020000A -:0406D0000000200006 -:0406D4000000200002 -:0406D80000002000FE -:0406DC0000002000FA -:0406E00000002000F6 -:0406E40000002000F2 -:0406E80000002000EE -:0406EC0000002000EA -:0406F00000002000E6 -:0406F40000002000E2 -:0406F80000002000DE -:0406FC0000002000DA -:0407000000002000D5 -:0407040000002000D1 -:0407080000002000CD -:04070C0000002000C9 -:0407100000002000C5 -:0407140000002000C1 -:0407180000002000BD -:04071C0000002000B9 -:0407200000002000B5 -:0407240000002000B1 -:0407280000002000AD -:04072C0000002000A9 -:0407300000002000A5 -:0407340000002000A1 -:04073800000020009D -:04073C000000200099 -:040740000000200095 -:040744000000200091 -:04074800000020008D -:04074C000000200089 -:040750000000200085 -:040754000000200081 -:04075800000020007D -:04075C000000200079 -:040760000000200075 -:040764000000200071 -:04076800000020006D -:04076C000000200069 -:040770000000200065 -:040774000000200061 -:04077800000020005D -:04077C000000200059 -:040780000000200055 -:040784000000200051 -:04078800000020004D -:04078C000000200049 -:040790000000200045 -:040794000000200041 -:04079800000020003D -:04079C000000200039 -:0407A0000000200035 -:0407A4000000200031 -:0407A800000020002D -:0407AC000000200029 -:0407B0000000200025 -:0407B4000000200021 -:0407B800000020001D -:0407BC000000200019 -:0407C0000000200015 -:0407C4000000200011 -:0407C800000020000D -:0407CC000000200009 -:0407D0000000200005 -:0407D4000000200001 -:0407D80000002000FD -:0407DC0000002000F9 -:0407E00000002000F5 -:0407E40000002000F1 -:0407E80000002000ED -:0407EC0000002000E9 -:0407F00000002000E5 -:0407F40000002000E1 -:0407F80000002000DD -:0407FC0000002000D9 -:0408000000002000D4 -:0408040000002000D0 -:0408080000002000CC -:04080C0000002000C8 -:0408100000002000C4 -:0408140000002000C0 -:0408180000002000BC -:04081C0000002000B8 -:0408200000002000B4 -:0408240000002000B0 -:0408280000002000AC -:04082C0000002000A8 -:0408300000002000A4 -:0408340000002000A0 -:04083800000020009C -:04083C000000200098 -:040840000000200094 -:040844000000200090 -:04084800000020008C -:04084C000000200088 -:040850000000200084 -:040854000000200080 -:04085800000020007C -:04085C000000200078 -:040860000000200074 -:040864000000200070 -:04086800000020006C -:04086C000000200068 -:040870000000200064 -:040874000000200060 -:04087800000020005C -:04087C000000200058 -:040880000000200054 -:040884000000200050 -:04088800000020004C -:04088C000000200048 -:040890000000200044 -:040894000000200040 -:04089800000020003C -:04089C000000200038 -:0408A0000000200034 -:0408A4000000200030 -:0408A800000020002C -:0408AC000000200028 -:0408B0000000200024 -:0408B4000000200020 -:0408B800000020001C -:0408BC000000200018 -:0408C0000000200014 -:0408C4000000200010 -:0408C800000020000C -:0408CC000000200008 -:0408D0000000200004 -:0408D4000000200000 -:0408D80000002000FC -:0408DC0000002000F8 -:0408E00000002000F4 -:0408E40000002000F0 -:0408E80000002000EC -:0408EC0000002000E8 -:0408F00000002000E4 -:0408F40000002000E0 -:0408F80000002000DC -:0408FC0000002000D8 -:0409000000002000D3 -:0409040000002000CF -:0409080000002000CB -:04090C0000002000C7 -:0409100000002000C3 -:0409140000002000BF -:0409180000002000BB -:04091C0000002000B7 -:0409200000002000B3 -:0409240000002000AF -:0409280000002000AB -:04092C0000002000A7 -:0409300000002000A3 -:04093400000020009F -:04093800000020009B -:04093C000000200097 -:040940000000200093 -:04094400000020008F -:04094800000020008B -:04094C000000200087 -:040950000000200083 -:04095400000020007F -:04095800000020007B -:04095C000000200077 -:040960000000200073 -:04096400000020006F -:04096800000020006B -:04096C000000200067 -:040970000000200063 -:04097400000020005F -:04097800000020005B -:04097C000000200057 -:040980000000200053 -:04098400000020004F -:04098800000020004B -:04098C000000200047 -:040990000000200043 -:04099400000020003F -:04099800000020003B -:04099C000000200037 -:0409A0000000200033 -:0409A400000020002F -:0409A800000020002B -:0409AC000000200027 -:0409B0000000200023 -:0409B400000020001F -:0409B800000020001B -:0409BC000000200017 -:0409C0000000200013 -:0409C400000020000F -:0409C800000020000B -:0409CC000000200007 -:0409D0000000200003 -:0409D40000002000FF -:0409D80000002000FB -:0409DC0000002000F7 -:0409E00000002000F3 -:0409E40000002000EF -:0409E80000002000EB -:0409EC0000002000E7 -:0409F00000002000E3 -:0409F40000002000DF -:0409F80000002000DB -:0409FC0000002000D7 -:040A000000002000D2 -:040A040000002000CE -:040A080000002000CA -:040A0C0000002000C6 -:040A100000002000C2 -:040A140000002000BE -:040A180000002000BA -:040A1C0000002000B6 -:040A200000002000B2 -:040A240000002000AE -:040A280000002000AA -:040A2C0000002000A6 -:040A300000002000A2 -:040A3400000020009E -:040A3800000020009A -:040A3C000000200096 -:040A40000000200092 -:040A4400000020008E -:040A4800000020008A -:040A4C000000200086 -:040A50000000200082 -:040A5400000020007E -:040A5800000020007A -:040A5C000000200076 -:040A60000000200072 -:040A6400000020006E -:040A6800000020006A -:040A6C000000200066 -:040A70000000200062 -:040A7400000020005E -:040A7800000020005A -:040A7C000000200056 -:040A80000000200052 -:040A8400000020004E -:040A8800000020004A -:040A8C000000200046 -:040A90000000200042 -:040A9400000020003E -:040A9800000020003A -:040A9C000000200036 -:040AA0000000200032 -:040AA400000020002E -:040AA800000020002A -:040AAC000000200026 -:040AB0000000200022 -:040AB400000020001E -:040AB800000020001A -:040ABC000000200016 -:040AC0000000200012 -:040AC400000020000E -:040AC800000020000A -:040ACC000000200006 -:040AD0000000200002 -:040AD40000002000FE -:040AD80000002000FA -:040ADC0000002000F6 -:040AE00000002000F2 -:040AE40000002000EE -:040AE80000002000EA -:040AEC0000002000E6 -:040AF00000002000E2 -:040AF40000002000DE -:040AF80000002000DA -:040AFC0000002000D6 -:040B000000002000D1 -:040B040000002000CD -:040B080000002000C9 -:040B0C0000002000C5 -:040B100000002000C1 -:040B140000002000BD -:040B180000002000B9 -:040B1C0000002000B5 -:040B200000002000B1 -:040B240000002000AD -:040B280000002000A9 -:040B2C0000002000A5 -:040B300000002000A1 -:040B3400000020009D -:040B38000000200099 -:040B3C000000200095 -:040B40000000200091 -:040B4400000020008D -:040B48000000200089 -:040B4C000000200085 -:040B50000000200081 -:040B5400000020007D -:040B58000000200079 -:040B5C000000200075 -:040B60000000200071 -:040B6400000020006D -:040B68000000200069 -:040B6C000000200065 -:040B70000000200061 -:040B7400000020005D -:040B78000000200059 -:040B7C000000200055 -:040B80000000200051 -:040B8400000020004D -:040B88000000200049 -:040B8C000000200045 -:040B90000000200041 -:040B9400000020003D -:040B98000000200039 -:040B9C000000200035 -:040BA0000000200031 -:040BA400000020002D -:040BA8000000200029 -:040BAC000000200025 -:040BB0000000200021 -:040BB400000020001D -:040BB8000000200019 -:040BBC000000200015 -:040BC0000000200011 -:040BC400000020000D -:040BC8000000200009 -:040BCC000000200005 -:040BD0000000200001 -:040BD40000002000FD -:040BD80000002000F9 -:040BDC0000002000F5 -:040BE00000002000F1 -:040BE40000002000ED -:040BE80000002000E9 -:040BEC0000002000E5 -:040BF00000002000E1 -:040BF40000002000DD -:040BF80000002000D9 -:040BFC0000002000D5 -:040C000000002000D0 -:040C040000002000CC -:040C080000002000C8 -:040C0C0000002000C4 -:040C100000002000C0 -:040C140000002000BC -:040C180000002000B8 -:040C1C0000002000B4 -:040C200000002000B0 -:040C240000002000AC -:040C280000002000A8 -:040C2C0000002000A4 -:040C300000002000A0 -:040C3400000020009C -:040C38000000200098 -:040C3C000000200094 -:040C40000000200090 -:040C4400000020008C -:040C48000000200088 -:040C4C000000200084 -:040C50000000200080 -:040C5400000020007C -:040C58000000200078 -:040C5C000000200074 -:040C60000000200070 -:040C6400000020006C -:040C68000000200068 -:040C6C000000200064 -:040C70000000200060 -:040C7400000020005C -:040C78000000200058 -:040C7C000000200054 -:040C80000000200050 -:040C8400000020004C -:040C88000000200048 -:040C8C000000200044 -:040C90000000200040 -:040C9400000020003C -:040C98000000200038 -:040C9C000000200034 -:040CA0000000200030 -:040CA400000020002C -:040CA8000000200028 -:040CAC000000200024 -:040CB0000000200020 -:040CB400000020001C -:040CB8000000200018 -:040CBC000000200014 -:040CC0000000200010 -:040CC400000020000C -:040CC8000000200008 -:040CCC000000200004 -:040CD0000000200000 -:040CD40000002000FC -:040CD80000002000F8 -:040CDC0000002000F4 -:040CE00000002000F0 -:040CE40000002000EC -:040CE80000002000E8 -:040CEC0000002000E4 -:040CF00000002000E0 -:040CF40000002000DC -:040CF80000002000D8 -:040CFC0000002000D4 -:040D000000002000CF -:040D040000002000CB -:040D080000002000C7 -:040D0C0000002000C3 -:040D100000002000BF -:040D140000002000BB -:040D180000002000B7 -:040D1C0000002000B3 -:040D200000002000AF -:040D240000002000AB -:040D280000002000A7 -:040D2C0000002000A3 -:040D3000000020009F -:040D3400000020009B -:040D38000000200097 -:040D3C000000200093 -:040D4000000020008F -:040D4400000020008B -:040D48000000200087 -:040D4C000000200083 -:040D5000000020007F -:040D5400000020007B -:040D58000000200077 -:040D5C000000200073 -:040D6000000020006F -:040D6400000020006B -:040D68000000200067 -:040D6C000000200063 -:040D7000000020005F -:040D7400000020005B -:040D78000000200057 -:040D7C000000200053 -:040D8000000020004F -:040D8400000020004B -:040D88000000200047 -:040D8C000000200043 -:040D9000000020003F -:040D9400000020003B -:040D98000000200037 -:040D9C000000200033 -:040DA000000020002F -:040DA400000020002B -:040DA8000000200027 -:040DAC000000200023 -:040DB000000020001F -:040DB400000020001B -:040DB8000000200017 -:040DBC000000200013 -:040DC000000020000F -:040DC400000020000B -:040DC8000000200007 -:040DCC000000200003 -:040DD00000002000FF -:040DD40000002000FB -:040DD80000002000F7 -:040DDC0000002000F3 -:040DE00000002000EF -:040DE40000002000EB -:040DE80000002000E7 -:040DEC0000002000E3 -:040DF00000002000DF -:040DF40000002000DB -:040DF80000002000D7 -:040DFC0000002000D3 -:040E000000002000CE -:040E040000002000CA -:040E080000002000C6 -:040E0C0000002000C2 -:040E100000002000BE -:040E140000002000BA -:040E180000002000B6 -:040E1C0000002000B2 -:040E200000002000AE -:040E240000002000AA -:040E280000002000A6 -:040E2C0000002000A2 -:040E3000000020009E -:040E3400000020009A -:040E38000000200096 -:040E3C000000200092 -:040E4000000020008E -:040E4400000020008A -:040E48000000200086 -:040E4C000000200082 -:040E5000000020007E -:040E5400000020007A -:040E58000000200076 -:040E5C000000200072 -:040E6000000020006E -:040E6400000020006A -:040E68000000200066 -:040E6C000000200062 -:040E7000000020005E -:040E7400000020005A -:040E78000000200056 -:040E7C000000200052 -:040E8000000020004E -:040E8400000020004A -:040E88000000200046 -:040E8C000000200042 -:040E9000000020003E -:040E9400000020003A -:040E98000000200036 -:040E9C000000200032 -:040EA000000020002E -:040EA400000020002A -:040EA8000000200026 -:040EAC000000200022 -:040EB000000020001E -:040EB400000020001A -:040EB8000000200016 -:040EBC000000200012 -:040EC000000020000E -:040EC400000020000A -:040EC8000000200006 -:040ECC000000200002 -:040ED00000002000FE -:040ED40000002000FA -:040ED80000002000F6 -:040EDC0000002000F2 -:040EE00000002000EE -:040EE40000002000EA -:040EE80000002000E6 -:040EEC0000002000E2 -:040EF00000002000DE -:040EF40000002000DA -:040EF80000002000D6 -:040EFC0000002000D2 -:040F000000002000CD -:040F040000002000C9 -:040F080000002000C5 -:040F0C0000002000C1 -:040F100000002000BD -:040F140000002000B9 -:040F180000002000B5 -:040F1C0000002000B1 -:040F200000002000AD -:040F240000002000A9 -:040F280000002000A5 -:040F2C0000002000A1 -:040F3000000020009D -:040F34000000200099 -:040F38000000200095 -:040F3C000000200091 -:040F4000000020008D -:040F44000000200089 -:040F48000000200085 -:040F4C000000200081 -:040F5000000020007D -:040F54000000200079 -:040F58000000200075 -:040F5C000000200071 -:040F6000000020006D -:040F64000000200069 -:040F68000000200065 -:040F6C000000200061 -:040F7000000020005D -:040F74000000200059 -:040F78000000200055 -:040F7C000000200051 -:040F8000000020004D -:040F84000000200049 -:040F88000000200045 -:040F8C000000200041 -:040F9000000020003D -:040F94000000200039 -:040F98000000200035 -:040F9C000000200031 -:040FA000000020002D -:040FA4000000200029 -:040FA8000000200025 -:040FAC000000200021 -:040FB000000020001D -:040FB4000000200019 -:040FB8000000200015 -:040FBC000000200011 -:040FC000000020000D -:040FC4000000200009 -:040FC8000000200005 -:040FCC000000200001 -:040FD00000002000FD -:040FD40000002000F9 -:040FD80000002000F5 -:040FDC0000002000F1 -:040FE00000002000ED -:040FE40000002000E9 -:040FE80000002000E5 -:040FEC0000002000E1 -:040FF00000002000DD -:040FF40000002000D9 -:040FF80000002000D5 -:040FFC0000002000D1 -:00000001FF diff --git a/applications/disturb2/designs/disturb2_unb2b_station/tb/vhdl/tb_disturb2_unb2b_station.vhd b/applications/disturb2/designs/disturb2_unb2b_station/tb/vhdl/tb_disturb2_unb2b_station.vhd deleted file mode 100644 index b3db33f952c12c8ab95370bf0841b71d2292c91d..0000000000000000000000000000000000000000 --- a/applications/disturb2/designs/disturb2_unb2b_station/tb/vhdl/tb_disturb2_unb2b_station.vhd +++ /dev/null @@ -1,167 +0,0 @@ -------------------------------------------------------------------------------- --- --- Copyright 2022 --- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> --- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands --- --- Licensed under the Apache License, Version 2.0 (the "License"); --- you may not use this file except in compliance with the License. --- You may obtain a copy of the License at --- --- http://www.apache.org/licenses/LICENSE-2.0 --- --- Unless required by applicable law or agreed to in writing, software --- distributed under the License is distributed on an "AS IS" BASIS, --- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --- See the License for the specific language governing permissions and --- limitations under the License. --- -------------------------------------------------------------------------------- - --- Author: Reinier vd Walle --- Purpose: Tb to show that disturb2_unb2b_station can simulate --- Description: --- Must use c_sim = TRUE to speed up simulation --- This is a compile-only test bench --- Usage: --- Load sim # check that design can load in vsim --- > as 10 # check that the hierarchy for g_design_name is complete --- > run -a # check that design can simulate some us without error - -LIBRARY IEEE, common_lib, unb2b_board_lib, i2c_lib; -USE IEEE.std_logic_1164.ALL; -USE IEEE.numeric_std.ALL; -USE common_lib.common_pkg.ALL; -USE unb2b_board_lib.unb2b_board_pkg.ALL; -USE common_lib.tb_common_pkg.ALL; - -ENTITY tb_disturb2_unb2b_station IS -END tb_disturb2_unb2b_station; - -ARCHITECTURE tb OF tb_disturb2_unb2b_station IS - - CONSTANT c_sim : BOOLEAN := TRUE; - CONSTANT c_unb_nr : NATURAL := 0; -- UniBoard 0 - CONSTANT c_node_nr : NATURAL := 0; -- Back node 3 - CONSTANT c_id : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; - CONSTANT c_version : STD_LOGIC_VECTOR(1 DOWNTO 0) := "00"; - CONSTANT c_fw_version : t_unb2b_board_fw_version := (1, 0); - - CONSTANT c_eth_clk_period : TIME := 8 ns; -- 125 MHz XO on UniBoard - CONSTANT c_ext_clk_period : TIME := 5 ns; - CONSTANT c_bck_ref_clk_period : TIME := 5 ns; - CONSTANT c_pps_period : NATURAL := 1000; - - -- Tb - SIGNAL tb_end : STD_LOGIC := '0'; - SIGNAL sim_done : STD_LOGIC := '0'; - - -- DUT - SIGNAL ext_clk : STD_LOGIC := '0'; - SIGNAL pps : STD_LOGIC := '0'; - SIGNAL pps_rst : STD_LOGIC := '0'; - - SIGNAL WDI : STD_LOGIC; - SIGNAL INTA : STD_LOGIC; - SIGNAL INTB : STD_LOGIC; - - SIGNAL eth_clk : STD_LOGIC := '0'; - SIGNAL eth_txp : STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 downto 0); - SIGNAL eth_rxp : STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 downto 0); - - SIGNAL sens_scl : STD_LOGIC; - SIGNAL sens_sda : STD_LOGIC; - SIGNAL pmbus_scl : STD_LOGIC; - SIGNAL pmbus_sda : STD_LOGIC; - - -- back transceivers - SIGNAL JESD204B_SERIAL_DATA : STD_LOGIC_VECTOR((c_unb2b_board_tr_jesd204b.bus_w * c_unb2b_board_tr_jesd204b.nof_bus)-1 downto 0); - SIGNAL JESD204B_REFCLK : STD_LOGIC := '1'; - - -- jesd204b syncronization signals - SIGNAL jesd204b_sysref : STD_LOGIC; - SIGNAL jesd204b_sync_n : STD_LOGIC_VECTOR(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0); - - -BEGIN - - - ---------------------------------------------------------------------------- - -- System setup - ---------------------------------------------------------------------------- - ext_clk <= NOT ext_clk AFTER c_ext_clk_period/2; -- External clock (200 MHz) - eth_clk <= NOT eth_clk AFTER c_eth_clk_period/2; -- Ethernet ref clock (125 MHz) - JESD204B_REFCLK <= NOT JESD204B_REFCLK AFTER c_bck_ref_clk_period/2; -- JESD sample clock (200MHz) - - INTA <= 'H'; -- pull up - INTB <= 'H'; -- pull up - - sens_scl <= 'H'; -- pull up - sens_sda <= 'H'; -- pull up - pmbus_scl <= 'H'; -- pull up - pmbus_sda <= 'H'; -- pull up - - ------------------------------------------------------------------------------ - -- External PPS - ------------------------------------------------------------------------------ - proc_common_gen_pulse(1, c_pps_period, '1', pps_rst, ext_clk, pps); - jesd204b_sysref <= pps; - - ------------------------------------------------------------------------------ - -- DUT - ------------------------------------------------------------------------------ - u_lofar_unb2b_adc : ENTITY work.disturb2_unb2b_station - GENERIC MAP ( - g_design_name => "disturb2_unb2b_station", - g_design_note => "Disturb2 default design", - g_sim => c_sim, - g_sim_unb_nr => c_unb_nr, - g_sim_node_nr => c_node_nr - ) - PORT MAP ( - -- GENERAL - CLK => ext_clk, - PPS => pps, - WDI => WDI, - INTA => INTA, - INTB => INTB, - - -- Others - VERSION => c_version, - ID => c_id, - TESTIO => open, - - -- I2C Interface to Sensors - SENS_SC => sens_scl, - SENS_SD => sens_sda, - - PMBUS_SC => pmbus_scl, - PMBUS_SD => pmbus_sda, - PMBUS_ALERT => open, - - -- 1GbE Control Interface - ETH_CLK => eth_clk, - ETH_SGIN => eth_rxp, - ETH_SGOUT => eth_txp, - - -- LEDs - QSFP_LED => open, - - -- back transceivers - JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA, - JESD204B_REFCLK => JESD204B_REFCLK, - - -- jesd204b syncronization signals - JESD204B_SYSREF => jesd204b_sysref, - JESD204B_SYNC_N => jesd204b_sync_n - ); - - - ------------------------------------------------------------------------------ - -- Simulation end - ------------------------------------------------------------------------------ - sim_done <= '0', '1' AFTER 1 us; - - proc_common_stop_simulation(TRUE, ext_clk, sim_done, tb_end); - -END tb; diff --git a/applications/disturb2/libraries/disturb/disturb.peripheral.yaml b/applications/disturb2/libraries/disturb/disturb.peripheral.yaml deleted file mode 100644 index 4d4b20603cd7c23e2d9cc79060b69e2ad32dd351..0000000000000000000000000000000000000000 --- a/applications/disturb2/libraries/disturb/disturb.peripheral.yaml +++ /dev/null @@ -1,461 +0,0 @@ -schema_name: args -schema_version: 1.0 -schema_type: peripheral - -hdl_library_name: disturb -hdl_library_description: "Station Digital Processor (SDP) for DISTURB2 (Based on SDP for LOFAR2.0)" - -peripherals: - - peripheral_name: sdp_info # pi_sdp_info.py - peripheral_description: "SDP info." - mm_ports: - # MM port for sdp_info.vhd - - mm_port_name: REG_SDP_INFO - mm_port_type: REG - mm_port_span: 16 * MM_BUS_SIZE - mm_port_description: | - "The SDP info contains central SDP information. The station_id applies to the entire station. - The other info fields apply per antenna band (low band or high band). An FPGA node only - participates in one band." - fields: - - - { field_name: station_id, mm_width: 16, access_mode: RW, address_offset: 0x1C } - - - { field_name: antenna_band_index, mm_width: 1, access_mode: RW, address_offset: 0x18 } - - - { field_name: observation_id, mm_width: 32, access_mode: RW, address_offset: 0x14 } - - - { field_name: nyquist_zone_index, mm_width: 2, access_mode: RW, address_offset: 0x10 } - - - { field_name: f_adc, mm_width: 1, access_mode: RO, address_offset: 0xC } - - - { field_name: fsub_type, mm_width: 1, access_mode: RO, address_offset: 0x8 } - - - { field_name: beam_repositioning_flag, mm_width: 1, access_mode: RW, address_offset: 0x4 } - - - { field_name: block_period, mm_width: 16, access_mode: RO, address_offset: 0x0 } - - - - peripheral_name: sdp_crosslets_subband_select # pi_sdp_crosslets_info.py - peripheral_description: "SDP crosslets info." - mm_ports: - # MM port for sdp_info.vhd - - mm_port_name: REG_CROSSLETS_INFO - mm_port_type: REG - mm_port_span: 16 * MM_BUS_SIZE - mm_port_description: | - "The SDP crosslets info contains the step size and 15 offsets, that are used to select a new - crosslet subband for every integration interval" - fields: - - - { field_name: step, access_mode: RW, address_offset: 0x3C } - - - field_name: offset - number_of_fields: 15 - address_offset: 0x0 - - - peripheral_name: sdp_nof_crosslets # pi_sdp_nof_crosslets.py - peripheral_description: "SDP nof crosslets." - mm_ports: - - mm_port_name: REG_NOF_CROSSLETS - mm_port_type: REG - mm_port_span: 2 * MM_BUS_SIZE - mm_port_description: | - "The SDP nof crosslets contains the number of crosslets that are being sent out the UDP offload - where 1 <= nof_crosslets <= N_crosslets_max" - fields: - - - { field_name: nof_crosslets, access_mode: RW, address_offset: 0x0 } - - - { field_name: unused, access_mode: RW, address_offset: 0x4 } - - - peripheral_name: sdp_subband_equalizer # pi_sdp_subband_equalizer.py - peripheral_description: "SDP Subband equalizer coefficients." - parameters: - # Parameters of pi_sdp_subband_equalizer.py, fixed in sdp_subband_equalizer.vhd / sdp_pkg.vhd - - { name: P_pfb, value: 6 } # P_pfb = S_pn / Q_fft = 12 / 2 = 6 - - { name: Q_fft, value: 2 } - - { name: N_sub, value: 512 } - mm_ports: - # MM port for sdp_subband_equalizer.vhd - - mm_port_name: RAM_EQUALIZER_GAINS - mm_port_type: RAM - mm_port_span: ceil_pow2(Q_fft * N_sub) * MM_BUS_SIZE - mm_port_description: | - "The subband weigths are stored in P_pfb = S_pn / Q_fft = 6 blocks of - Q_fft * N_sub = 2 * 512 = 1024 complex coefficients as: - - (cint16)subband_weights[S_pn/Q_fft]_[Q_fft][N_sub] - - where S_pn = 12, Q_fft = 2 and N_sub = 512 are defined in sdp_pkg.vhd." - number_of_mm_ports: P_pfb - fields: - - - field_name: coef - field_description: | - "Complex coefficient to calibrate the gain and phase per subband. Packed as imaginary in high part, - real in low part of mm_width = N_complex * W_sub_weight = 2 * 16 = 32 bit." - number_of_fields: Q_fft * N_sub # = 1024 = 2 signal inputs * 512 subbands - address_offset: 0x0 - mm_width: 32 # = N_complex * W_sub_weight - radix: cint16_ir - - - - peripheral_name: sdp_bf_weights # pi_sdp_bf_weights.py - peripheral_description: "SDP Beamformer weights (= beamlet weights)." - parameters: - # Parameters of pi_sdp_bf_weights.py, fixed in sdp_bf_weights.vhd / sdp_pkg.vhd - - { name: N_pol_bf, value: 2 } - - { name: P_pfb, value: 6 } # P_pfb = S_pn / Q_fft = 12 / 2 = 6 - - { name: Q_fft, value: 2 } - - { name: S_sub_bf, value: 488 } - mm_ports: - # MM port for sdp_beamformer_local.vhd / sdp_bf_weights.vhd / mms_dp_gain_serial_arr.vhd - - mm_port_name: RAM_BF_WEIGHTS - mm_port_type: RAM - mm_port_span: ceil_pow2(Q_fft * S_sub_bf) * MM_BUS_SIZE - mm_port_description: | - "The beamlet weigths are stored in N_pol_bf * P_pfb = 2 * 6 = 12 instances, where - P_pfb = S_pn / Q_fft = 6. Per instance there is a block of Q_fft * S_sub_bf = - 2 * 488 = 976 complex BF weights. The N_pol_bf = 2 represents the two beamformer - polarizations, to distinguish these from the N_pol = 2 antenna polarizations. The - beamlet weigths for S_pn = P_pfb * Q_fft = 12 signal inputs are therefore defined by: - - (cint16)bf_weights[N_pol_bf][P_pfb]_[Q_fft][S_sub_bf] - - where N_pol_bf = 2, P_pfb = 6 and Q_fft = 2 and S_sub_bf = 488, defined in sdp_pkg.vhd. - - The pairs of Q_fft signal inputs that are multiplexed per block are mapped to the N_pol = 2 - polarizations of an antenna. Therefore A_pn = P_pfb = 6 is the number dual polarization - antennas per peripheral. The beamlet weigths for S_pn = A_pn * N_pol = 12 signal inputs - are therefore defined by: - - (cint16)bf_weights[N_pol_bf][A_pn]_[N_pol][S_sub_bf] - - where N_pol_bf = 2, A_pn = 6 and N_pol = 2 and S_sub_bf = 488, defined in sdp_pkg.vhd. - - The BF weights can implement the full 2x2 Jones matrix for weighting and adding the - signal input polarizations [x, y] per dual polarization antenna. The polarization index - mapping is index 0 = X and index 1 = Y. The co-polarization BF weights (XX, YY) are set - when index of N_pol_bf and index of N_pol are the same. The cross-polarization BF - weights (XY, YX) are set when index of N_pol_bf and index of N_pol are different. If - no cross-polarization weighting is needed, then these weights can be kept 0." - number_of_mm_ports: N_pol_bf * P_pfb # = 12 = 2 beam polarizations * 6 complex PFB units - fields: - - - field_name: coef - field_description: | - "Complex weight per subband. Packed as imaginary in high part, real in low part - of mm_width = N_complex * W_bf_weight = 2 * 16 = 32 bit." - number_of_fields: Q_fft * S_sub_bf # = 976 = 2 signal inputs * 488 beamlets - address_offset: 0x0 - mm_width: 32 # = N_complex * W_bf_weight - radix: cint16_ir - - - - peripheral_name: sdp_bf_scale # pi_sdp_bf_scale.py - peripheral_description: "SDP BF beamlet data output scaling and requantization." - parameters: - # Parameters fixed in node_sdp_beamformer.vhd / mms_dp_scale.vhd / sdp_pkg.vhd - - { name: g_gain_w, value: 16 } - - { name: g_lsb_w, value: 15 } - mm_ports: - # MM port for node_sdp_beamformer.vhd / mms_dp_scale.vhd / mms_dp_gain.vhd / mms_dp_gain_arr.vhd - - mm_port_name: REG_BF_SCALE - mm_port_type: REG - mm_port_span: 2 * MM_BUS_SIZE - mm_port_description: | - "The beamlet scale function scales the beamlet sum with a real scale factor and then - requantizes the result to beamlet data output with less bits. - The beamlet scale factor has g_gain_w bits and the value 2**g_lsb_w represents a gain of 1. - For example for g_gain_w = 16, g_lsb_w = 15, a beamlet sum of 18 bits and beamlet data - output of 8 bits, a scale value of: - . 2**g_lsb_w = 2**15 selects the lowest 8 bits of the beamlet sum and clips the highest - 10 bits, - . 2**11 rounds the lowest 4 bits, selects the next 8 bits of the beamlet sum and clips - the highest 6 bits, - . 2**5 rounds the lowest 10 bits and selects the highest 8 bits of the beamlet sum." - fields: - - - field_name: scale - field_description: "" - number_of_fields: 1 - address_offset: 0x0 - mm_width: g_gain_w - #user_width: g_gain_w # EK TODO: check parameter passing to user_width - radix: uint32 # scale factor is unsigned value - resolution_w: 0 - g_lsb_w - - - field_name: unused - field_description: "Not used." - address_offset: 0x4 - - - - peripheral_name: sdp_beamformer_output_hdr_dat # pi_dp_offload_tx_hdr_dat_lofar2_beamformer_output.py - peripheral_description: "SDP BF beamlet data output header." - mm_ports: - # MM port for sdp_beamformer_output.vhd / dp_offload_tx_v3.vhd - - mm_port_name: REG_DP_OFFLOAD_TX_HDR_DAT - mm_port_type: REG - mm_port_span: 64 * MM_BUS_SIZE - mm_port_description: | - "The ETH/IP/UDP/application header fields for the beamlet data output offload UDP packets. - - The header fields are described in ICD STAT-CEP [1]. - - https://plm.astron.nl/polarion/#/project/LOFAR2System/wiki/L1%20Interface%20Control%20Documents/STAT%20to%20CEP%20ICD - - From tb_dp_offload_tx_v3.vhd simulation it follows that: - . the header fields are stored in reversed address order due to that the array in VHDL has - range (h downto 0) where the first header field (eth_destination_mac) is at index h. - . the RO fields are filled in by the logic, when the packet header is transmitted, however - the read value does not still represents the MM write value, not the transmitted value. - . dp_bsn with user_width = 64 is stored as: - word byte - addr addr bits - 0 0x0 [31:0] = dp_bsn[31:0] - 1 0x4 [31:0] = dp_bsn[63:32] - . eth_dst_mac with user_width = 48 is stored as: - word byte - addr addr bits - 21 0x84 [31:0] = eth_dst_mac[31:0] - 22 0x88 [15:0] = eth_dst_mac[47:32] - " - fields: - # eth field group - - - { field_name: eth_destination_mac, mm_width: 32, user_width: 48, radix: uint64, access_mode: RW, address_offset: 0xA0 } - - - { field_name: eth_source_mac, mm_width: 32, user_width: 48, radix: uint64, access_mode: RO, address_offset: 0x98 } - - - { field_name: eth_type, mm_width: 16, access_mode: RO, address_offset: 0x94 } - # ip field group - - - { field_name: ip_version, mm_width: 4, access_mode: RW, address_offset: 0x90 } - - - { field_name: ip_header_length, mm_width: 4, access_mode: RW, address_offset: 0x8C } - - - { field_name: ip_services, mm_width: 8, access_mode: RW, address_offset: 0x88 } - - - { field_name: ip_total_length, mm_width: 16, access_mode: RW, address_offset: 0x84 } - - - { field_name: ip_identification, mm_width: 16, access_mode: RW, address_offset: 0x80 } - - - { field_name: ip_flags, mm_width: 3, access_mode: RW, address_offset: 0x7C } - - - { field_name: ip_fragment_offset, mm_width: 13, access_mode: RW, address_offset: 0x78 } - - - { field_name: ip_time_to_live, mm_width: 8, access_mode: RW, address_offset: 0x74 } - - - { field_name: ip_protocol, mm_width: 8, access_mode: RW, address_offset: 0x70 } - - - { field_name: ip_header_checksum, mm_width: 16, access_mode: RW, address_offset: 0x6C } - - - { field_name: ip_source_address, mm_width: 32, access_mode: RW, address_offset: 0x68 } - - - { field_name: ip_destination_address, mm_width: 32, access_mode: RW, address_offset: 0x64 } - # udp field group - - - { field_name: udp_source_port, mm_width: 16, access_mode: RW, address_offset: 0x60 } - - - { field_name: udp_destination_port, mm_width: 16, access_mode: RW, address_offset: 0x5C } - - - { field_name: udp_length, mm_width: 16, access_mode: RW, address_offset: 0x58 } - - - { field_name: udp_checksum, mm_width: 16, access_mode: RW, address_offset: 0x54 } - # application field group - - - { field_name: sdp_marker, mm_width: 8, access_mode: RO, address_offset: 0x50 } - - - { field_name: sdp_version_id, mm_width: 8, access_mode: RO, address_offset: 0x4C } - - - { field_name: sdp_observation_id, mm_width: 32, access_mode: RW, address_offset: 0x48 } - - - { field_name: sdp_station_id, mm_width: 16, access_mode: RW, address_offset: 0x44 } - - - { field_name: sdp_source_info_antenna_band_index, mm_width: 1, bit_offset: 15, access_mode: RW, address_offset: 0x40 } - - - { field_name: sdp_source_info_nyquist_zone_index, mm_width: 2, bit_offset: 13, access_mode: RW, address_offset: 0x3C } - - - { field_name: sdp_source_info_f_adc, mm_width: 1, bit_offset: 12, access_mode: RW, address_offset: 0x38 } - - - { field_name: sdp_source_info_fsub_type, mm_width: 1, bit_offset: 11, access_mode: RW, address_offset: 0x34 } - - - { field_name: sdp_source_info_payload_error, mm_width: 1, bit_offset: 10, access_mode: RW, address_offset: 0x30 } - - - { field_name: sdp_source_info_repositioning_flag, mm_width: 1, bit_offset: 9, access_mode: RW, address_offset: 0x2C } - - - { field_name: sdp_source_info_beamlet_width, mm_width: 3, bit_offset: 5, access_mode: RW, address_offset: 0x28 } - - - { field_name: sdp_source_info_gn_index, mm_width: 5, bit_offset: 0, access_mode: RW, address_offset: 0x24 } - - - - { field_name: sdp_reserved, mm_width: 32, user_width: 40, radix: uint64, access_mode: RW, address_offset: 0x1C } - - - { field_name: sdp_beamlet_scale, mm_width: 16, access_mode: RW, address_offset: 0x18 } - - - { field_name: sdp_beamlet_index, mm_width: 16, access_mode: RW, address_offset: 0x14 } - - - { field_name: sdp_nof_blocks_per_packet, mm_width: 8, access_mode: RW, address_offset: 0x10 } - - - { field_name: sdp_nof_beamlets_per_block, mm_width: 16, access_mode: RW, address_offset: 0xC } - - - { field_name: sdp_block_period, mm_width: 16, access_mode: RW, address_offset: 0x8 } - - - { field_name: BSN, mm_width: 32, user_width: 64, radix: uint64, access_mode: RW, address_offset: 0x0 } - - - - peripheral_name: sdp_statistics_offload_hdr_dat_sst # pi_dp_offload_tx_hdr_dat_lofar2_sdp_statistics_offload.py - peripheral_description: "SDP statistics offload header for the subband statistics (SST)." - mm_ports: - # MM port for sdp_statistics_offload.vhd / dp_offload_tx_v3.vhd - - mm_port_name: REG_DP_OFFLOAD_TX_HDR_DAT - mm_port_type: REG - mm_port_span: 64 * MM_BUS_SIZE - mm_port_description: | - "The ETH/IP/UDP/application header fields for the SST offload UDP packets. - - The Subband statistics (SST) are integrated auto power values of the subbands per signal input. - The SST specific settings are defined by data_id_sst. - - The statistics offload header fields are described in ICD SC-SDP [1]. - - [1] https://plm.astron.nl/polarion/#/project/LOFAR2System/wiki/L2%20Interface%20Control%20Documents/SC%20to%20SDP%20ICD - " - fields: - # eth field group - - - { field_name: word_align, mm_width: 16, access_mode: RW, address_offset: 0xAC } - - - { field_name: eth_destination_mac, mm_width: 32, user_width: 48, radix: uint64, access_mode: RW, address_offset: 0xA4 } - - - { field_name: eth_source_mac, mm_width: 32, user_width: 48, radix: uint64, access_mode: RO, address_offset: 0x9C } - - - { field_name: eth_type, mm_width: 16, access_mode: RO, address_offset: 0x98 } - # ip field group - - - { field_name: ip_version, mm_width: 4, access_mode: RW, address_offset: 0x94 } - - - { field_name: ip_header_length, mm_width: 4, access_mode: RW, address_offset: 0x90 } - - - { field_name: ip_services, mm_width: 8, access_mode: RW, address_offset: 0x8C } - - - { field_name: ip_total_length, mm_width: 16, access_mode: RW, address_offset: 0x88 } - - - { field_name: ip_identification, mm_width: 16, access_mode: RW, address_offset: 0x84 } - - - { field_name: ip_flags, mm_width: 3, access_mode: RW, address_offset: 0x80 } - - - { field_name: ip_fragment_offset, mm_width: 13, access_mode: RW, address_offset: 0x7C } - - - { field_name: ip_time_to_live, mm_width: 8, access_mode: RW, address_offset: 0x78 } - - - { field_name: ip_protocol, mm_width: 8, access_mode: RW, address_offset: 0x74 } - - - { field_name: ip_header_checksum, mm_width: 16, access_mode: RW, address_offset: 0x70 } - - - { field_name: ip_source_address, mm_width: 32, access_mode: RW, address_offset: 0x6C } - - - { field_name: ip_destination_address, mm_width: 32, access_mode: RW, address_offset: 0x68 } - # udp field group - - - { field_name: udp_source_port, mm_width: 16, access_mode: RW, address_offset: 0x64 } - - - { field_name: udp_destination_port, mm_width: 16, access_mode: RW, address_offset: 0x60 } - - - { field_name: udp_length, mm_width: 16, access_mode: RW, address_offset: 0x5C } - - - { field_name: udp_checksum, mm_width: 16, access_mode: RW, address_offset: 0x58 } - # application field group - - - { field_name: sdp_marker, mm_width: 8, access_mode: RO, address_offset: 0x54 } - - - { field_name: sdp_version_id, mm_width: 8, access_mode: RO, address_offset: 0x50 } - - - { field_name: sdp_observation_id, mm_width: 32, access_mode: RW, address_offset: 0x4C } - - - { field_name: sdp_station_id, mm_width: 16, access_mode: RW, address_offset: 0x48 } - - - { field_name: sdp_source_info_antenna_band_index, mm_width: 1, bit_offset: 15, access_mode: RW, address_offset: 0x44 } - - - { field_name: sdp_source_info_nyquist_zone_index, mm_width: 2, bit_offset: 13, access_mode: RW, address_offset: 0x40 } - - - { field_name: sdp_source_info_f_adc, mm_width: 1, bit_offset: 12, access_mode: RW, address_offset: 0x3C } - - - { field_name: sdp_source_info_fsub_type, mm_width: 1, bit_offset: 11, access_mode: RW, address_offset: 0x38 } - - - { field_name: sdp_source_info_payload_error, mm_width: 1, bit_offset: 10, access_mode: RW, address_offset: 0x34 } - - - { field_name: sdp_source_info_beam_repositioning_flag, mm_width: 1, bit_offset: 9, access_mode: RW, address_offset: 0x30 } - - - { field_name: sdp_source_info_weighted_subbands_flag, mm_width: 1, bit_offset: 8, access_mode: RW, address_offset: 0x2C } - - - { field_name: sdp_source_info_reserved, mm_width: 3, bit_offset: 5, access_mode: RW, address_offset: 0x28 } - - - { field_name: sdp_source_info_gn_index, mm_width: 5, bit_offset: 0, access_mode: RW, address_offset: 0x24 } - - - { field_name: sdp_reserved, mm_width: 8, access_mode: RW, address_offset: 0x20 } - - - { field_name: sdp_integration_interval, mm_width: 24, access_mode: RW, address_offset: 0x1C } - - - { field_name: sdp_data_id, mm_width: 32, access_mode: RW, address_offset: 0x18 } - - "sdp_data_id_sst": - - { field_name: reserved, mm_width: 24, bit_offset: 8, access_mode: RW, address_offset: 0x18 } - - { field_name: signal_input_index, mm_width: 8, bit_offset: 0, access_mode: RW, address_offset: 0x18 } - - - - { field_name: sdp_nof_signal_inputs, mm_width: 8, access_mode: RW, address_offset: 0x14 } - - - { field_name: sdp_nof_bytes_per_statistic, mm_width: 8, access_mode: RW, address_offset: 0x10 } - - - { field_name: sdp_nof_statistics_per_packet, mm_width: 16, access_mode: RW, address_offset: 0xC } - - - { field_name: sdp_block_period, mm_width: 16, access_mode: RW, address_offset: 0x8 } - - - { field_name: BSN, mm_width: 32, user_width: 64, radix: uint64, access_mode: RW, address_offset: 0x0 } - - - - peripheral_name: sdp_statistics_offload_hdr_dat_bst # pi_dp_offload_tx_hdr_dat_lofar2_sdp_statistics_offload.py - peripheral_description: "SDP statistics offload header for the beamlet statistics (BST)." - mm_ports: - # MM port for sdp_statistics_offload.vhd / dp_offload_tx_v3.vhd - - mm_port_name: REG_DP_OFFLOAD_TX_HDR_DAT - mm_port_type: REG - mm_port_span: 64 * MM_BUS_SIZE - mm_port_description: | - "The ETH/IP/UDP/application header fields for the BST offload UDP packets. - - The beamlet statistics (BST) are integrated auto power values of the beamlets per beamset - The BST specific settings are defined by data_id_bst. - - The statistics offload header fields are described in ICD SC-SDP [1]. - - [1] https://plm.astron.nl/polarion/#/project/LOFAR2System/wiki/L2%20Interface%20Control%20Documents/SC%20to%20SDP%20ICD - " - fields: - # eth field group - - - { field_name: word_align, mm_width: 16, access_mode: RW, address_offset: 0xAC } - - - { field_name: eth_destination_mac, mm_width: 32, user_width: 48, radix: uint64, access_mode: RW, address_offset: 0xA4 } - - - { field_name: eth_source_mac, mm_width: 32, user_width: 48, radix: uint64, access_mode: RO, address_offset: 0x9C } - - - { field_name: eth_type, mm_width: 16, access_mode: RO, address_offset: 0x98 } - # ip field group - - - { field_name: ip_version, mm_width: 4, access_mode: RW, address_offset: 0x94 } - - - { field_name: ip_header_length, mm_width: 4, access_mode: RW, address_offset: 0x90 } - - - { field_name: ip_services, mm_width: 8, access_mode: RW, address_offset: 0x8C } - - - { field_name: ip_total_length, mm_width: 16, access_mode: RW, address_offset: 0x88 } - - - { field_name: ip_identification, mm_width: 16, access_mode: RW, address_offset: 0x84 } - - - { field_name: ip_flags, mm_width: 3, access_mode: RW, address_offset: 0x80 } - - - { field_name: ip_fragment_offset, mm_width: 13, access_mode: RW, address_offset: 0x7C } - - - { field_name: ip_time_to_live, mm_width: 8, access_mode: RW, address_offset: 0x78 } - - - { field_name: ip_protocol, mm_width: 8, access_mode: RW, address_offset: 0x74 } - - - { field_name: ip_header_checksum, mm_width: 16, access_mode: RW, address_offset: 0x70 }