diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/tb_disturb2_unb2b_sdp_station_full_wg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/tb_disturb2_unb2b_sdp_station_full_wg.vhd
index 9291484adc7585fa98d106fb3937a870a86d6c00..567341eb1ae34b0f278b6fb8376178f1d564036f 100644
--- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/tb_disturb2_unb2b_sdp_station_full_wg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/tb_disturb2_unb2b_sdp_station_full_wg.vhd
@@ -527,9 +527,13 @@ begin
       severity ERROR;
     -- WG at subband edge will change phase 180 degrees in every subband period, so expect factor +-1
     assert signed(beamlet_arr2_re(c_exp_beamlet_index_os)) = c_exp_beamlet_re_sp_2 or
-           signed(beamlet_arr2_re(c_exp_beamlet_index_os)) = -c_exp_beamlet_re_sp_2 report "Wrong 10GbE beamlet output /= c_exp_beamlet_re_sp_2 in beamset 1 (shifted subbands)" severity ERROR;
+           signed(beamlet_arr2_re(c_exp_beamlet_index_os)) = -c_exp_beamlet_re_sp_2
+      report "Wrong 10GbE beamlet output /= c_exp_beamlet_re_sp_2 in beamset 1 (shifted subbands)"
+      severity ERROR;
     assert signed(beamlet_arr2_im(c_exp_beamlet_index_os)) = c_exp_beamlet_im_sp_2 or
-           signed(beamlet_arr2_im(c_exp_beamlet_index_os)) = -c_exp_beamlet_im_sp_2 report "Wrong 10GbE beamlet output /= c_exp_beamlet_im_sp_2 in beamset 1 (shifted subbands)" severity ERROR;
+           signed(beamlet_arr2_im(c_exp_beamlet_index_os)) = -c_exp_beamlet_im_sp_2
+      report "Wrong 10GbE beamlet output /= c_exp_beamlet_im_sp_2 in beamset 1 (shifted subbands)"
+      severity ERROR;
 
     ---------------------------------------------------------------------------
     -- End Simulation
diff --git a/applications/rdma_demo/libraries/rdma_generator/tb/vhdl/tb_rdma_generator.vhd b/applications/rdma_demo/libraries/rdma_generator/tb/vhdl/tb_rdma_generator.vhd
index 2dee1870b7e1157da4b8b2da5e592a456a0db950..e84bc277241f44430955ed9c771db134614feeeb 100644
--- a/applications/rdma_demo/libraries/rdma_generator/tb/vhdl/tb_rdma_generator.vhd
+++ b/applications/rdma_demo/libraries/rdma_generator/tb/vhdl/tb_rdma_generator.vhd
@@ -370,28 +370,32 @@ begin
                  "Wrong Tx total nof packets count(" & natural'image(I) &
                  "), Tx count = " & natural'image(tx_total_count_nof_packet_arr(I)) &
                  " /= " & natural'image(exp_total_count_nof_packet_arr(I)) &
-            " = Expected count" severity ERROR;
+                 " = Expected count"
+          severity ERROR;
 
         assert rx_total_count_nof_packet_arr(I) = exp_total_count_nof_packet_arr(I)
           report c_tb_str &
                  "Wrong Rx total nof packets count(" & natural'image(I) &
                  "), Rx count = " & natural'image(rx_total_count_nof_packet_arr(I)) &
                  " /= " & natural'image(exp_total_count_nof_packet_arr(I)) &
-            " = Expected count" severity ERROR;
+                 " = Expected count"
+          severity ERROR;
 
         assert rx_total_count_nof_valid_arr(I) = rx_exp_total_count_nof_valid_arr(I)
           report c_tb_str &
                  "Wrong Rx total nof valids count(" & natural'image(I) &
                  "), Rx count = " & natural'image(rx_total_count_nof_valid_arr(I)) &
                  " /= " & natural'image(rx_exp_total_count_nof_valid_arr(I)) &
-            " = Expected count" severity ERROR;
+                 " = Expected count"
+          severity ERROR;
 
         assert rx_total_count_nof_corrupted_arr(I) = rx_exp_total_count_nof_corrupted_arr(I)
           report c_tb_str &
                  "Wrong Rx total nof corrupted count(" & natural'image(I) &
                  "), Rx count = " & natural'image(rx_total_count_nof_corrupted_arr(I)) &
                  " /= " & natural'image(rx_exp_total_count_nof_corrupted_arr(I)) &
-            " = Expected count" severity ERROR;
+                 " = Expected count"
+          severity ERROR;
       else
         -- Verify that Tx total nof packets = Rx total nof packets, also when
         -- BG experiences siso.xon block level flow control, to stay below
@@ -401,7 +405,8 @@ begin
                  "Wrong Tx-Rx total nof packets count(" & natural'image(I) &
                  "), Tx count = " & natural'image(tx_total_count_nof_packet_arr(I)) &
                  " /= " & natural'image(rx_total_count_nof_packet_arr(I)) &
-            " = Rx count" severity ERROR;
+                 " = Rx count"
+          severity ERROR;
       end if;
     end loop;
 
diff --git a/libraries/base/axi4/tb/vhdl/tb_axi4_lite_mm_bridge.vhd b/libraries/base/axi4/tb/vhdl/tb_axi4_lite_mm_bridge.vhd
index f39566bf81dcda87e3b101849d95cd23684e71c9..e365696ae305bc999ebeb3309bf8aa34aec25cfe 100644
--- a/libraries/base/axi4/tb/vhdl/tb_axi4_lite_mm_bridge.vhd
+++ b/libraries/base/axi4/tb/vhdl/tb_axi4_lite_mm_bridge.vhd
@@ -149,9 +149,9 @@ begin
       proc_mem_mm_bus_rd(I, mm_clk, mm_in_cipo, mm_in_copi);
       proc_mem_mm_bus_rd_latency(1, mm_clk);
       assert TO_UINT(mm_in_cipo.rddata(c_mm_usr_ram.dat_w - 1 downto 0)) = (c_offset + I)
-        report
-        "Wrong value read from RAM at address " & int_to_str(I) & " expected " & int_to_str(c_offset + I)
-          & " but received " & int_to_str(TO_UINT(mm_in_cipo.rddata(c_mm_usr_ram.dat_w - 1 downto 0))) severity ERROR;
+        report "Wrong value read from RAM at address " & int_to_str(I) & " expected " & int_to_str(c_offset + I)
+               & " but received " & int_to_str(TO_UINT(mm_in_cipo.rddata(c_mm_usr_ram.dat_w - 1 downto 0)))
+        severity ERROR;
     end loop;
 
     tb_end <= '1';
diff --git a/libraries/base/common/tb/vhdl/tb_common_to_sreal.vhd b/libraries/base/common/tb/vhdl/tb_common_to_sreal.vhd
index 442f05ec9db2e5cf0b2de6e851b4799decb0ab7e..e221cdac705dc563d9319e884cb9dbe80dffa5ac 100644
--- a/libraries/base/common/tb/vhdl/tb_common_to_sreal.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_to_sreal.vhd
@@ -192,10 +192,12 @@ begin
   -- TO_SINT() and TO_SVEC() must always yield same result
   assert a_sint = TO_SINT(a_slv)
     report "Unexpected difference between TO_SINT() and TO_SVEC() :" &
-                  integer'image(a_sint) & " /= " & integer'image(TO_SINT(a_slv)) severity ERROR;
+           integer'image(a_sint) & " /= " & integer'image(TO_SINT(a_slv))
+    severity ERROR;
 
   -- TO_UINT() and TO_UVEC() must always yield same result
   assert a_uint = TO_UINT(a_ulv)
     report "Unexpected difference between TO_UINT() and TO_UVEC() :" &
-                  integer'image(a_uint) & " /= " & integer'image(TO_SINT(a_ulv)) severity ERROR;
+           integer'image(a_uint) & " /= " & integer'image(TO_SINT(a_ulv))
+    severity ERROR;
 end tb;
diff --git a/libraries/base/common/tb/vhdl/tb_resize.vhd b/libraries/base/common/tb/vhdl/tb_resize.vhd
index 3a4842719a068734e5b9b4e96ec44d48f76653e2..14bf94212f254eb5ff4942315fa0a97e6f9f1fd4 100644
--- a/libraries/base/common/tb/vhdl/tb_resize.vhd
+++ b/libraries/base/common/tb/vhdl/tb_resize.vhd
@@ -233,7 +233,9 @@ begin
           report "Wrong wrapped resize_num_udat"
           severity ERROR;
         assert unsigned(       out_udat) = unsigned(lowrange_udat) or
-               unsigned(       out_udat) = g_clip_umax report "Wrong clipped out_udat"   severity ERROR;
+               unsigned( out_udat) = g_clip_umax
+          report "Wrong clipped out_udat"
+          severity ERROR;
 
         -- For reduced width compare signed with lowrange
         -- . no need to verify RESIZE(), because it is part of IEEE.NUMERIC_STD
@@ -243,7 +245,9 @@ begin
           if g_clip_symmetric then
             assert (signed(out_sdat) = signed(lowrange_sdat) or signed(out_sdat) = -g_clip_smax or signed(out_sdat) = g_clip_smax) and
                    signed(out_sdat) /=  g_clip_smin and
-                    signed(out_sdat) /= -g_clip_smin report "Wrong clipped symmetrical out_sdat" severity ERROR;
+                   signed(out_sdat) /= -g_clip_smin
+              report "Wrong clipped symmetrical out_sdat"
+              severity ERROR;
           else
             assert (signed(out_sdat) = signed(lowrange_sdat) or signed(out_sdat) = g_clip_smin or signed(out_sdat) = g_clip_smax)
               report "Wrong clipped out_sdat"
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_bsn_align_v2.vhd b/libraries/base/dp/tb/vhdl/tb_dp_bsn_align_v2.vhd
index 6556d300e36b68d706471ef4dbffaa4ab18e9746..cd0ac4d870927f27b123c39c0be9647d61ce05af 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_bsn_align_v2.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_bsn_align_v2.vhd
@@ -476,14 +476,16 @@ begin
              assert out_sosi_arr(I).data = out_sosi_arr_exp(I).data
                report "Wrong data for output stream " & int_to_str(I) & " : "
                       & int_to_str(TO_UINT(out_sosi_arr(I).data)) & " /= "
-                                                                       & int_to_str(TO_UINT(out_sosi_arr_exp(I).data)) severity ERROR;
+                      & int_to_str(TO_UINT(out_sosi_arr_exp(I).data))
+               severity ERROR;
            else
              -- verify lost data stream at g_disable_stream_id or g_lost_stream_id or g_lost_bsn_id
              dbg_verify_replaced_data_arr(I) <= '1';
              assert TO_UINT(out_sosi_arr(I).data) = g_data_replacement_value
                report "Wrong replacement data for output stream " & int_to_str(I) & " : "
                       & int_to_str(TO_UINT(out_sosi_arr(I).data)) & " /= "
-                                                                       & int_to_str(g_data_replacement_value) severity ERROR;
+                      & int_to_str(g_data_replacement_value)
+               severity ERROR;
            end if;
 
            -- Verify sop info fields
diff --git a/libraries/base/dp/tb/vhdl/tb_dp_counter_func.vhd b/libraries/base/dp/tb/vhdl/tb_dp_counter_func.vhd
index e35ef3b40baa42789d8260c46d9205b9d53a12ac..a4d4939b4686bc19bf4ec89fb094ac2ea961d79e 100644
--- a/libraries/base/dp/tb/vhdl/tb_dp_counter_func.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_dp_counter_func.vhd
@@ -208,14 +208,16 @@ architecture tb of tb_dp_counter_func is
             assert tb_count_arr(I) = ((g_range_stop(I) - 1 - g_range_start(I)) / g_range_step(I)) * g_range_step(I) + g_range_start(I)
               report "DP : Wrong stop count on eop, counter:" & int_to_str(I) &
                      " is:" & int_to_str(tb_count_arr(I)) &
-                     " expected:" & int_to_str(((g_range_stop(I) - 1 - g_range_start(I)) / g_range_step(I)) * g_range_step(I) + g_range_start(I)) severity ERROR;
+                     " expected:" & int_to_str(((g_range_stop(I) - 1 - g_range_start(I)) / g_range_step(I)) * g_range_step(I) + g_range_start(I))
+              severity ERROR;
           end if;
           -- on sop counter should hold the start_value
           if dp_counter_func_count_src_out_arr(I).sop = '1' then
             assert tb_count_arr(I) = g_range_start(I)
               report "DP : Wrong start count on sop, counter:" & int_to_str(I) &
                      " is:" & int_to_str(tb_count_arr(I)) &
-                     " expected:" & int_to_str(g_range_start(I)) severity ERROR;
+                     " expected:" & int_to_str(g_range_start(I))
+              severity ERROR;
           end if;
         end loop;
       end if;
@@ -227,12 +229,14 @@ architecture tb of tb_dp_counter_func is
             assert (tb_last_count_arr(I) + g_range_step(I)) = tb_count_arr(I)
               report "DP : Wrong step count, counter:" & int_to_str(I) &
                      " is:" & int_to_str(tb_count_arr(I)) &
-                     " expected:" & int_to_str(tb_last_count_arr(I) + g_range_step(I)) severity ERROR;
+                     " expected:" & int_to_str(tb_last_count_arr(I) + g_range_step(I))
+              severity ERROR;
           elsif tb_count_arr(I) < tb_last_count_arr(I) then
             assert g_range_start(I) = tb_count_arr(I)
               report "DP : Wrong step count, counter:" & int_to_str(I) &
                      " is:" & int_to_str(tb_count_arr(I)) &
-                     " expected:" & int_to_str(g_range_start(I)) & ")" severity ERROR;
+                     " expected:" & int_to_str(g_range_start(I)) & ")"
+              severity ERROR;
           end if;
         end loop;
       end if;
diff --git a/libraries/base/dp/tb/vhdl/tb_mmp_dp_bsn_align_v2.vhd b/libraries/base/dp/tb/vhdl/tb_mmp_dp_bsn_align_v2.vhd
index 37421f738c21e268559e5eefdc765ffeea8016ee..dcfdd5faabeaecb051062447863ccd2d2c9d6aef 100644
--- a/libraries/base/dp/tb/vhdl/tb_mmp_dp_bsn_align_v2.vhd
+++ b/libraries/base/dp/tb/vhdl/tb_mmp_dp_bsn_align_v2.vhd
@@ -276,7 +276,8 @@ begin
                  "Wrong input BSN monitor latency timeout for input " & int_to_str(I) &
                  " (" & int_to_str(mon_latency_input_arr(I)) &
                  " /= " & int_to_str(v_exp_latency) &
-          ")" severity ERROR;
+                 ")"
+          severity ERROR;
       else
         v_exp_latency := c_mon_sync_latency + func_input_delay(I);
         assert mon_latency_input_arr(I) = v_exp_latency
@@ -284,7 +285,8 @@ begin
                  "Wrong input BSN monitor latency for input " & int_to_str(I) &
                  " (" & int_to_str(mon_latency_input_arr(I)) &
                  " /= " & int_to_str(v_exp_latency) &
-          ")" severity ERROR;
+                 ")"
+          severity ERROR;
       end if;
     end loop;
 
@@ -299,7 +301,8 @@ begin
       report
              "Wrong output BSN monitor latency (" & int_to_str(mon_latency_output) &
              " /= " & int_to_str(v_exp_latency) &
-          ")" severity ERROR;
+             ")"
+      severity ERROR;
 
     -- End of MM test
     mm_end <= '1';
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_corr_accumulator.vhd b/libraries/dsp/correlator/tb/vhdl/tb_corr_accumulator.vhd
index 0d38444c1ba0c0771972ce80775bb5fc60b5088d..bedc1245bef4c9bd766932b1b7a15b7f3c707a88 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_corr_accumulator.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_corr_accumulator.vhd
@@ -179,10 +179,12 @@ begin
       if corr_accumulator_src_out_arr(i).valid = '1' then  -- Compare against expected data
         assert corr_accumulator_src_out_arr(i).re = expected_data
           report "Expected real: " & slv_to_str(expected_data) & "; " & lf
-                                                             & "            Actual real: " & slv_to_str(corr_accumulator_src_out_arr(i).re) severity ERROR;
+                 & " Actual real: " & slv_to_str(corr_accumulator_src_out_arr(i).re)
+          severity ERROR;
         assert corr_accumulator_src_out_arr(i).im = expected_data
           report "Expected imag: " & slv_to_str(expected_data) & "; " & lf
-                                                             & "            Actual imag: " & slv_to_str(corr_accumulator_src_out_arr(i).im) severity ERROR;
+                 & " Actual imag: " & slv_to_str(corr_accumulator_src_out_arr(i).im)
+          severity ERROR;
 
         if output_channel = g_nof_channels - 1 then
           nxt_output_channel <= 0;
diff --git a/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_wide_file_data.vhd b/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_wide_file_data.vhd
index 8a7c05f07934eb6917fc5be5b03106993d01a91a..e162abc8a723e154c7e39217817d7764af758427 100644
--- a/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_wide_file_data.vhd
+++ b/libraries/dsp/filter/tb/vhdl/tb_fil_ppf_wide_file_data.vhd
@@ -379,7 +379,9 @@ begin
               -- stream 0 and all other streams >= 2 carry the same data
               v_exp_dat := expected_data_arr(vI * g_fil_ppf.wb_factor + P);
               assert v_out_dat <= v_exp_dat + c_diff_margin and
-                     v_out_dat >= v_exp_dat - c_diff_margin report "Output data error" severity error;
+                     v_out_dat >= v_exp_dat - c_diff_margin
+                report "Output data error"
+                severity error;
             end if;
           end loop;
         end loop;
@@ -492,7 +494,9 @@ begin
   begin
     if rising_edge(clk) then
       assert diff_data_scope <=  c_diff_margin and
-             diff_data_scope >= -c_diff_margin report "Output data scope error" severity error;
+             diff_data_scope >= -c_diff_margin
+        report "Output data scope error"
+        severity error;
     end if;
   end process;
 end tb;
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_ihl_to_20.vhd b/libraries/io/eth/tb/vhdl/tb_eth_ihl_to_20.vhd
index 9bbed1aa3cd03d5135836e722e63dbc73d0d5aec..5e9c911eb66a713eed727db29f03ad850f5c40a1 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_ihl_to_20.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_ihl_to_20.vhd
@@ -137,9 +137,9 @@ architecture tb of tb_eth_IHL_to_20 is
     assert src_out.data(31 downto 0) = X"4" &
              TO_UVEC(c_IHL, c_network_ip_header_length_w) &
              X"00" &
-                                       TO_UVEC((c_IHL + UDP_payload_len + 2) * 4, c_network_ip_total_length_w)
-                                                   report "Wrong Version / IHL / Total Length"
-                                                     severity FAILURE;
+             TO_UVEC((c_IHL + UDP_payload_len + 2) * 4, c_network_ip_total_length_w)
+      report "Wrong Version / IHL / Total Length"
+      severity FAILURE;
 
     wait until falling_edge(clk) and src_out.valid = '1';
     assert src_out.data(31 downto 0) = X"00004000"
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_stream_udp.vhd b/libraries/io/eth/tb/vhdl/tb_eth_stream_udp.vhd
index 8ed87e7f9a2ddba50bfa1787b918e40a4e1e8e5e..d6429cbfddd6a49a3d899a1f5c1581c61b4b0880 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_stream_udp.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_stream_udp.vhd
@@ -274,19 +274,22 @@ begin
         report c_tb_str &
                "Wrong Tx total nof packets count, Tx count = " & natural'image(tx_total_count_nof_packet) &
                " /= " & natural'image(tx_exp_total_count_nof_packet) &
-          " = Expected count" severity ERROR;
+               " = Expected count"
+        severity ERROR;
 
       assert rx_total_count_nof_packet = rx_exp_total_count_nof_packet
         report c_tb_str &
                "Wrong Rx total nof packets count, Rx count = " & natural'image(rx_total_count_nof_packet) &
                " /= " & natural'image(rx_exp_total_count_nof_packet) &
-          " = Expected count" severity ERROR;
+               " = Expected count"
+        severity ERROR;
 
       assert rx_total_count_nof_valid = rx_exp_total_count_nof_valid
         report c_tb_str &
                "Wrong Rx total nof valids count, Rx count = " & natural'image(rx_total_count_nof_valid) &
                " /= " & natural'image(rx_exp_total_count_nof_valid) &
-          " = Expected count" severity ERROR;
+               " = Expected count"
+        severity ERROR;
     end if;
 
     -------------------------------------------------------------------------
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_tester.vhd b/libraries/io/eth/tb/vhdl/tb_eth_tester.vhd
index ca245a075074edd6fd9f126407a12dc7a0f8b241..7bfba209f6a3807e55d5954e08344099308985d0 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_tester.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_tester.vhd
@@ -401,28 +401,32 @@ begin
                    "Wrong Tx total nof packets count(" & natural'image(I) &
                    "), Tx count = " & natural'image(tx_total_count_nof_packet_arr(I)) &
                    " /= " & natural'image(exp_total_count_nof_packet_arr(I)) &
-              " = Expected count" severity ERROR;
+                   " = Expected count"
+            severity ERROR;
 
           assert rx_total_count_nof_packet_arr(I) = exp_total_count_nof_packet_arr(I)
             report c_tb_str &
                    "Wrong Rx total nof packets count(" & natural'image(I) &
                    "), Rx count = " & natural'image(rx_total_count_nof_packet_arr(I)) &
                    " /= " & natural'image(exp_total_count_nof_packet_arr(I)) &
-              " = Expected count" severity ERROR;
+                   " = Expected count"
+            severity ERROR;
 
           assert rx_total_count_nof_valid_arr(I) = rx_exp_total_count_nof_valid_arr(I)
             report c_tb_str &
                    "Wrong Rx total nof valids count(" & natural'image(I) &
                    "), Rx count = " & natural'image(rx_total_count_nof_valid_arr(I)) &
                    " /= " & natural'image(rx_exp_total_count_nof_valid_arr(I)) &
-              " = Expected count" severity ERROR;
+                   " = Expected count"
+            severity ERROR;
 
           assert rx_total_count_nof_corrupted_arr(I) = rx_exp_total_count_nof_corrupted_arr(I)
             report c_tb_str &
                    "Wrong Rx total nof corrupted count(" & natural'image(I) &
                    "), Rx count = " & natural'image(rx_total_count_nof_corrupted_arr(I)) &
                    " /= " & natural'image(rx_exp_total_count_nof_corrupted_arr(I)) &
-              " = Expected count" severity ERROR;
+                   " = Expected count"
+            severity ERROR;
         else
           -- Verify that Tx total nof packets = Rx total nof packets, also when
           -- BG experiences siso.xon block level flow control, to stay below
@@ -432,7 +436,8 @@ begin
                    "Wrong Tx-Rx total nof packets count(" & natural'image(I) &
                    "), Tx count = " & natural'image(tx_total_count_nof_packet_arr(I)) &
                    " /= " & natural'image(rx_total_count_nof_packet_arr(I)) &
-              " = Rx count" severity ERROR;
+                   " = Rx count"
+            severity ERROR;
          end if;
        else
           -- g_corrupted_en = TRUE
@@ -441,7 +446,8 @@ begin
                    "Wrong Rx total nof corrupted count(" & natural'image(I) &
                    "), Rx count = " & natural'image(rx_total_count_nof_corrupted_arr(I)) &
                    " /= " & natural'image(rx_exp_total_count_nof_corrupted_arr(I)) &
-              " = Expected count" severity ERROR;
+                   " = Expected count"
+            severity ERROR;
        end if;
     end loop;
 
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_tester_high_bw.vhd b/libraries/io/eth/tb/vhdl/tb_eth_tester_high_bw.vhd
index b1d9e68fe77c2d549dedf7c98666b8c1fd1d142e..14d8e77a9428c132d548ce28d80f1fee239dec38 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_tester_high_bw.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_tester_high_bw.vhd
@@ -358,28 +358,32 @@ begin
                  "Wrong Tx total nof packets count(" & natural'image(I) &
                  "), Tx count = " & natural'image(tx_total_count_nof_packet_arr(I)) &
                  " /= " & natural'image(exp_total_count_nof_packet_arr(I)) &
-            " = Expected count" severity ERROR;
+                 " = Expected count"
+          severity ERROR;
 
         assert rx_total_count_nof_packet_arr(I) = exp_total_count_nof_packet_arr(I)
           report c_tb_str &
                  "Wrong Rx total nof packets count(" & natural'image(I) &
                  "), Rx count = " & natural'image(rx_total_count_nof_packet_arr(I)) &
                  " /= " & natural'image(exp_total_count_nof_packet_arr(I)) &
-            " = Expected count" severity ERROR;
+                 " = Expected count"
+          severity ERROR;
 
         assert rx_total_count_nof_valid_arr(I) = rx_exp_total_count_nof_valid_arr(I)
           report c_tb_str &
                  "Wrong Rx total nof valids count(" & natural'image(I) &
                  "), Rx count = " & natural'image(rx_total_count_nof_valid_arr(I)) &
                  " /= " & natural'image(rx_exp_total_count_nof_valid_arr(I)) &
-            " = Expected count" severity ERROR;
+                 " = Expected count"
+          severity ERROR;
 
         assert rx_total_count_nof_corrupted_arr(I) = rx_exp_total_count_nof_corrupted_arr(I)
           report c_tb_str &
                  "Wrong Rx total nof corrupted count(" & natural'image(I) &
                  "), Rx count = " & natural'image(rx_total_count_nof_corrupted_arr(I)) &
                  " /= " & natural'image(rx_exp_total_count_nof_corrupted_arr(I)) &
-            " = Expected count" severity ERROR;
+                 " = Expected count"
+          severity ERROR;
       else
         -- Verify that Tx total nof packets = Rx total nof packets, also when
         -- BG experiences siso.xon block level flow control, to stay below
@@ -389,7 +393,8 @@ begin
                  "Wrong Tx-Rx total nof packets count(" & natural'image(I) &
                  "), Tx count = " & natural'image(tx_total_count_nof_packet_arr(I)) &
                  " /= " & natural'image(rx_total_count_nof_packet_arr(I)) &
-            " = Rx count" severity ERROR;
+                 " = Rx count"
+          severity ERROR;
       end if;
     end loop;