diff --git a/libraries/dsp/fft/src/vhdl/fft_r2_pipe.vhd b/libraries/dsp/fft/src/vhdl/fft_r2_pipe.vhd
index 8f3d64e600c1f9e10a087cd2d92210f3aef931aa..696fcdf427c0f911c12a619f03e084883516db9e 100644
--- a/libraries/dsp/fft/src/vhdl/fft_r2_pipe.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_r2_pipe.vhd
@@ -127,6 +127,13 @@ architecture str of fft_r2_pipe is
   signal quant_im     : std_logic_vector(g_fft.out_dat_w-1 downto 0);
   signal quant_val    : std_logic;
 
+  -- debug signals to view parameters in Wave Window
+  signal dbg_g_g_fft          : t_fft := g_fft;
+  signal dbg_c_in_scale_w     : natural := c_in_scale_w;
+  signal dbg_c_out_scale_w    : integer := c_out_scale_w;
+  signal dbg_c_sepa_growth_w  : natural := c_sepa_growth_w;
+  signal dbg_c_raw_dat_w      : natural := c_raw_dat_w;
+
 begin
  
   ------------------------------------------------------------------------------
diff --git a/libraries/dsp/fft/src/vhdl/fft_r2_wide.vhd b/libraries/dsp/fft/src/vhdl/fft_r2_wide.vhd
index 017e5b1384983d66a423fe605f4259e54fc1d4ae..ecc6a027394513f451651684b4b3b856886098a9 100644
--- a/libraries/dsp/fft/src/vhdl/fft_r2_wide.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_r2_wide.vhd
@@ -179,6 +179,13 @@ architecture rtl of fft_r2_wide is
   signal sep_out_im_arr       : t_fft_slv_arr(g_fft.wb_factor-1 downto 0);   
   signal sep_out_val          : std_logic;                                   
 
+  -- debug signals to view parameters in Wave Window
+  signal dbg_g_g_fft          : t_fft := g_fft;
+  signal dbg_c_in_scale_w     : natural := c_in_scale_w;
+  signal dbg_c_out_scale_w    : integer := c_out_scale_w;
+  signal dbg_c_sepa_growth_w  : natural := c_sepa_growth_w;
+  signal dbg_c_raw_dat_w      : natural := c_raw_dat_w;
+
 begin
 
   -- Default to fft_r2_pipe when g_fft.wb_factor=1
diff --git a/libraries/dsp/wpfb/src/vhdl/wpfb_unit_dev.vhd b/libraries/dsp/wpfb/src/vhdl/wpfb_unit_dev.vhd
index ae905b69951111ea497fa1c49801a2886790ed0f..66342d6c93131bcc3fc9df0955c0e6946aad79b9 100644
--- a/libraries/dsp/wpfb/src/vhdl/wpfb_unit_dev.vhd
+++ b/libraries/dsp/wpfb/src/vhdl/wpfb_unit_dev.vhd
@@ -458,6 +458,11 @@ architecture str of wpfb_unit_dev is
 
   signal r, rin : reg_type;
 
+  -- Debug signals to view parameters in Wave Window
+  signal dbg_g_wpfb          : t_wpfb := g_wpfb;
+  signal dbg_c_fil_ppf       : t_fil_ppf := c_fil_ppf;
+  signal dbg_c_fft           : t_fft := c_fft;
+
 begin
 
   -- The complete input sosi arry is registered.