diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd index 5f95999222335e13f45a19374c59139c3a1157c9..e5039901bcfa3c14f105a79359457870a1b78726 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd @@ -364,13 +364,6 @@ PACKAGE qsys_lofar2_unb2c_sdp_station_pkg IS reg_si_reset_export : out std_logic; -- export reg_si_write_export : out std_logic; -- export reg_si_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_unb_pmbus_address_export : out std_logic_vector(5 downto 0); -- export - reg_unb_pmbus_clk_export : out std_logic; -- export - reg_unb_pmbus_read_export : out std_logic; -- export - reg_unb_pmbus_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_unb_pmbus_reset_export : out std_logic; -- export - reg_unb_pmbus_write_export : out std_logic; -- export - reg_unb_pmbus_writedata_export : out std_logic_vector(31 downto 0); -- export reg_wdi_address_export : out std_logic_vector(0 downto 0); -- export reg_wdi_clk_export : out std_logic; -- export reg_wdi_read_export : out std_logic; -- export diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_pkg.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_pkg.vhd index c1f11f58f56538a4a4b099d3430e97820a0324b5..8f17511208291533bb10c6f4aa93d6a47eea6f18 100644 --- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_pkg.vhd +++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/unb2c_board_pkg.vhd @@ -75,7 +75,10 @@ PACKAGE unb2c_board_pkg IS CONSTANT c_unb2c_board_tr_ring : t_c_unb2c_board_tr := (2, 12, 0); -- per node: 2 buses with 12 channels CONSTANT c_unb2c_board_tr_qsfp : t_c_unb2c_board_tr := (6, 4, 0); -- per node: 6 buses with 4 channels CONSTANT c_unb2c_board_tr_jesd204b : t_c_unb2c_board_tr := (1, 12, 0); -- per node: 1 buses with 12 channels - CONSTANT c_unb2c_board_nof_sync_jesd204b : NATURAL := 12; -- FIXME: should be 8; -- 8 SYNC lines for 12 channels + CONSTANT c_unb2c_board_nof_tr_jesd204b : NATURAL := 6; --Only 6 channels used in unb2b lab tests + CONSTANT c_unb2c_board_start_tr_jesd204b : NATURAL := 42; --First transceiver used in unb2b lab tests + CONSTANT c_unb2c_board_nof_sync_jesd204b : NATURAL := 2; --Only 6 channels used in unb2b lab tests + --CONSTANT c_unb2c_board_nof_sync_jesd204b : NATURAL := 12; -- FIXME: should be 8; -- 8 SYNC lines for 12 channels CONSTANT c_unb2c_board_tr_qsfp_nof_leds : NATURAL := c_unb2c_board_tr_qsfp.nof_bus * 2; -- 2 leds per qsfp