diff --git a/applications/lofar2/designs/lofar2_unb2b_beamformer/lofar2_unb2b_beamformer.mmap.gold b/applications/lofar2/designs/lofar2_unb2b_beamformer/lofar2_unb2b_beamformer.mmap.gold index c92f08599cc8e1bc8974564f4843ca59843095d4..28567404b668e991363d198405289224fd985ae1 100644 --- a/applications/lofar2/designs/lofar2_unb2b_beamformer/lofar2_unb2b_beamformer.mmap.gold +++ b/applications/lofar2/designs/lofar2_unb2b_beamformer/lofar2_unb2b_beamformer.mmap.gold @@ -33,7 +33,7 @@ number_of_columns = 11 - - - - stamp_time 0x00008010 1 RO uint32 b[31:0] - - - - - stamp_commit 0x00008011 3 RO uint32 b[31:0] - - - - - design_note 0x00008014 52 RO char8 b[31:0] b[7:0] - PIO_WDI 1 1 REG wdi_override 0x0000a000 1 WO uint32 b[31:0] - + REG_WDI 1 1 REG wdi_override 0x0000a000 1 WO uint32 b[31:0] - REG_FPGA_TEMP_SENS 1 1 REG temp 0x0000c000 1 RO uint32 b[31:0] - REG_FPGA_VOLTAGE_SENS 1 1 REG voltages 0x0000c000 6 RO uint32 b[31:0] - RAM_SCRAP 1 1 RAM data 0x0000e000 512 RW uint32 b[31:0] - @@ -120,10 +120,10 @@ number_of_columns = 11 - - - - freq 0x00028002 1 RW uint32 b[30:0] - - - - - ampl 0x00028003 1 RW uint32 b[16:0] - RAM_DIAG_WG 1 12 RAM data 0x0002c000 1024 RW uint32 b[17:0] - - REG_ADUH_MON 1 12 REG mean_sum_lo 0x00030000 1 RO uint32 b[31:0] - - - - - - mean_sum_hi 0x00030001 1 RO uint32 b[31:0] - - - - - - power_sum_lo 0x00030002 1 RO uint32 b[31:0] - - - - - - power_sum_hi 0x00030003 1 RO uint32 b[31:0] - + REG_ADUH_MON 1 12 REG mean_sum 0x00030000 1 RO int64 b[31:0] b[31:0] + - - - - - 0x00030001 - - - b[31:0] b[63:32] + - - - - power_sum 0x00030002 1 RO int64 b[31:0] b[31:0] + - - - - - 0x00030003 - - - b[31:0] b[63:32] REG_DIAG_DATA_BUF_BSN 1 12 REG sync_cnt 0x00032000 1 RO uint32 b[31:0] - - - - - word_cnt 0x00032001 1 RO uint32 b[31:0] - RAM_DIAG_DATA_BUF_BSN 1 12 RAM data 0x00034000 1024 RW uint32 b[15:0] - @@ -136,51 +136,50 @@ number_of_columns = 11 REG_STAT_ENABLE 1 1 REG enable 0x00048000 1 RW uint32 b[0:0] - REG_STAT_HDR_INFO 1 1 REG bsn 0x0004a000 1 RW uint64 b[31:0] b[31:0] - - - - - 0x0004a001 - - - b[31:0] b[63:32] - - - - - block_period 0x0004a002 1 RW uint32 b[15:0] - - - - - - nof_statistics_per_packet 0x0004a003 1 RW uint32 b[15:0] - - - - - - nof_bytes_per_statistic 0x0004a004 1 RW uint32 b[7:0] - - - - - - nof_signal_inputs 0x0004a005 1 RW uint32 b[7:0] - - - - - - data_id 0x0004a006 1 RW uint32 b[31:0] - - - - - - data_id_sst_signal_input_index 0x0004a006 1 RW uint32 b[7:0] - - - - - - data_id_sst_reserved 0x0004a006 1 RW uint32 b[31:8] - - - - - - integration_interval 0x0004a007 1 RW uint32 b[23:0] - - - - - - reserved 0x0004a008 1 RW uint32 b[7:0] - - - - - - source_info 0x0004a009 1 RW uint32 b[15:0] - - - - - - source_info_gn_index 0x0004a009 1 RW uint32 b[4:0] - - - - - - source_info_reserved 0x0004a009 1 RW uint32 b[7:5] - - - - - - source_info_subband_calibrated_flag 0x0004a009 1 RW uint32 b[8:8] - - - - - - source_info_beam_repositioning_flag 0x0004a009 1 RW uint32 b[9:9] - - - - - - source_info_payload_error 0x0004a009 1 RW uint32 b[10:10] - - - - - - source_info_fsub_type 0x0004a009 1 RW uint32 b[11:11] - - - - - - source_info_f_adc 0x0004a009 1 RW uint32 b[12:12] - - - - - - source_info_nyquist_zone_index 0x0004a009 1 RW uint32 b[14:13] - - - - - - source_info_antenna_band_index 0x0004a009 1 RW uint32 b[15:15] - - - - - - station_id 0x0004a00a 1 RW uint32 b[15:0] - - - - - - observation_id 0x0004a00b 1 RW uint32 b[31:0] - - - - - - version_id 0x0004a00c 1 RO uint32 b[7:0] - - - - - - marker 0x0004a00d 1 RO uint32 b[7:0] - - - - - - udp_checksum 0x0004a00e 1 RW uint32 b[15:0] - - - - - - udp_length 0x0004a00f 1 RW uint32 b[15:0] - - - - - - udp_destination_port 0x0004a010 1 RW uint32 b[15:0] - - - - - - udp_source_port 0x0004a011 1 RW uint32 b[15:0] - - - - - - ip_destination_address 0x0004a012 1 RW uint32 b[31:0] - - - - - - ip_source_address 0x0004a013 1 RW uint32 b[31:0] - - - - - - ip_header_checksum 0x0004a014 1 RW uint32 b[15:0] - - - - - - ip_protocol 0x0004a015 1 RW uint32 b[7:0] - - - - - - ip_time_to_live 0x0004a016 1 RW uint32 b[7:0] - - - - - - ip_fragment_offset 0x0004a017 1 RW uint32 b[12:0] - - - - - - ip_flags 0x0004a018 1 RW uint32 b[2:0] - - - - - - ip_identification 0x0004a019 1 RW uint32 b[15:0] - - - - - - ip_total_length 0x0004a01a 1 RW uint32 b[15:0] - - - - - - ip_services 0x0004a01b 1 RW uint32 b[7:0] - - - - - - ip_header_length 0x0004a01c 1 RW uint32 b[3:0] - - - - - - ip_version 0x0004a01d 1 RW uint32 b[3:0] - - - - - - eth_type 0x0004a01e 1 RO uint32 b[15:0] - - - - - - eth_source_mac 0x0004a01f 1 RO uint64 b[31:0] b[31:0] - - - - - - 0x0004a020 - - - b[15:0] b[47:32] - - - - - eth_destination_mac 0x0004a021 1 RW uint64 b[31:0] b[31:0] - - - - - - 0x0004a022 - - - b[15:0] b[47:32] - - - - - word_align 0x0004a023 1 RW uint32 b[15:0] - + - - - - sdp_block_period 0x0004a002 1 RW uint32 b[15:0] - + - - - - sdp_nof_statistics_per_packet 0x0004a003 1 RW uint32 b[15:0] - + - - - - sdp_nof_bytes_per_statistic 0x0004a004 1 RW uint32 b[7:0] - + - - - - sdp_nof_signal_inputs 0x0004a005 1 RW uint32 b[7:0] - + - - - - sdp_data_id 0x0004a006 1 RW uint32 b[31:0] - + - - - - sdp_data_id_sst_signal_input_index 0x0004a006 1 RW uint32 b[7:0] - + - - - - sdp_data_id_sst_reserved 0x0004a006 1 RW uint32 b[31:8] - + - - - - sdp_integration_interval 0x0004a007 1 RW uint32 b[23:0] - + - - - - sdp_reserved 0x0004a008 1 RW uint32 b[7:0] - + - - - - sdp_source_info_gn_index 0x0004a009 1 RW uint32 b[4:0] - + - - - - sdp_source_info_reserved 0x0004a00a 1 RW uint32 b[7:5] - + - - - - sdp_source_info_subband_calibrated_flag 0x0004a00b 1 RW uint32 b[8:8] - + - - - - sdp_source_info_beam_repositioning_flag 0x0004a00c 1 RW uint32 b[9:9] - + - - - - sdp_source_info_payload_error 0x0004a00d 1 RW uint32 b[10:10] - + - - - - sdp_source_info_fsub_type 0x0004a00e 1 RW uint32 b[11:11] - + - - - - sdp_source_info_f_adc 0x0004a00f 1 RW uint32 b[12:12] - + - - - - sdp_source_info_nyquist_zone_index 0x0004a010 1 RW uint32 b[14:13] - + - - - - sdp_source_info_antenna_band_index 0x0004a011 1 RW uint32 b[15:15] - + - - - - sdp_station_id 0x0004a012 1 RW uint32 b[15:0] - + - - - - sdp_observation_id 0x0004a013 1 RW uint32 b[31:0] - + - - - - sdp_version_id 0x0004a014 1 RO uint32 b[7:0] - + - - - - sdp_marker 0x0004a015 1 RO uint32 b[7:0] - + - - - - udp_checksum 0x0004a016 1 RW uint32 b[15:0] - + - - - - udp_length 0x0004a017 1 RW uint32 b[15:0] - + - - - - udp_destination_port 0x0004a018 1 RW uint32 b[15:0] - + - - - - udp_source_port 0x0004a019 1 RW uint32 b[15:0] - + - - - - ip_destination_address 0x0004a01a 1 RW uint32 b[31:0] - + - - - - ip_source_address 0x0004a01b 1 RW uint32 b[31:0] - + - - - - ip_header_checksum 0x0004a01c 1 RW uint32 b[15:0] - + - - - - ip_protocol 0x0004a01d 1 RW uint32 b[7:0] - + - - - - ip_time_to_live 0x0004a01e 1 RW uint32 b[7:0] - + - - - - ip_fragment_offset 0x0004a01f 1 RW uint32 b[12:0] - + - - - - ip_flags 0x0004a020 1 RW uint32 b[2:0] - + - - - - ip_identification 0x0004a021 1 RW uint32 b[15:0] - + - - - - ip_total_length 0x0004a022 1 RW uint32 b[15:0] - + - - - - ip_services 0x0004a023 1 RW uint32 b[7:0] - + - - - - ip_header_length 0x0004a024 1 RW uint32 b[3:0] - + - - - - ip_version 0x0004a025 1 RW uint32 b[3:0] - + - - - - eth_type 0x0004a026 1 RO uint32 b[15:0] - + - - - - eth_source_mac 0x0004a027 1 RO uint64 b[31:0] b[31:0] + - - - - - 0x0004a028 - - - b[15:0] b[47:32] + - - - - eth_destination_mac 0x0004a029 1 RW uint64 b[31:0] b[31:0] + - - - - - 0x0004a02a - - - b[15:0] b[47:32] + - - - - word_align 0x0004a02b 1 RW uint32 b[15:0] - REG_SDP_INFO 1 1 REG station_id 0x0004c000 1 RW uint32 b[15:0] - - - - - antenna_band_index 0x0004c001 1 RO uint32 b[0:0] - - - - - observation_id 0x0004c002 1 RW uint32 b[31:0] - @@ -201,47 +200,46 @@ number_of_columns = 11 - - - - unused 0x0005c001 1 RW uint32 b[31:0] - REG_HDR_DAT 2 1 REG bsn 0x0005e000 1 RW uint64 b[31:0] b[31:0] - - - - - 0x0005e001 - - - b[31:0] b[63:32] - - - - - block_period 0x0005e002 1 RW uint32 b[15:0] - - - - - - nof_beamlets_per_block 0x0005e003 1 RW uint32 b[15:0] - - - - - - nof_blocks_per_packet 0x0005e004 1 RW uint32 b[7:0] - - - - - - beamlet_index 0x0005e005 1 RW uint32 b[15:0] - - - - - - beamlet_scale 0x0005e006 1 RW uint32 b[15:0] - - - - - - reserved 0x0005e007 1 RW uint64 b[31:0] b[31:0] + - - - - sdp_block_period 0x0005e002 1 RW uint32 b[15:0] - + - - - - sdp_nof_beamlets_per_block 0x0005e003 1 RW uint32 b[15:0] - + - - - - sdp_nof_blocks_per_packet 0x0005e004 1 RW uint32 b[7:0] - + - - - - sdp_beamlet_index 0x0005e005 1 RW uint32 b[15:0] - + - - - - sdp_beamlet_scale 0x0005e006 1 RW uint32 b[15:0] - + - - - - sdp_reserved 0x0005e007 1 RW uint64 b[31:0] b[31:0] - - - - - 0x0005e008 - - - b[7:0] b[39:32] - - - - - source_info 0x0005e009 1 RW uint32 b[15:0] - - - - - - source_info_gn_index 0x0005e009 1 RW uint32 b[4:0] - - - - - - source_info_beamlet_width 0x0005e009 1 RW uint32 b[7:5] - - - - - - source_info_repositioning_flag 0x0005e009 1 RW uint32 b[9:9] - - - - - - source_info_payload_error 0x0005e009 1 RW uint32 b[10:10] - - - - - - source_info_fsub_type 0x0005e009 1 RW uint32 b[11:11] - - - - - - source_info_f_adc 0x0005e009 1 RW uint32 b[12:12] - - - - - - source_info_nyquist_zone_index 0x0005e009 1 RW uint32 b[14:13] - - - - - - source_info_antenna_band_index 0x0005e009 1 RW uint32 b[15:15] - - - - - - station_id 0x0005e00a 1 RW uint32 b[15:0] - - - - - - observation_id 0x0005e00b 1 RW uint32 b[31:0] - - - - - - version_id 0x0005e00c 1 RO uint32 b[7:0] - - - - - - marker 0x0005e00d 1 RO uint32 b[7:0] - - - - - - udp_checksum 0x0005e00e 1 RW uint32 b[15:0] - - - - - - udp_length 0x0005e00f 1 RW uint32 b[15:0] - - - - - - udp_destination_port 0x0005e010 1 RW uint32 b[15:0] - - - - - - udp_source_port 0x0005e011 1 RW uint32 b[15:0] - - - - - - ip_destination_address 0x0005e012 1 RW uint32 b[31:0] - - - - - - ip_source_address 0x0005e013 1 RW uint32 b[31:0] - - - - - - ip_header_checksum 0x0005e014 1 RW uint32 b[15:0] - - - - - - ip_protocol 0x0005e015 1 RW uint32 b[7:0] - - - - - - ip_time_to_live 0x0005e016 1 RW uint32 b[7:0] - - - - - - ip_fragment_offset 0x0005e017 1 RW uint32 b[12:0] - - - - - - ip_flags 0x0005e018 1 RW uint32 b[2:0] - - - - - - ip_identification 0x0005e019 1 RW uint32 b[15:0] - - - - - - ip_total_length 0x0005e01a 1 RW uint32 b[15:0] - - - - - - ip_services 0x0005e01b 1 RW uint32 b[7:0] - - - - - - ip_header_length 0x0005e01c 1 RW uint32 b[3:0] - - - - - - ip_version 0x0005e01d 1 RW uint32 b[3:0] - - - - - - eth_type 0x0005e01e 1 RO uint32 b[15:0] - - - - - - eth_source_mac 0x0005e01f 1 RO uint64 b[31:0] b[31:0] - - - - - - 0x0005e020 - - - b[15:0] b[47:32] - - - - - eth_destination_mac 0x0005e021 1 RW uint64 b[31:0] b[31:0] - - - - - - 0x0005e022 - - - b[15:0] b[47:32] + - - - - sdp_source_info_gn_index 0x0005e009 1 RW uint32 b[4:0] - + - - - - sdp_source_info_beamlet_width 0x0005e00a 1 RW uint32 b[7:5] - + - - - - sdp_source_info_repositioning_flag 0x0005e00b 1 RW uint32 b[9:9] - + - - - - sdp_source_info_payload_error 0x0005e00c 1 RW uint32 b[10:10] - + - - - - sdp_source_info_fsub_type 0x0005e00d 1 RW uint32 b[11:11] - + - - - - sdp_source_info_f_adc 0x0005e00e 1 RW uint32 b[12:12] - + - - - - sdp_source_info_nyquist_zone_index 0x0005e00f 1 RW uint32 b[14:13] - + - - - - sdp_source_info_antenna_band_index 0x0005e010 1 RW uint32 b[15:15] - + - - - - sdp_station_id 0x0005e011 1 RW uint32 b[15:0] - + - - - - sdp_observation_id 0x0005e012 1 RW uint32 b[31:0] - + - - - - sdp_version_id 0x0005e013 1 RO uint32 b[7:0] - + - - - - sdp_marker 0x0005e014 1 RO uint32 b[7:0] - + - - - - udp_checksum 0x0005e015 1 RW uint32 b[15:0] - + - - - - udp_length 0x0005e016 1 RW uint32 b[15:0] - + - - - - udp_destination_port 0x0005e017 1 RW uint32 b[15:0] - + - - - - udp_source_port 0x0005e018 1 RW uint32 b[15:0] - + - - - - ip_destination_address 0x0005e019 1 RW uint32 b[31:0] - + - - - - ip_source_address 0x0005e01a 1 RW uint32 b[31:0] - + - - - - ip_header_checksum 0x0005e01b 1 RW uint32 b[15:0] - + - - - - ip_protocol 0x0005e01c 1 RW uint32 b[7:0] - + - - - - ip_time_to_live 0x0005e01d 1 RW uint32 b[7:0] - + - - - - ip_fragment_offset 0x0005e01e 1 RW uint32 b[12:0] - + - - - - ip_flags 0x0005e01f 1 RW uint32 b[2:0] - + - - - - ip_identification 0x0005e020 1 RW uint32 b[15:0] - + - - - - ip_total_length 0x0005e021 1 RW uint32 b[15:0] - + - - - - ip_services 0x0005e022 1 RW uint32 b[7:0] - + - - - - ip_header_length 0x0005e023 1 RW uint32 b[3:0] - + - - - - ip_version 0x0005e024 1 RW uint32 b[3:0] - + - - - - eth_type 0x0005e025 1 RO uint32 b[15:0] - + - - - - eth_source_mac 0x0005e026 1 RO uint64 b[31:0] b[31:0] + - - - - - 0x0005e027 - - - b[15:0] b[47:32] + - - - - eth_destination_mac 0x0005e028 1 RW uint64 b[31:0] b[31:0] + - - - - - 0x0005e029 - - - b[15:0] b[47:32] REG_DP_XONOFF 2 1 REG enable_stream 0x00060000 1 RW uint32 b[0:0] - RAM_ST_BST 2 1 RAM data 0x00062000 1952 RW uint64 b[31:0] b[31:0] - - - - - 0x00060001 - - - b[21:0] b[53:32] @@ -252,47 +250,46 @@ number_of_columns = 11 - - - - nof_statistics_per_packet 0x00066003 1 RW uint32 b[15:0] - - - - - nof_bytes_per_statistic 0x00066004 1 RW uint32 b[7:0] - - - - - nof_signal_inputs 0x00066005 1 RW uint32 b[7:0] - - - - - - data_id 0x00066006 1 RW uint32 b[31:0] - - - - - - data_id_bst_beamlet_index 0x00066006 1 RW uint32 b[15:0] - - - - - - data_id_bst_reserved 0x00066006 1 RW uint32 b[31:16] - - - - - - integration_interval 0x00066007 1 RW uint32 b[23:0] - - - - - - reserved 0x00066008 1 RW uint32 b[7:0] - - - - - - source_info 0x00066009 1 RW uint32 b[15:0] - - - - - - source_info_gn_index 0x00066009 1 RW uint32 b[4:0] - - - - - - source_info_reserved 0x00066009 1 RW uint32 b[7:5] - - - - - - source_info_subband_calibrated_flag 0x00066009 1 RW uint32 b[8:8] - - - - - - source_info_beam_repositioning_flag 0x00066009 1 RW uint32 b[9:9] - - - - - - source_info_payload_error 0x00066009 1 RW uint32 b[10:10] - - - - - - source_info_fsub_type 0x00066009 1 RW uint32 b[11:11] - - - - - - source_info_f_adc 0x00066009 1 RW uint32 b[12:12] - - - - - - source_info_nyquist_zone_index 0x00066009 1 RW uint32 b[14:13] - - - - - - source_info_antenna_band_index 0x00066009 1 RW uint32 b[15:15] - - - - - - station_id 0x0006600a 1 RW uint32 b[15:0] - - - - - - observation_id 0x0006600b 1 RW uint32 b[31:0] - - - - - - version_id 0x0006600c 1 RO uint32 b[7:0] - - - - - - marker 0x0006600d 1 RO uint32 b[7:0] - - - - - - udp_checksum 0x0006600e 1 RW uint32 b[15:0] - - - - - - udp_length 0x0006600f 1 RW uint32 b[15:0] - - - - - - udp_destination_port 0x00066010 1 RW uint32 b[15:0] - - - - - - udp_source_port 0x00066011 1 RW uint32 b[15:0] - - - - - - ip_destination_address 0x00066012 1 RW uint32 b[31:0] - - - - - - ip_source_address 0x00066013 1 RW uint32 b[31:0] - - - - - - ip_header_checksum 0x00066014 1 RW uint32 b[15:0] - - - - - - ip_protocol 0x00066015 1 RW uint32 b[7:0] - - - - - - ip_time_to_live 0x00066016 1 RW uint32 b[7:0] - - - - - - ip_fragment_offset 0x00066017 1 RW uint32 b[12:0] - - - - - - ip_flags 0x00066018 1 RW uint32 b[2:0] - - - - - - ip_identification 0x00066019 1 RW uint32 b[15:0] - - - - - - ip_total_length 0x0006601a 1 RW uint32 b[15:0] - - - - - - ip_services 0x0006601b 1 RW uint32 b[7:0] - - - - - - ip_header_length 0x0006601c 1 RW uint32 b[3:0] - - - - - - ip_version 0x0006601d 1 RW uint32 b[3:0] - - - - - - eth_type 0x0006601e 1 RO uint32 b[15:0] - - - - - - eth_source_mac 0x0006601f 1 RO uint64 b[31:0] b[31:0] - - - - - - 0x00066020 - - - b[15:0] b[47:32] - - - - - eth_destination_mac 0x00066021 1 RW uint64 b[31:0] b[31:0] - - - - - - 0x00066022 - - - b[15:0] b[47:32] - - - - - word_align 0x00066023 1 RW uint32 b[15:0] - + - - - - sdp_data_id 0x00066006 1 RW uint32 b[31:0] - + - - - - sdp_data_id_bst_beamlet_index 0x00066006 1 RW uint32 b[15:0] - + - - - - sdp_data_id_bst_reserved 0x00066006 1 RW uint32 b[31:16] - + - - - - sdp_integration_interval 0x00066007 1 RW uint32 b[23:0] - + - - - - sdp_reserved 0x00066008 1 RW uint32 b[7:0] - + - - - - sdp_source_info_gn_index 0x00066009 1 RW uint32 b[4:0] - + - - - - sdp_source_info_reserved 0x0006600a 1 RW uint32 b[7:5] - + - - - - sdp_source_info_subband_calibrated_flag 0x0006600b 1 RW uint32 b[8:8] - + - - - - sdp_source_info_beam_repositioning_flag 0x0006600c 1 RW uint32 b[9:9] - + - - - - sdp_source_info_payload_error 0x0006600d 1 RW uint32 b[10:10] - + - - - - sdp_source_info_fsub_type 0x0006600e 1 RW uint32 b[11:11] - + - - - - sdp_source_info_f_adc 0x0006600f 1 RW uint32 b[12:12] - + - - - - sdp_source_info_nyquist_zone_index 0x00066010 1 RW uint32 b[14:13] - + - - - - sdp_source_info_antenna_band_index 0x00066011 1 RW uint32 b[15:15] - + - - - - sdp_station_id 0x00066012 1 RW uint32 b[15:0] - + - - - - sdp_observation_id 0x00066013 1 RW uint32 b[31:0] - + - - - - sdp_version_id 0x00066014 1 RO uint32 b[7:0] - + - - - - sdp_marker 0x00066015 1 RO uint32 b[7:0] - + - - - - udp_checksum 0x00066016 1 RW uint32 b[15:0] - + - - - - udp_length 0x00066017 1 RW uint32 b[15:0] - + - - - - udp_destination_port 0x00066018 1 RW uint32 b[15:0] - + - - - - udp_source_port 0x00066019 1 RW uint32 b[15:0] - + - - - - ip_destination_address 0x0006601a 1 RW uint32 b[31:0] - + - - - - ip_source_address 0x0006601b 1 RW uint32 b[31:0] - + - - - - ip_header_checksum 0x0006601c 1 RW uint32 b[15:0] - + - - - - ip_protocol 0x0006601d 1 RW uint32 b[7:0] - + - - - - ip_time_to_live 0x0006601e 1 RW uint32 b[7:0] - + - - - - ip_fragment_offset 0x0006601f 1 RW uint32 b[12:0] - + - - - - ip_flags 0x00066020 1 RW uint32 b[2:0] - + - - - - ip_identification 0x00066021 1 RW uint32 b[15:0] - + - - - - ip_total_length 0x00066022 1 RW uint32 b[15:0] - + - - - - ip_services 0x00066023 1 RW uint32 b[7:0] - + - - - - ip_header_length 0x00066024 1 RW uint32 b[3:0] - + - - - - ip_version 0x00066025 1 RW uint32 b[3:0] - + - - - - eth_type 0x00066026 1 RO uint32 b[15:0] - + - - - - eth_source_mac 0x00066027 1 RO uint64 b[31:0] b[31:0] + - - - - - 0x00066028 - - - b[15:0] b[47:32] + - - - - eth_destination_mac 0x00066029 1 RW uint64 b[31:0] b[31:0] + - - - - - 0x0006602a - - - b[15:0] b[47:32] + - - - - word_align 0x0006602b 1 RW uint32 b[15:0] - REG_NW_10GBE_MAC 1 1 REG rx_transfer_control 0x00068000 1 RW uint32 b[0:0] - - - - - rx_transfer_status 0x00068001 1 RO uint32 b[0:0] - - - - - tx_transfer_control 0x00068002 1 RW uint32 b[0:0] - diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml b/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml index 5bb941d05775856b5417be5bc07050ff9ee45607..d8b383280724a55beace100934ee5e93420c0380 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml @@ -244,22 +244,22 @@ peripherals: - RAM_ST_BST - peripheral_name: common/common_variable_delay - peripheral_group: bst + peripheral_group: bst0 mm_port_names: - REG_STAT_ENABLE_BST_0 - peripheral_name: sdp/sdp_statistics_offload_hdr_dat_bst - peripheral_group: bst + peripheral_group: bst0 mm_port_names: - REG_STAT_HDR_DAT_BST_0 - peripheral_name: common/common_variable_delay - peripheral_group: bst + peripheral_group: bst1 mm_port_names: - REG_STAT_ENABLE_BST_1 - peripheral_name: sdp/sdp_statistics_offload_hdr_dat_bst - peripheral_group: bst + peripheral_group: bst1 mm_port_names: - REG_STAT_HDR_DAT_BST_1 diff --git a/applications/lofar2/libraries/sdp/sdp.peripheral.yaml b/applications/lofar2/libraries/sdp/sdp.peripheral.yaml index 2542eff1d91997df3074d65cd3546b415a56bfc5..0b5da7eda85b1ce312f2720313fa315772f28c7b 100644 --- a/applications/lofar2/libraries/sdp/sdp.peripheral.yaml +++ b/applications/lofar2/libraries/sdp/sdp.peripheral.yaml @@ -24,14 +24,12 @@ peripherals: - - { field_name: f_adc, mm_width: 1, access_mode: RO, address_offset: 0x10 } - - { field_name: fsub_type, mm_width: 1, access_mode: RO, address_offset: 0x14 } - - { field_name: beam_repositioning_flag, mm_width: 1, access_mode: RW, address_offset: 0x18 } - - - { field_name: subband_calibrated_flag, mm_width: 1, access_mode: RW, address_offset: 0x1C } - - - { field_name: O_si, mm_width: 8, access_mode: RW, address_offset: 0x20 } - - - { field_name: N_si, mm_width: 8, access_mode: RW, address_offset: 0x24 } - - - { field_name: O_rn, mm_width: 8, access_mode: RW, address_offset: 0x28 } - - - { field_name: N_rn, mm_width: 8, access_mode: RW, address_offset: 0x2C } - - - { field_name: block_period, mm_width: 16, access_mode: RO, address_offset: 0x30 } - - - { field_name: beamlet_scale, mm_width: 16, access_mode: RW, address_offset: 0x34 } - + - - { field_name: O_si, mm_width: 8, access_mode: RW, address_offset: 0x1C } + - - { field_name: N_si, mm_width: 8, access_mode: RW, address_offset: 0x20 } + - - { field_name: O_rn, mm_width: 8, access_mode: RW, address_offset: 0x24 } + - - { field_name: N_rn, mm_width: 8, access_mode: RW, address_offset: 0x28 } + - - { field_name: block_period, mm_width: 16, access_mode: RO, address_offset: 0x2C } + - - { field_name: beamlet_scale, mm_width: 16, access_mode: RW, address_offset: 0x30 } - peripheral_name: sdp_subband_equalizer # pi_sdp_subband_equalizer.py peripheral_description: "SDP Subband equalizer coefficients." diff --git a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_filterbank.vhd b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_filterbank.vhd index cea8db61daf21b5f74863ceeddee8085124c7e26..51de3371d0576e1947962dd91cc07a744f23160a 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_filterbank.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/node_sdp_filterbank.vhd @@ -118,7 +118,9 @@ ARCHITECTURE str OF node_sdp_filterbank IS SIGNAL subband_equalizer_out_sosi_arr : t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); SIGNAL dp_selector_out_sosi_arr : t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0) := (OTHERS => c_dp_sosi_rst); SIGNAL scope_sosi_arr : t_dp_sosi_integer_arr(c_sdp_S_pn-1 DOWNTO 0); - + + SIGNAL selector_en : STD_LOGIC; + SIGNAL subband_calibrated_flag : STD_LOGIC; BEGIN --------------------------------------------------------------- -- SPECTRAL INVERSION @@ -224,7 +226,9 @@ BEGIN pipe_sosi_arr => wpfb_unit_out_sosi_arr, ref_sosi_arr => subband_equalizer_out_sosi_arr, - out_sosi_arr => dp_selector_out_sosi_arr + out_sosi_arr => dp_selector_out_sosi_arr, + + selector_en => selector_en ); --------------------------------------------------------------- @@ -307,6 +311,8 @@ BEGIN --------------------------------------------------------------- -- STATISTICS OFFLOAD --------------------------------------------------------------- + subband_calibrated_flag <= NOT selector_en; + u_sdp_sst_udp_offload: ENTITY work.sdp_statistics_offload GENERIC MAP ( g_statistics_type => "SST", @@ -330,6 +336,7 @@ BEGIN sdp_info => sdp_info, gn_index => TO_UINT(gn_id), + subband_calibrated_flag => subband_calibrated_flag, in_sosi => dp_selector_out_sosi_arr(0), out_sosi => sst_udp_sosi, diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_info_reg.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_info_reg.vhd index bcd6e5a201e0665eca382bc41940a8684bfe3273..e3497967e850d654ab0c24440e158f6a6442e680 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_info_reg.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_info_reg.vhd @@ -60,7 +60,7 @@ END sdp_info_reg; ARCHITECTURE str OF sdp_info_reg IS - CONSTANT c_field_arr : t_common_field_arr(13 DOWNTO 0) := + CONSTANT c_field_arr : t_common_field_arr(12 DOWNTO 0) := ( (field_name_pad("station_id"), "RW", 16, field_default(0)), (field_name_pad("antenna_band_index"), "RO", 1, field_default(0)), (field_name_pad("observation_id"), "RW", 32, field_default(0)), @@ -68,7 +68,6 @@ ARCHITECTURE str OF sdp_info_reg IS (field_name_pad("f_adc"), "RO", 1, field_default(0)), (field_name_pad("fsub_type"), "RO", 1, field_default(0)), (field_name_pad("beam_repositioning_flag"), "RW", 1, field_default(0)), - (field_name_pad("subband_calibrated_flag"), "RW", 1, field_default(0)), (field_name_pad("O_si"), "RW", 8, field_default(0)), (field_name_pad("N_si"), "RW", 8, field_default(0)), (field_name_pad("O_rn"), "RW", 8, field_default(0)), @@ -131,7 +130,6 @@ BEGIN sdp_info_wr.observation_id <= mm_fields_out(field_hi(c_field_arr, "observation_id") DOWNTO field_lo(c_field_arr, "observation_id")); sdp_info_wr.nyquist_zone_index <= mm_fields_out(field_hi(c_field_arr, "nyquist_zone_index") DOWNTO field_lo(c_field_arr, "nyquist_zone_index")); sdp_info_wr.beam_repositioning_flag <= sl(mm_fields_out(field_hi(c_field_arr, "beam_repositioning_flag") DOWNTO field_lo(c_field_arr, "beam_repositioning_flag"))); - sdp_info_wr.subband_calibrated_flag <= sl(mm_fields_out(field_hi(c_field_arr, "subband_calibrated_flag") DOWNTO field_lo(c_field_arr, "subband_calibrated_flag"))); sdp_info_wr.O_si <= mm_fields_out(field_hi(c_field_arr, "O_si") DOWNTO field_lo(c_field_arr, "O_si")); sdp_info_wr.N_si <= mm_fields_out(field_hi(c_field_arr, "N_si") DOWNTO field_lo(c_field_arr, "N_si")); sdp_info_wr.O_rn <= mm_fields_out(field_hi(c_field_arr, "O_rn") DOWNTO field_lo(c_field_arr, "O_rn")); diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd index 44d3cdf688ecd7b598e57f5f5d6bea4ebd9bc31a..72ad02ab1f2e6885fd13c8bebf27ce84a77d7807 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd @@ -51,7 +51,6 @@ PACKAGE sdp_pkg is f_adc : STD_LOGIC; fsub_type : STD_LOGIC; beam_repositioning_flag : STD_LOGIC; - subband_calibrated_flag : STD_LOGIC; O_si : STD_LOGIC_VECTOR(7 DOWNTO 0); N_si : STD_LOGIC_VECTOR(7 DOWNTO 0); O_rn : STD_LOGIC_VECTOR(7 DOWNTO 0); @@ -62,7 +61,7 @@ PACKAGE sdp_pkg is CONSTANT c_sdp_info_rst : t_sdp_info := ( (OTHERS => '0'), '0', (OTHERS => '0'), (OTHERS => '0'), - '0', '0', '0', '0', + '0', '0', '0', (OTHERS => '0'), (OTHERS => '0'), (OTHERS => '0'), (OTHERS => '0'), (OTHERS => '0'), (OTHERS => '0') ); diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_statistics_offload.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_statistics_offload.vhd index 8a5953606a796ee0ac203c5265b200167b5ace99..dce30f2147dcd9cf534c73e145618a69d9fc2f59 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_statistics_offload.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_statistics_offload.vhd @@ -74,10 +74,11 @@ ENTITY sdp_statistics_offload IS out_siso : IN t_dp_siso; -- inputs from other blocks - eth_src_mac : IN STD_LOGIC_VECTOR(c_network_eth_mac_addr_w-1 DOWNTO 0); - udp_src_port : IN STD_LOGIC_VECTOR(c_network_udp_port_w-1 DOWNTO 0); - ip_src_addr : IN STD_LOGIC_VECTOR(c_network_ip_addr_w-1 DOWNTO 0); - sdp_info : IN t_sdp_info; + eth_src_mac : IN STD_LOGIC_VECTOR(c_network_eth_mac_addr_w-1 DOWNTO 0); + udp_src_port : IN STD_LOGIC_VECTOR(c_network_udp_port_w-1 DOWNTO 0); + ip_src_addr : IN STD_LOGIC_VECTOR(c_network_ip_addr_w-1 DOWNTO 0); + sdp_info : IN t_sdp_info; + subband_calibrated_flag : IN STD_LOGIC := '0'; gn_index : IN NATURAL ); @@ -168,7 +169,7 @@ BEGIN dp_header_info(field_hi(c_sdp_stat_hdr_field_arr, "sdp_source_info_fsub_type" ) DOWNTO field_lo(c_sdp_stat_hdr_field_arr, "sdp_source_info_fsub_type" )) <= SLV(sdp_info.fsub_type); dp_header_info(field_hi(c_sdp_stat_hdr_field_arr, "sdp_source_info_payload_error" ) DOWNTO field_lo(c_sdp_stat_hdr_field_arr, "sdp_source_info_payload_error" )) <= SLV(r.payload_err); dp_header_info(field_hi(c_sdp_stat_hdr_field_arr, "sdp_source_info_beam_repositioning_flag" ) DOWNTO field_lo(c_sdp_stat_hdr_field_arr, "sdp_source_info_beam_repositioning_flag" )) <= SLV(sdp_info.beam_repositioning_flag); - dp_header_info(field_hi(c_sdp_stat_hdr_field_arr, "sdp_source_info_subband_calibrated_flag" ) DOWNTO field_lo(c_sdp_stat_hdr_field_arr, "sdp_source_info_subband_calibrated_flag" )) <= SLV(sdp_info.subband_calibrated_flag); + dp_header_info(field_hi(c_sdp_stat_hdr_field_arr, "sdp_source_info_subband_calibrated_flag" ) DOWNTO field_lo(c_sdp_stat_hdr_field_arr, "sdp_source_info_subband_calibrated_flag" )) <= SLV(subband_calibrated_flag); dp_header_info(field_hi(c_sdp_stat_hdr_field_arr, "sdp_source_info_reserved" ) DOWNTO field_lo(c_sdp_stat_hdr_field_arr, "sdp_source_info_reserved" )) <= (OTHERS => '0'); dp_header_info(field_hi(c_sdp_stat_hdr_field_arr, "sdp_source_info_gn_id" ) DOWNTO field_lo(c_sdp_stat_hdr_field_arr, "sdp_source_info_gn_id" )) <= TO_UVEC(gn_index, 5); dp_header_info(field_hi(c_sdp_stat_hdr_field_arr, "sdp_reserved" ) DOWNTO field_lo(c_sdp_stat_hdr_field_arr, "sdp_reserved" )) <= (OTHERS => '0'); diff --git a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_info.vhd b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_info.vhd index 2f691bdbcdd74a93cb43dd6db278bf85d1fcab60..e5dd58d4268f8d3efbd19229577b823a42c17d19 100644 --- a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_info.vhd +++ b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_info.vhd @@ -58,14 +58,13 @@ ARCHITECTURE tb OF tb_sdp_info IS CONSTANT c_mm_addr_O_rn : NATURAL := 3; CONSTANT c_mm_addr_N_si : NATURAL := 4; CONSTANT c_mm_addr_O_si : NATURAL := 5; - CONSTANT c_mm_addr_subband_calibrated_flag : NATURAL := 6; - CONSTANT c_mm_addr_beam_repositioning_flag : NATURAL := 7; - CONSTANT c_mm_addr_fsub_type : NATURAL := 8; - CONSTANT c_mm_addr_f_adc : NATURAL := 9; - CONSTANT c_mm_addr_nyquist_zone_index : NATURAL := 10; - CONSTANT c_mm_addr_observation_id : NATURAL := 11; - CONSTANT c_mm_addr_antenna_band_index : NATURAL := 12; - CONSTANT c_mm_addr_station_id : NATURAL := 13; + CONSTANT c_mm_addr_beam_repositioning_flag : NATURAL := 6; + CONSTANT c_mm_addr_fsub_type : NATURAL := 7; + CONSTANT c_mm_addr_f_adc : NATURAL := 8; + CONSTANT c_mm_addr_nyquist_zone_index : NATURAL := 9; + CONSTANT c_mm_addr_observation_id : NATURAL := 10; + CONSTANT c_mm_addr_antenna_band_index : NATURAL := 11; + CONSTANT c_mm_addr_station_id : NATURAL := 12; SIGNAL tb_end : STD_LOGIC := '0'; SIGNAL tb_mm_reg_end : STD_LOGIC := '0'; @@ -110,7 +109,6 @@ BEGIN proc_mem_mm_bus_wr(c_mm_addr_O_rn ,13 ,mm_clk, reg_miso, reg_mosi); proc_mem_mm_bus_wr(c_mm_addr_N_si ,14 ,mm_clk, reg_miso, reg_mosi); proc_mem_mm_bus_wr(c_mm_addr_O_si ,15 ,mm_clk, reg_miso, reg_mosi); - proc_mem_mm_bus_wr(c_mm_addr_subband_calibrated_flag,1 ,mm_clk, reg_miso, reg_mosi); proc_mem_mm_bus_wr(c_mm_addr_beam_repositioning_flag,1 ,mm_clk, reg_miso, reg_mosi); proc_mem_mm_bus_wr(c_mm_addr_fsub_type ,1 ,mm_clk, reg_miso, reg_mosi); -- RO proc_mem_mm_bus_wr(c_mm_addr_f_adc ,1 ,mm_clk, reg_miso, reg_mosi); -- RO @@ -144,10 +142,6 @@ BEGIN mm_natural_response <= TO_UINT(reg_miso.rddata); proc_common_wait_some_cycles(mm_clk, 1); ASSERT mm_natural_response = 15 REPORT "wrong O_si" SEVERITY ERROR; - proc_mem_mm_bus_rd(c_mm_addr_subband_calibrated_flag, mm_clk, reg_mosi); proc_mem_mm_bus_rd_latency(c_mem_reg_rd_latency, mm_clk); - mm_natural_response <= TO_UINT(reg_miso.rddata); proc_common_wait_some_cycles(mm_clk, 1); - ASSERT mm_natural_response = 1 REPORT "wrong subband_calibrated_flag" SEVERITY ERROR; - proc_mem_mm_bus_rd(c_mm_addr_beam_repositioning_flag, mm_clk, reg_mosi); proc_mem_mm_bus_rd_latency(c_mem_reg_rd_latency, mm_clk); mm_natural_response <= TO_UINT(reg_miso.rddata); proc_common_wait_some_cycles(mm_clk, 1); ASSERT mm_natural_response = 1 REPORT "wrong beam_repositioning_flag" SEVERITY ERROR; @@ -235,7 +229,6 @@ BEGIN ASSERT TO_UINT(sdp_info.O_rn) = 13 REPORT "wrong sdp_info.O_rn value" SEVERITY ERROR; ASSERT TO_UINT(sdp_info.N_si) = 14 REPORT "wrong sdp_info.N_si value" SEVERITY ERROR; ASSERT TO_UINT(sdp_info.O_si) = 15 REPORT "wrong sdp_info.O_si value" SEVERITY ERROR; - ASSERT sdp_info.subband_calibrated_flag = '1' REPORT "wrong sdp_info.subband_calibrated_flag value" SEVERITY ERROR; ASSERT sdp_info.beam_repositioning_flag = '1' REPORT "wrong sdp_info.beam_repositioning_flag value" SEVERITY ERROR; ASSERT sdp_info.fsub_type = '1' REPORT "wrong sdp_info.fsub_type value" SEVERITY ERROR; ASSERT sdp_info.f_adc = '1' REPORT "wrong sdp_info.f_adc value" SEVERITY ERROR; diff --git a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_statistics_offload.vhd b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_statistics_offload.vhd index 751119c7d17c5a81dc1d7a0544e5f43001d2cf3c..ad26814881b3aa41ff5e9114e3f3128ca294f5d8 100644 --- a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_statistics_offload.vhd +++ b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_statistics_offload.vhd @@ -145,7 +145,6 @@ ARCHITECTURE tb OF tb_sdp_statistics_offload IS '0', -- f_adc '1', -- fsub_type '0', -- beam_repositioning_flag - '1', -- subband_calibrated_flag x"01", -- O_si x"02", -- N_si x"04", -- O_rn diff --git a/boards/uniboard2c/designs/refdesigns/Arria10_SIBoard_24Ch_3_Phy_TTK_ES3_15_1_1_Uniboard-24qsfp-24ring.qar b/boards/uniboard2c/designs/refdesigns/Arria10_SIBoard_24Ch_3_Phy_TTK_ES3_15_1_1_Uniboard-24qsfp-24ring.qar new file mode 100644 index 0000000000000000000000000000000000000000..83ba0471de53ab760418058c1fdadcda7a42a0e9 Binary files /dev/null and b/boards/uniboard2c/designs/refdesigns/Arria10_SIBoard_24Ch_3_Phy_TTK_ES3_15_1_1_Uniboard-24qsfp-24ring.qar differ diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_clk_0.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_clk_0.ip index d980dd42b1ef54823cd0004a9fcaf67894a36b0e..b938fc3b697adb9436af7cb9347462525d104e51 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_clk_0.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_clk_0.ip @@ -28,7 +28,7 @@ <ipxact:parameter parameterId="clockRate" type="longint"> <ipxact:name>clockRate</ipxact:name> <ipxact:displayName>Clock rate</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="externallyDriven" type="bit"> <ipxact:name>externallyDriven</ipxact:name> @@ -122,7 +122,7 @@ <ipxact:parameter parameterId="clockRate" type="longint"> <ipxact:name>clockRate</ipxact:name> <ipxact:displayName>Clock rate</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="clockRateKnown" type="bit"> <ipxact:name>clockRateKnown</ipxact:name> @@ -269,7 +269,7 @@ <ipxact:parameter parameterId="clockFrequency" type="longint"> <ipxact:name>clockFrequency</ipxact:name> <ipxact:displayName>Clock frequency</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="clockFrequencyKnown" type="bit"> <ipxact:name>clockFrequencyKnown</ipxact:name> @@ -325,11 +325,6 @@ } element clk_0 { - datum _originalVersion - { - value = "18.0"; - type = "String"; - } } } </ipxact:value> @@ -370,7 +365,7 @@ <parameterValueMap> <entry> <key>clockRate</key> - <value>50000000</value> + <value>125000000</value> </entry> <entry> <key>externallyDriven</key> @@ -441,7 +436,7 @@ </entry> <entry> <key>clockRate</key> - <value>50000000</value> + <value>125000000</value> </entry> <entry> <key>clockRateKnown</key> @@ -510,7 +505,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_jtag_uart_0.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_jtag_uart_0.ip index b2fd166af385c45926da6aeb8f04b5fed9cd4a5f..ac32d4aa13a76d8047fe3e16a3a960b2182f06d5 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_jtag_uart_0.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_jtag_uart_0.ip @@ -652,7 +652,7 @@ <ipxact:parameter parameterId="clkFreq" type="longint"> <ipxact:name>clkFreq</ipxact:name> <ipxact:displayName>clkFreq</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="avalonSpec" type="string"> <ipxact:name>avalonSpec</ipxact:name> @@ -1254,7 +1254,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_nios2_gen2_0.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_nios2_gen2_0.ip index c289a2634e9241e1a40a58110f9b2342ffc89df8..f42ad72c38597128f012c2aff49008de92c8d440 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_nios2_gen2_0.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_nios2_gen2_0.ip @@ -2302,7 +2302,7 @@ <ipxact:parameter parameterId="dataSlaveMapParam" type="string"> <ipxact:name>dataSlaveMapParam</ipxact:name> <ipxact:displayName>dataSlaveMapParam</ipxact:displayName> - <ipxact:value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x80' end='0xC0' datawidth='32' /><slave name='avs_eth_0.mms_reg' start='0xC0' end='0x100' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x200' end='0x300' datawidth='32' /><slave name='reg_epcs.mem' start='0x300' end='0x320' datawidth='32' /><slave name='reg_remu.mem' start='0x320' end='0x340' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x340' end='0x360' datawidth='32' /><slave name='timer_0.s1' start='0x360' end='0x380' datawidth='16' /><slave name='pio_pps.mem' start='0x380' end='0x390' datawidth='32' /><slave name='pio_wdi.s1' start='0x390' end='0x3A0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x3A0' end='0x3A8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x3A8' end='0x3B0' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x3B0' end='0x3B8' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x3B8' end='0x3C0' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x3C0' end='0x3C8' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='ram_scrap.mem' start='0x3800' end='0x4000' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x4000' end='0x4800' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map></ipxact:value> + <ipxact:value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /><slave name='timer_0.s1' start='0x100' end='0x120' datawidth='16' /><slave name='reg_epcs.mem' start='0x120' end='0x140' datawidth='32' /><slave name='reg_remu.mem' start='0x140' end='0x160' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x160' end='0x180' datawidth='32' /><slave name='pio_wdi.s1' start='0x180' end='0x190' datawidth='32' /><slave name='pio_pps.mem' start='0x190' end='0x1A0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x1A0' end='0x1A8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x1A8' end='0x1B0' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x1B0' end='0x1B8' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x1B8' end='0x1C0' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x1C0' end='0x1C8' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='ram_scrap.mem' start='0x3800' end='0x4000' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x4000' end='0x4800' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map></ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="tightlyCoupledDataMaster0MapParam" type="string"> <ipxact:name>tightlyCoupledDataMaster0MapParam</ipxact:name> @@ -2357,7 +2357,7 @@ <ipxact:parameter parameterId="clockFrequency" type="longint"> <ipxact:name>clockFrequency</ipxact:name> <ipxact:displayName>clockFrequency</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="deviceFamilyName" type="string"> <ipxact:name>deviceFamilyName</ipxact:name> @@ -2436,7 +2436,7 @@ </ipxact:parameter> <ipxact:parameter parameterId="embeddedsw.CMacro.CPU_FREQ" type="string"> <ipxact:name>embeddedsw.CMacro.CPU_FREQ</ipxact:name> - <ipxact:value>50000000u</ipxact:value> + <ipxact:value>125000000u</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="embeddedsw.CMacro.CPU_ID_SIZE" type="string"> <ipxact:name>embeddedsw.CMacro.CPU_ID_SIZE</ipxact:name> @@ -2596,7 +2596,7 @@ </ipxact:parameter> <ipxact:parameter parameterId="embeddedsw.dts.params.clock-frequency" type="string"> <ipxact:name>embeddedsw.dts.params.clock-frequency</ipxact:name> - <ipxact:value>50000000u</ipxact:value> + <ipxact:value>125000000u</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="embeddedsw.dts.params.dcache-line-size" type="string"> <ipxact:name>embeddedsw.dts.params.dcache-line-size</ipxact:name> @@ -3554,7 +3554,7 @@ </entry> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> <entry> <key>RESET_DOMAIN</key> @@ -3584,7 +3584,7 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0x80' end='0xC0' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0xC0' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_unb_pmbus.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_unb_sens.mem' start='0x200' end='0x300' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x300' end='0x320' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x320' end='0x340' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x340' end='0x360' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x360' end='0x380' datawidth='16' /&gt;&lt;slave name='pio_pps.mem' start='0x380' end='0x390' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x390' end='0x3A0' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x3A0' end='0x3A8' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x3A8' end='0x3B0' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x3B0' end='0x3B8' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x3B8' end='0x3C0' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x3C0' end='0x3C8' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='ram_scrap.mem' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x4000' end='0x4800' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + <value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x100' end='0x120' datawidth='16' /&gt;&lt;slave name='reg_epcs.mem' start='0x120' end='0x140' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x140' end='0x160' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x160' end='0x180' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x180' end='0x190' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x190' end='0x1A0' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x1A0' end='0x1A8' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x1A8' end='0x1B0' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x1B0' end='0x1B8' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x1B8' end='0x1C0' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x1C0' end='0x1C8' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='ram_scrap.mem' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x4000' end='0x4800' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</value> </entry> <entry> <key>ADDRESS_WIDTH</key> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_pio_pps.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_pio_pps.ip index f1169e525fdf56a8ce244da8e659577138f82911..5df481998361dd9675205974c35591e5fd36c086 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_pio_pps.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_pio_pps.ip @@ -870,7 +870,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1482,7 +1482,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_pio_system_info.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_pio_system_info.ip index 47180e749de0ca1f2965ecb262709212d9c5ea0a..8d6be7385335f11dad6373c185b6152f711c6b1f 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_pio_system_info.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_pio_system_info.ip @@ -870,7 +870,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1482,7 +1482,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_pio_wdi.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_pio_wdi.ip index 9f5113bb4bcf968f0fdddf1dc35ffd661b244e8b..b43f34f2e245599c13c4a52d89348be4c09b709f 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_pio_wdi.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_pio_wdi.ip @@ -600,7 +600,7 @@ <ipxact:parameter parameterId="clockRate" type="longint"> <ipxact:name>clockRate</ipxact:name> <ipxact:displayName>clockRate</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="derived_has_tri" type="bit"> <ipxact:name>derived_has_tri</ipxact:name> @@ -676,7 +676,7 @@ </ipxact:parameter> <ipxact:parameter parameterId="embeddedsw.CMacro.FREQ" type="string"> <ipxact:name>embeddedsw.CMacro.FREQ</ipxact:name> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="embeddedsw.CMacro.HAS_IN" type="string"> <ipxact:name>embeddedsw.CMacro.HAS_IN</ipxact:name> @@ -1246,7 +1246,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_ram_scrap.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_ram_scrap.ip index bf7b413301752d83aa57e80f52a68757ae877c78..0a558572d3bc8dc6afc84bf2899172a4a5ae6b3a 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_ram_scrap.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_ram_scrap.ip @@ -870,7 +870,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1482,7 +1482,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_dpmm_ctrl.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_dpmm_ctrl.ip index f509ebde66b819939231358dcb85b7aed8685119..2ac3ca967ff2588dee1c44f0bb53ad18dcf02b09 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_dpmm_ctrl.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_dpmm_ctrl.ip @@ -860,7 +860,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1472,7 +1472,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_dpmm_data.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_dpmm_data.ip index de81d57e9f24a2f062d803710fc71f53f1f56719..3cb7ebfd568557315ded82df977c7126060f09d8 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_dpmm_data.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_dpmm_data.ip @@ -860,7 +860,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1472,7 +1472,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_epcs.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_epcs.ip index b2a6132982e627f65d4f51832a12736642fae54b..f371d8f2d4797917ef1065c96a406bc61053e5c0 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_epcs.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_epcs.ip @@ -870,7 +870,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1482,7 +1482,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_fpga_temp_sens.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_fpga_temp_sens.ip index 4f1f2877090da0a26632682889cf49212895023b..2b8de706df5525d4136f8f62e4a0cafd7922bc8c 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_fpga_temp_sens.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_fpga_temp_sens.ip @@ -870,7 +870,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1482,7 +1482,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_fpga_voltage_sens.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_fpga_voltage_sens.ip index 9030cf4b4966b3d8c5a7b565e959a4eef8143769..acbe55cdcb0bc35ce63c002b9d4dcc98df634186 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_fpga_voltage_sens.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_fpga_voltage_sens.ip @@ -870,7 +870,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1482,7 +1482,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_mmdp_ctrl.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_mmdp_ctrl.ip index e2d246ce69fe219eed95eb6d7f11261095b0fd2e..e3c60bdc19f9e9004650240d24bcc794fa38ac8e 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_mmdp_ctrl.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_mmdp_ctrl.ip @@ -860,7 +860,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1472,7 +1472,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_mmdp_data.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_mmdp_data.ip index 8dcd4febbaa859c7d0d1d3d231febdcbc87034ee..c2821242750b7efaffae8d094462461b7c4b4e34 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_mmdp_data.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_mmdp_data.ip @@ -860,7 +860,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1472,7 +1472,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_remu.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_remu.ip index 8a739a206b8f666d340d377f27ea378b3c017fe8..a5ce515b9c112019ae1e4c737b1850dd71eef3ad 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_remu.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_remu.ip @@ -870,7 +870,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1482,7 +1482,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_wdi.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_wdi.ip index 6143ff7dc9d730cfbacc03f87d3048f94f6ccf45..c939ec23707a1e8635ac3fd84de38eb56a0baa81 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_wdi.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_reg_wdi.ip @@ -860,7 +860,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1472,7 +1472,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_rom_system_info.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_rom_system_info.ip index 3db050ed0b714864ab86b9e7f088c5906e605de5..9c8fd2f545d2d6d58f2e10eed8a2940335617cad 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_rom_system_info.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_rom_system_info.ip @@ -870,7 +870,7 @@ <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint"> <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name> <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> </ipxact:parameters> </altera:altera_module_parameters> @@ -1482,7 +1482,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_timer_0.ip b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_timer_0.ip index 7a3ceaa691adbf542928e5cd9d56640db9fc0534..9b925ba3aaf6973f1a30c31836449d2136a14024 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_timer_0.ip +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/ip/qsys_unb2c_minimal/qsys_unb2c_minimal_timer_0.ip @@ -604,7 +604,7 @@ <ipxact:parameter parameterId="systemFrequency" type="longint"> <ipxact:name>systemFrequency</ipxact:name> <ipxact:displayName>systemFrequency</ipxact:displayName> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="watchdogPulse" type="int"> <ipxact:name>watchdogPulse</ipxact:name> @@ -629,7 +629,7 @@ <ipxact:parameter parameterId="loadValue" type="string"> <ipxact:name>loadValue</ipxact:name> <ipxact:displayName>loadValue</ipxact:displayName> - <ipxact:value>49999</ipxact:value> + <ipxact:value>124999</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="mult" type="real"> <ipxact:name>mult</ipxact:name> @@ -664,11 +664,11 @@ </ipxact:parameter> <ipxact:parameter parameterId="embeddedsw.CMacro.FREQ" type="string"> <ipxact:name>embeddedsw.CMacro.FREQ</ipxact:name> - <ipxact:value>50000000</ipxact:value> + <ipxact:value>125000000</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="embeddedsw.CMacro.LOAD_VALUE" type="string"> <ipxact:name>embeddedsw.CMacro.LOAD_VALUE</ipxact:name> - <ipxact:value>49999</ipxact:value> + <ipxact:value>124999</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="embeddedsw.CMacro.MULT" type="string"> <ipxact:name>embeddedsw.CMacro.MULT</ipxact:name> @@ -1237,7 +1237,7 @@ <cmsisVars> <entry> <key>period_name_1_reset_value</key> - <value>0x0</value> + <value>0x1</value> </entry> <entry> <key>snap_0</key> @@ -1245,7 +1245,7 @@ </entry> <entry> <key>period_name_0_reset_value</key> - <value>0xc34f</value> + <value>0xe847</value> </entry> <entry> <key>snap_2</key> @@ -1346,7 +1346,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> diff --git a/boards/uniboard2c/designs/unb2c_minimal/quartus/qsys_unb2c_minimal.qsys b/boards/uniboard2c/designs/unb2c_minimal/quartus/qsys_unb2c_minimal.qsys index 55c5f3362f3d2041b748f17fc9cb6c0a9e83468f..4d629bdec660fe54fa08d8a953c6c5a34e7bfb92 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/quartus/qsys_unb2c_minimal.qsys +++ b/boards/uniboard2c/designs/unb2c_minimal/quartus/qsys_unb2c_minimal.qsys @@ -3931,7 +3931,7 @@ </entry> <entry> <key>clockRate</key> - <value>50000000</value> + <value>125000000</value> </entry> <entry> <key>clockRateKnown</key> @@ -3973,7 +3973,7 @@ <parameterValueMap> <entry> <key>clockRate</key> - <value>50000000</value> + <value>125000000</value> </entry> <entry> <key>externallyDriven</key> @@ -4082,7 +4082,7 @@ <suppliedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </suppliedSystemInfos> <consumedSystemInfos/> @@ -4106,47 +4106,6 @@ </componentDefinition>]]></parameter> <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>clk_out</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - <value>clk_in</value> - </entry> - <entry> - <key>clockRate</key> - <value>50000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>true</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> <interface> <name>clk_in</name> <type>clock</type> @@ -4173,7 +4132,7 @@ <parameterValueMap> <entry> <key>clockRate</key> - <value>50000000</value> + <value>125000000</value> </entry> <entry> <key>externallyDriven</key> @@ -4219,6 +4178,47 @@ </parameterValueMap> </parameters> </interface> + <interface> + <name>clk</name> + <type>clock</type> + <isStart>true</isStart> + <ports> + <port> + <name>clk_out</name> + <role>clk</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedDirectClock</key> + <value>clk_in</value> + </entry> + <entry> + <key>clockRate</key> + <value>125000000</value> + </entry> + <entry> + <key>clockRateKnown</key> + <value>true</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>true</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> <interface> <name>clk_reset</name> <type>reset</type> @@ -5447,7 +5447,7 @@ </entry> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> <entry> <key>RESET_DOMAIN</key> @@ -6453,7 +6453,7 @@ </entry> <entry> <key>embeddedsw.CMacro.CPU_FREQ</key> - <value>50000000u</value> + <value>125000000u</value> </entry> <entry> <key>embeddedsw.CMacro.CPU_ID_SIZE</key> @@ -6613,7 +6613,7 @@ </entry> <entry> <key>embeddedsw.dts.params.clock-frequency</key> - <value>50000000u</value> + <value>125000000u</value> </entry> <entry> <key>embeddedsw.dts.params.dcache-line-size</key> @@ -7157,7 +7157,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -9101,7 +9101,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -10242,7 +10242,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -11297,7 +11297,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -11843,7 +11843,7 @@ </entry> <entry> <key>embeddedsw.CMacro.FREQ</key> - <value>50000000</value> + <value>125000000</value> </entry> <entry> <key>embeddedsw.CMacro.HAS_IN</key> @@ -12471,7 +12471,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -13612,7 +13612,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -14753,7 +14753,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -15894,7 +15894,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -17035,7 +17035,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -18176,7 +18176,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -19317,7 +19317,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -20458,7 +20458,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -21599,7 +21599,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -22740,7 +22740,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -23881,7 +23881,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -24934,7 +24934,7 @@ <cmsisVars> <entry> <key>period_name_1_reset_value</key> - <value>0x0</value> + <value>0x1</value> </entry> <entry> <key>snap_0</key> @@ -24942,7 +24942,7 @@ </entry> <entry> <key>period_name_0_reset_value</key> - <value>0xc34f</value> + <value>0xe847</value> </entry> <entry> <key>snap_2</key> @@ -25056,7 +25056,7 @@ <consumedSystemInfos> <entry> <key>CLOCK_RATE</key> - <value>50000000</value> + <value>125000000</value> </entry> </consumedSystemInfos> </value> @@ -25569,7 +25569,7 @@ <cmsisVars> <entry> <key>period_name_1_reset_value</key> - <value>0x0</value> + <value>0x1</value> </entry> <entry> <key>snap_0</key> @@ -25577,7 +25577,7 @@ </entry> <entry> <key>period_name_0_reset_value</key> - <value>0xc34f</value> + <value>0xe847</value> </entry> <entry> <key>snap_2</key> @@ -25706,11 +25706,11 @@ </entry> <entry> <key>embeddedsw.CMacro.FREQ</key> - <value>50000000</value> + <value>125000000</value> </entry> <entry> <key>embeddedsw.CMacro.LOAD_VALUE</key> - <value>49999</value> + <value>124999</value> </entry> <entry> <key>embeddedsw.CMacro.MULT</key> diff --git a/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/unb2c_minimal.vhd b/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/unb2c_minimal.vhd index b58094eb47234e451221520244a9a7245cffbed0..396abb9df92fb24859f10371a2fd4144f9dda52f 100644 --- a/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/unb2c_minimal.vhd +++ b/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/unb2c_minimal.vhd @@ -70,7 +70,7 @@ ARCHITECTURE str OF unb2c_minimal IS -- Firmware version x.y -- If x >= 2, rom_info starts on 0x10000 and max size = 0x8192 words CONSTANT c_fw_version : t_unb2c_board_fw_version := (2, 0); - CONSTANT c_mm_clk_freq : NATURAL := c_unb2c_board_mm_clk_freq_50M; + CONSTANT c_mm_clk_freq : NATURAL := c_unb2c_board_mm_clk_freq_125M; -- System SIGNAL cs_sim : STD_LOGIC; diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test_pkg.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test_pkg.vhd index 306af33cbd1abe7428f9fbc65f9b60cd9cab2756..59c3a9e1cad55c33614bd63587ede07a56104089 100644 --- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test_pkg.vhd +++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test_pkg.vhd @@ -77,6 +77,7 @@ PACKAGE unb2c_test_pkg IS type_MB_II : t_c_tech_ddr; END RECORD; -- loop 1GbE 1GbE qsfp ring bk0 jesd DDR4 DDR4 heatr + CONSTANT c_test_minimal : t_unb2c_test_config := (FALSE, TRUE,FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,FALSE,c_tech_ddr4_8g_1600m, c_tech_ddr4_8g_1600m); CONSTANT c_test_10GbE : t_unb2c_test_config := (FALSE, TRUE, TRUE, TRUE, TRUE,FALSE,FALSE,FALSE,FALSE,FALSE,c_tech_ddr4_8g_1600m, c_tech_ddr4_8g_1600m); CONSTANT c_test_10GbE_qb : t_unb2c_test_config := (FALSE, TRUE, TRUE, TRUE,FALSE, TRUE,FALSE,FALSE,FALSE,FALSE,c_tech_ddr4_8g_1600m, c_tech_ddr4_8g_1600m); CONSTANT c_test_ddr : t_unb2c_test_config := (FALSE, TRUE, TRUE,FALSE,FALSE,FALSE,FALSE, TRUE, TRUE,FALSE,c_tech_ddr4_8g_1600m, c_tech_ddr4_8g_1600m); diff --git a/boards/uniboard2c/libraries/unb2c_board/hdllib.cfg b/boards/uniboard2c/libraries/unb2c_board/hdllib.cfg index f9b7f66a917452a6a6747356c7a3631bc2f16946..93583a2b56bf78bd132632a723dcbddd675cc9ac 100644 --- a/boards/uniboard2c/libraries/unb2c_board/hdllib.cfg +++ b/boards/uniboard2c/libraries/unb2c_board/hdllib.cfg @@ -7,6 +7,8 @@ hdl_lib_include_ip = ip_arria10_e2sg_tse_sgmii_lvds ip_arria10_e2sg_clkbuf_global ip_arria10_e2sg_fractional_pll_clk200 ip_arria10_e2sg_fractional_pll_clk125 + ip_arria10_e2sg_pll_clk125 + ip_arria10_e2sg_pll_clk200 synth_files = src/vhdl/unb2c_board_pkg.vhd diff --git a/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl b/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl index d75b4a025b541fd4891dd046685a2394940ee194..d49fe7f04b4eadc94aeeb17bea2e759cb39cc097 100644 --- a/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl +++ b/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl @@ -4,6 +4,8 @@ set_location_assignment PIN_J15 -to "CLK(n)" set_location_assignment PIN_N12 -to ETH_CLK[0] set_location_assignment PIN_AK33 -to ETH_CLK[1] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ETH_CLK[0] +set_instance_assignment -name IO_STANDARD "1.8 V" -to ETH_CLK[1] #set_location_assignment PIN_H17 -to S10_ETH_CLK diff --git a/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.sdc b/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.sdc index d97de2bec6e0e3371d5a2de28e3f5905e740844a..47c96bb304a46ca836b74b45e589238b0e218d13 100644 --- a/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.sdc +++ b/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.sdc @@ -44,7 +44,8 @@ set_time_format -unit ns -decimal_places 3 -create_clock -period 125Mhz [get_ports {ETH_CLK}] +create_clock -period 125Mhz [get_ports {ETH_CLK[0]}] +create_clock -period 125Mhz [get_ports {ETH_CLK[1]}] create_clock -period 200Mhz [get_ports {CLK}] create_clock -period 100Mhz [get_ports {CLKUSR}] create_clock -period 644.53125Mhz [get_ports {SA_CLK}] diff --git a/libraries/base/dp/src/vhdl/dp_selector.vhd b/libraries/base/dp/src/vhdl/dp_selector.vhd index f3eb8790bb103007e06e439bc044b668aaebe37e..682bbb15d1afe3dd8035d1c1bcb7abcf6a297455 100644 --- a/libraries/base/dp/src/vhdl/dp_selector.vhd +++ b/libraries/base/dp/src/vhdl/dp_selector.vhd @@ -52,7 +52,9 @@ ENTITY dp_selector IS pipe_sosi : IN t_dp_sosi; ref_sosi : IN t_dp_sosi; - out_sosi : OUT t_dp_sosi + out_sosi : OUT t_dp_sosi; + + selector_en : OUT STD_LOGIC ); END dp_selector; @@ -76,7 +78,9 @@ BEGIN pipe_sosi_arr(0) => pipe_sosi, ref_sosi_arr(0) => ref_sosi, - out_sosi_arr(0) => out_sosi + out_sosi_arr(0) => out_sosi, + + selector_en => selector_en ); END str; diff --git a/libraries/base/dp/src/vhdl/dp_selector_arr.vhd b/libraries/base/dp/src/vhdl/dp_selector_arr.vhd index 5b5f2c8d6c5a5f9eaffb6a545f281e3d6760d036..38c352179337af02be8c7791da4914c78f35f814 100644 --- a/libraries/base/dp/src/vhdl/dp_selector_arr.vhd +++ b/libraries/base/dp/src/vhdl/dp_selector_arr.vhd @@ -56,7 +56,9 @@ ENTITY dp_selector_arr IS pipe_sosi_arr : IN t_dp_sosi_arr(g_nof_arr-1 DOWNTO 0); ref_sosi_arr : IN t_dp_sosi_arr(g_nof_arr-1 DOWNTO 0); - out_sosi_arr : OUT t_dp_sosi_arr(g_nof_arr-1 DOWNTO 0) + out_sosi_arr : OUT t_dp_sosi_arr(g_nof_arr-1 DOWNTO 0); + + selector_en : OUT STD_LOGIC ); END dp_selector_arr; @@ -72,6 +74,8 @@ ARCHITECTURE str OF dp_selector_arr IS BEGIN + selector_en <= reg_selector_en(0); + u_mms_common_reg : ENTITY common_lib.mms_common_reg GENERIC MAP ( g_mm_reg => c_selector_mem_reg diff --git a/libraries/io/aduh/aduh.peripheral.yaml b/libraries/io/aduh/aduh.peripheral.yaml index 5f19809c1d61f2637f413ce97ce5adae7f62935b..3d06ffa0e07ce48bc2b53c781bfb0f66629419f4 100644 --- a/libraries/io/aduh/aduh.peripheral.yaml +++ b/libraries/io/aduh/aduh.peripheral.yaml @@ -18,21 +18,17 @@ peripherals: mm_port_description: "Sum of samples and sample powers during a sync interval." number_of_mm_ports: g_nof_streams fields: - - - field_name: mean_sum_lo - field_description: "Mean sum[31:0] of samples during a sync interval." + - - field_name: mean_sum + field_description: "Mean sum of samples during a sync interval." address_offset: 0x0 + user_width: 64 + radix: int64 access_mode: RO - - - field_name: mean_sum_hi - field_description: "Mean sum[63:32] of samples during a sync interval." - address_offset: 0x4 - access_mode: RO - - - field_name: power_sum_lo - field_description: "Power sum[31:0] of sample powers during a sync interval." + - - field_name: power_sum + field_description: "Power sum of samples during a sync interval." address_offset: 0x8 - access_mode: RO - - - field_name: power_sum_hi - field_description: "Power sum[63:32] of sample powers during a sync interval." - address_offset: 0xC + user_width: 64 + radix: int64 access_mode: RO - peripheral_name: aduh_mon_data_buffer # pi_aduh_monitor.py