From 370116f058a9f87d5e9b226427496b2017eabb6e Mon Sep 17 00:00:00 2001
From: David Brouwer <dbrouwer@astron.nl>
Date: Tue, 7 Nov 2023 14:22:06 +0100
Subject: [PATCH] Removed unnecessary carriage return.

---
 .../technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_ram_r_w.vhd     | 1 -
 .../ram/ip_agi027_xxxx_simple_dual_port_ram_dual_clock.vhd       | 1 -
 2 files changed, 2 deletions(-)

diff --git a/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_ram_r_w.vhd b/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_ram_r_w.vhd
index 9ad179c5ac..145e9a1d04 100644
--- a/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_ram_r_w.vhd
+++ b/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_ram_r_w.vhd
@@ -24,7 +24,6 @@
 -- Description:
 --   Copied component declaration and instance example from generated/ram_2port_2040/sim/ip_agi027_xxxx_ram_r_w_ram_2port_2040_gbkw2ny.vhd
 
-
 library ieee, technology_lib;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
diff --git a/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_simple_dual_port_ram_dual_clock.vhd b/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_simple_dual_port_ram_dual_clock.vhd
index f995957a3c..492bd615d8 100644
--- a/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_simple_dual_port_ram_dual_clock.vhd
+++ b/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_simple_dual_port_ram_dual_clock.vhd
@@ -31,7 +31,6 @@
 --   and the inferred Altera code was obtained using template insert with
 --   Quartus 14.0a10.  
 
-
 library ieee;
 use ieee.std_logic_1164.all;
 
-- 
GitLab