diff --git a/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_ram_r_w.vhd b/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_ram_r_w.vhd index 9ad179c5aca8595599e81bc59e34a7502be71a9f..145e9a1d040e80a98952204cf75a49c73a039673 100644 --- a/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_ram_r_w.vhd +++ b/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_ram_r_w.vhd @@ -24,7 +24,6 @@ -- Description: -- Copied component declaration and instance example from generated/ram_2port_2040/sim/ip_agi027_xxxx_ram_r_w_ram_2port_2040_gbkw2ny.vhd - library ieee, technology_lib; use ieee.std_logic_1164.all; use ieee.numeric_std.all; diff --git a/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_simple_dual_port_ram_dual_clock.vhd b/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_simple_dual_port_ram_dual_clock.vhd index f995957a3c53ad8c02578c422307bf36fc4ad6db..492bd615d86af378464405b6ec36c308fd09030a 100644 --- a/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_simple_dual_port_ram_dual_clock.vhd +++ b/libraries/technology/ip_agi027_xxxx/ram/ip_agi027_xxxx_simple_dual_port_ram_dual_clock.vhd @@ -31,7 +31,6 @@ -- and the inferred Altera code was obtained using template insert with -- Quartus 14.0a10. - library ieee; use ieee.std_logic_1164.all;