diff --git a/applications/rdma_demo/libraries/rdma_packetiser/src/vhdl/rdma_packetiser_pkg.vhd b/applications/rdma_demo/libraries/rdma_packetiser/src/vhdl/rdma_packetiser_pkg.vhd
index e2c6d16f5e0f7ef3450f598c51922611bd784aa9..9ef5d771ed75137ef8ee911891e7d68e768fd8c1 100644
--- a/applications/rdma_demo/libraries/rdma_packetiser/src/vhdl/rdma_packetiser_pkg.vhd
+++ b/applications/rdma_demo/libraries/rdma_packetiser/src/vhdl/rdma_packetiser_pkg.vhd
@@ -148,7 +148,7 @@ package rdma_packetiser_pkg is
 
   -- ETH header
   -- Handeled seperate from the other headers as the ethernet header must be excluded from the icrc checksum computation.
-  constant c_rdma_packetiser_eth_hdr_nof_fields : natural := 3 ;
+  constant c_rdma_packetiser_eth_hdr_nof_fields : natural := 3;
   constant c_rdma_packetiser_eth_hdr_field_sel  : std_logic_vector(c_rdma_packetiser_eth_hdr_nof_fields - 1 downto 0) :=  (others => '0');
 
   constant c_rdma_packetiser_eth_hdr_field_arr : t_common_field_arr(
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd
index 4bd7e15cc8fdafe0a37772e3eaedca527d52c4bf..84f51e5b776a1136bc4ea5de7fe237e8538cd404 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd
@@ -1264,7 +1264,7 @@ package body common_pkg is
   end;
 
   -- sel_n : string
-  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
+  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a;                          else return b; end if; end;
   function sel_n(sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
   function sel_n(sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
   function sel_n(sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd
index 4bd7e15cc8fdafe0a37772e3eaedca527d52c4bf..84f51e5b776a1136bc4ea5de7fe237e8538cd404 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node0/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd
@@ -1264,7 +1264,7 @@ package body common_pkg is
   end;
 
   -- sel_n : string
-  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
+  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a;                          else return b; end if; end;
   function sel_n(sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
   function sel_n(sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
   function sel_n(sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd
index 4bd7e15cc8fdafe0a37772e3eaedca527d52c4bf..84f51e5b776a1136bc4ea5de7fe237e8538cd404 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/sim/common_pkg.vhd
@@ -1264,7 +1264,7 @@ package body common_pkg is
   end;
 
   -- sel_n : string
-  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
+  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a;                          else return b; end if; end;
   function sel_n(sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
   function sel_n(sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
   function sel_n(sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
diff --git a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd
index 4bd7e15cc8fdafe0a37772e3eaedca527d52c4bf..84f51e5b776a1136bc4ea5de7fe237e8538cd404 100644
--- a/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd
+++ b/boards/uniboard2b/designs/unb2b_jesd/revisions/unb2b_jesd_node3/quartus/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0/avs2_eth_coe_10/synth/common_pkg.vhd
@@ -1264,7 +1264,7 @@ package body common_pkg is
   end;
 
   -- sel_n : string
-  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
+  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a;                          else return b; end if; end;
   function sel_n(sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
   function sel_n(sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
   function sel_n(sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;
diff --git a/libraries/base/common/src/vhdl/common_field_pkg.vhd b/libraries/base/common/src/vhdl/common_field_pkg.vhd
index 135a3cbfe48a950f6735339072ada38190e4a779..c1dc41df9d0075b0789351a327ff1d5d41badc3b 100644
--- a/libraries/base/common/src/vhdl/common_field_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_field_pkg.vhd
@@ -69,7 +69,7 @@ package common_field_pkg is
   function field_slv_in_len  (field_arr : t_common_field_arr                   ) return natural;
   function field_slv_out_len (field_arr : t_common_field_arr                   ) return natural;
   function field_nof_words   (field_arr : t_common_field_arr; word_w : natural ) return natural;
-  function field_map_in      (field_arr : t_common_field_arr; slv        : std_logic_vector; word_w : natural ; mode : string) return std_logic_vector;  -- returns word_arr
+  function field_map_in      (field_arr : t_common_field_arr; slv        : std_logic_vector; word_w : natural; mode : string) return std_logic_vector;  -- returns word_arr
   function field_map_out     (field_arr : t_common_field_arr; word_arr   : std_logic_vector; word_w : natural                ) return std_logic_vector;  -- returns slv_out
   function field_map         (field_arr : t_common_field_arr; word_arr_in: std_logic_vector; word_arr_out: std_logic_vector; word_w : natural) return std_logic_vector;  -- returns word_arr
 
diff --git a/libraries/base/common/src/vhdl/common_pkg.vhd b/libraries/base/common/src/vhdl/common_pkg.vhd
index 90462168dac8c42962557e50a1786a50b946b256..a45d79b3fcda4eef738e120a12c44c3c6ebc5c48 100644
--- a/libraries/base/common/src/vhdl/common_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_pkg.vhd
@@ -1582,7 +1582,7 @@ package body common_pkg is
   end;
 
   -- sel_n : string
-  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a                         ; else return b; end if; end;
+  function sel_n(sel : natural; a, b                         : string) return string is begin if sel = 0 then return            a;                          else return b; end if; end;
   function sel_n(sel : natural; a, b, c                      : string) return string is begin if sel < 2 then return sel_n(sel, a, b                     ); else return c; end if; end;
   function sel_n(sel : natural; a, b, c, d                   : string) return string is begin if sel < 3 then return sel_n(sel, a, b, c                  ); else return d; end if; end;
   function sel_n(sel : natural; a, b, c, d, e                : string) return string is begin if sel < 4 then return sel_n(sel, a, b, c, d               ); else return e; end if; end;