diff --git a/.gitignore b/.gitignore index 1e8f2f2c54fec14fe197e2d0e42baa21072dfed9..b174f5795241cd502a5374e949e28f10e53df24d 100644 --- a/.gitignore +++ b/.gitignore @@ -7,3 +7,4 @@ build_results/* *.kate-swp .ipynb_checkpoints transcript +vhdl_style_response.txt \ No newline at end of file diff --git a/libraries/io/eth/src/vhdl/eth_tester_rx.vhd b/libraries/io/eth/src/vhdl/eth_tester_rx.vhd index 13aa52024173354434c8b44a679a73664eb49eab..0fe6818b4555e3eb641a53ef5e77fc0cae10dfac 100644 --- a/libraries/io/eth/src/vhdl/eth_tester_rx.vhd +++ b/libraries/io/eth/src/vhdl/eth_tester_rx.vhd @@ -96,6 +96,7 @@ architecture str of eth_tester_rx is signal unpacked_data : std_logic_vector(c_octet_w - 1 downto 0); signal crc_corrupt : std_logic := '0'; + signal strobe_cnt_ref_sync : std_logic; signal in_strobe_arr : std_logic_vector(c_nof_total_counts - 1 downto 0); signal hdr_fields_out_slv : std_logic_vector(1023 downto 0); @@ -248,6 +249,8 @@ begin in_strobe_arr(1) <= unpacked_sosi.valid; -- count total nof Rx valid samples in_strobe_arr(2) <= crc_corrupt; -- count total nof corrupted Rx packets + strobe_cnt_ref_sync <= unpacked_sosi.sync when g_use_dp_header else ref_sync; + u_dp_strobe_total_count : entity dp_lib.dp_strobe_total_count generic map ( g_nof_counts => c_nof_total_counts, @@ -258,7 +261,7 @@ begin dp_rst => st_rst, dp_clk => st_clk, - ref_sync => ref_sync, + ref_sync => strobe_cnt_ref_sync, in_strobe_arr => in_strobe_arr, mm_rst => mm_rst,