diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml b/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml index d81af35df4ab5f3cefa898b3df8664bbc1f4f821..4a1e54c46bed030a4ae613518e16590e61adf506 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml @@ -399,7 +399,7 @@ peripherals: peripheral_group: ring_rx_bf number_of_peripherals: c_N_beamsets parameter_overrides: - - { name: g_nof_streams, value: c_lane_nof_rx_monitors } + - { name: g_nof_streams, value: 1 } mm_port_names: - REG_BSN_MONITOR_V2_RING_RX_BF @@ -407,7 +407,7 @@ peripherals: peripheral_group: ring_tx_bf number_of_peripherals: c_N_beamsets parameter_overrides: - - { name: g_nof_streams, value: c_lane_nof_tx_monitors } + - { name: g_nof_streams, value: 1 } mm_port_names: - REG_BSN_MONITOR_V2_RING_TX_BF diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd index db07ef0b19d1226822182895094b7cb64e2b3dbf..9537b5c59308a8b7f8dc686e7be8a8fb6945c730 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd @@ -217,7 +217,7 @@ PACKAGE qsys_lofar2_unb2b_sdp_station_pkg IS reg_bsn_monitor_v2_bst_offload_reset_export : out std_logic; -- export reg_bsn_monitor_v2_bst_offload_write_export : out std_logic; -- export reg_bsn_monitor_v2_bst_offload_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_ring_rx_bf_address_export : out std_logic_vector(7 downto 0); -- export + reg_bsn_monitor_v2_ring_rx_bf_address_export : out std_logic_vector(3 downto 0); -- export reg_bsn_monitor_v2_ring_rx_bf_clk_export : out std_logic; -- export reg_bsn_monitor_v2_ring_rx_bf_read_export : out std_logic; -- export reg_bsn_monitor_v2_ring_rx_bf_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export @@ -231,7 +231,7 @@ PACKAGE qsys_lofar2_unb2b_sdp_station_pkg IS reg_bsn_monitor_v2_ring_rx_xst_reset_export : out std_logic; -- export reg_bsn_monitor_v2_ring_rx_xst_write_export : out std_logic; -- export reg_bsn_monitor_v2_ring_rx_xst_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_bsn_monitor_v2_ring_tx_bf_address_export : out std_logic_vector(7 downto 0); -- export + reg_bsn_monitor_v2_ring_tx_bf_address_export : out std_logic_vector(3 downto 0); -- export reg_bsn_monitor_v2_ring_tx_bf_clk_export : out std_logic; -- export reg_bsn_monitor_v2_ring_tx_bf_read_export : out std_logic; -- export reg_bsn_monitor_v2_ring_tx_bf_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/lofar2_unb2c_sdp_station.fpga.yaml b/applications/lofar2/designs/lofar2_unb2c_sdp_station/lofar2_unb2c_sdp_station.fpga.yaml index 03e63ea22fe31b0eb7fc282bac32b9dcf305a75f..751f16099e932392bf770b5203193847d771ec5a 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/lofar2_unb2c_sdp_station.fpga.yaml +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/lofar2_unb2c_sdp_station.fpga.yaml @@ -399,7 +399,7 @@ peripherals: peripheral_group: ring_rx_bf number_of_peripherals: c_N_beamsets parameter_overrides: - - { name: g_nof_streams, value: c_lane_nof_rx_monitors } + - { name: g_nof_streams, value: 1 } mm_port_names: - REG_BSN_MONITOR_V2_RING_RX_BF @@ -407,7 +407,7 @@ peripherals: peripheral_group: ring_tx_bf number_of_peripherals: c_N_beamsets parameter_overrides: - - { name: g_nof_streams, value: c_lane_nof_tx_monitors } + - { name: g_nof_streams, value: 1 } mm_port_names: - REG_BSN_MONITOR_V2_RING_TX_BF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd index b702216d0617f1ba897f4c9165cee418ce2afcc3..12f93299f3749dd70aeada6cd42e001a944071d7 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd @@ -220,7 +220,7 @@ PACKAGE qsys_lofar2_unb2c_sdp_station_pkg IS reg_bsn_monitor_v2_bst_offload_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export reg_bsn_monitor_v2_ring_rx_bf_reset_export : out std_logic; -- export reg_bsn_monitor_v2_ring_rx_bf_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_rx_bf_address_export : out std_logic_vector(7 downto 0); -- export + reg_bsn_monitor_v2_ring_rx_bf_address_export : out std_logic_vector(3 downto 0); -- export reg_bsn_monitor_v2_ring_rx_bf_write_export : out std_logic; -- export reg_bsn_monitor_v2_ring_rx_bf_writedata_export : out std_logic_vector(31 downto 0); -- export reg_bsn_monitor_v2_ring_rx_bf_read_export : out std_logic; -- export @@ -234,7 +234,7 @@ PACKAGE qsys_lofar2_unb2c_sdp_station_pkg IS reg_bsn_monitor_v2_ring_rx_xst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export reg_bsn_monitor_v2_ring_tx_bf_reset_export : out std_logic; -- export reg_bsn_monitor_v2_ring_tx_bf_clk_export : out std_logic; -- export - reg_bsn_monitor_v2_ring_tx_bf_address_export : out std_logic_vector(7 downto 0); -- export + reg_bsn_monitor_v2_ring_tx_bf_address_export : out std_logic_vector(3 downto 0); -- export reg_bsn_monitor_v2_ring_tx_bf_write_export : out std_logic; -- export reg_bsn_monitor_v2_ring_tx_bf_writedata_export : out std_logic_vector(31 downto 0); -- export reg_bsn_monitor_v2_ring_tx_bf_read_export : out std_logic; -- export diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd index 294fbe0f43ca72b0086a13d98e67469fb971ce98..ed38675826507b02c97ade53c867aa9b538aa56e 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd @@ -468,8 +468,8 @@ PACKAGE sdp_pkg is CONSTANT c_sdp_reg_bsn_monitor_v2_rx_align_bf_addr_w : NATURAL := ceil_log2(c_sdp_N_beamsets) + ceil_log2(c_dual) + c_sdp_reg_bsn_monitor_v2_addr_w; CONSTANT c_sdp_reg_bsn_monitor_v2_aligned_bf_addr_w : NATURAL := ceil_log2(c_sdp_N_beamsets) + c_sdp_reg_bsn_monitor_v2_addr_w; CONSTANT c_sdp_reg_ring_lane_info_bf_addr_w : NATURAL := ceil_log2(c_sdp_N_beamsets) + 1; - CONSTANT c_sdp_reg_bsn_monitor_v2_ring_rx_bf_addr_w : NATURAL := ceil_log2(c_sdp_N_beamsets) + ceil_log2(c_sdp_N_pn_max) + c_sdp_reg_bsn_monitor_v2_addr_w; - CONSTANT c_sdp_reg_bsn_monitor_v2_ring_tx_bf_addr_w : NATURAL := ceil_log2(c_sdp_N_beamsets) + ceil_log2(c_sdp_N_pn_max) + c_sdp_reg_bsn_monitor_v2_addr_w; + CONSTANT c_sdp_reg_bsn_monitor_v2_ring_rx_bf_addr_w : NATURAL := ceil_log2(c_sdp_N_beamsets) + c_sdp_reg_bsn_monitor_v2_addr_w; + CONSTANT c_sdp_reg_bsn_monitor_v2_ring_tx_bf_addr_w : NATURAL := ceil_log2(c_sdp_N_beamsets) + c_sdp_reg_bsn_monitor_v2_addr_w; CONSTANT c_sdp_reg_dp_block_validate_err_bf_addr_w : NATURAL := ceil_log2(c_sdp_N_beamsets) + 4; CONSTANT c_sdp_reg_dp_block_validate_bsn_at_sync_bf_addr_w : NATURAL := ceil_log2(c_sdp_N_beamsets) + 2; diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd index 3c2752619b4bd714de592fc2be94539d9c2c003e..66a11b4e304f6867f5a6ecc7b256b317515d3117 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd @@ -382,8 +382,6 @@ ARCHITECTURE str OF sdp_station IS CONSTANT c_addr_w_ram_st_bst : NATURAL := ceil_log2(c_sdp_S_sub_bf*c_sdp_N_pol*(c_longword_sz/c_word_sz)); CONSTANT c_addr_w_reg_bsn_align_v2_bf : NATURAL := ceil_log2(c_dual) + c_sdp_reg_bsn_align_v2_addr_w; CONSTANT c_addr_w_reg_bsn_monitor_v2_rx_align_bf : NATURAL := ceil_log2(c_dual) + c_sdp_reg_bsn_monitor_v2_addr_w; - CONSTANT c_addr_w_reg_bsn_monitor_v2_ring_rx_bf : NATURAL := ceil_log2(c_sdp_N_pn_max) + c_sdp_reg_bsn_monitor_v2_addr_w; - CONSTANT c_addr_w_reg_bsn_monitor_v2_ring_tx_bf : NATURAL := ceil_log2(c_sdp_N_pn_max) + c_sdp_reg_bsn_monitor_v2_addr_w; CONSTANT c_addr_w_reg_ring_lane_info_bf : NATURAL := 1; -- Read only sdp_info values @@ -1131,8 +1129,8 @@ BEGIN g_lane_data_w => c_longword_w, g_lane_packet_length => c_lane_packet_length_bf, g_use_dp_layer => c_use_dp_layer, - g_nof_rx_monitors => c_sdp_N_pn_max, - g_nof_tx_monitors => c_sdp_N_pn_max, + g_nof_rx_monitors => 1, + g_nof_tx_monitors => 1, g_err_bi => c_err_bi, g_nof_err_counts => c_nof_err_counts, g_bsn_at_sync_check_channel => c_bsn_at_sync_check_channel, @@ -1188,7 +1186,7 @@ BEGIN u_mem_mux_reg_bsn_monitor_v2_ring_rx_bf : ENTITY common_lib.common_mem_mux GENERIC MAP ( g_nof_mosi => c_sdp_N_beamsets, - g_mult_addr_w => c_addr_w_reg_bsn_monitor_v2_ring_rx_bf + g_mult_addr_w => c_sdp_reg_bsn_monitor_v2_addr_w ) PORT MAP ( mosi => reg_bsn_monitor_v2_ring_rx_bf_copi, @@ -1200,7 +1198,7 @@ BEGIN u_mem_mux_reg_bsn_monitor_v2_ring_tx_bf : ENTITY common_lib.common_mem_mux GENERIC MAP ( g_nof_mosi => c_sdp_N_beamsets, - g_mult_addr_w => c_addr_w_reg_bsn_monitor_v2_ring_tx_bf + g_mult_addr_w => c_sdp_reg_bsn_monitor_v2_addr_w ) PORT MAP ( mosi => reg_bsn_monitor_v2_ring_tx_bf_copi,