From 17c82f6d8b3f26e684ea5aaeaf370df427558833 Mon Sep 17 00:00:00 2001
From: David Brouwer <dbrouwer@astron.nl>
Date: Wed, 17 Jan 2024 16:22:18 +0100
Subject: [PATCH] RTSD-227: Fix prefix (from constant g_stat_word_w to
 c_stat_word_w).

---
 libraries/dsp/st/src/vhdl/st_sst.vhd | 4 ++--
 1 file changed, 2 insertions(+), 2 deletions(-)

diff --git a/libraries/dsp/st/src/vhdl/st_sst.vhd b/libraries/dsp/st/src/vhdl/st_sst.vhd
index 97fa6e9e29..2991c1ab0b 100644
--- a/libraries/dsp/st/src/vhdl/st_sst.vhd
+++ b/libraries/dsp/st/src/vhdl/st_sst.vhd
@@ -89,7 +89,7 @@ architecture str of st_sst is
   constant c_nof_stat_w   : natural := ceil_log2(g_nof_stat);
   constant c_nof_word     : natural := g_stat_data_sz * g_nof_stat;
   constant c_nof_word_w   : natural := ceil_log2(c_nof_word);
-  constant g_stat_word_w  : natural := g_stat_data_sz * c_word_w;
+  constant c_stat_word_w  : natural := g_stat_data_sz * c_word_w;
   constant zeros          : std_logic_vector(c_nof_stat_w - 1 downto 0) := (others => '0');
 
   -- Statistics register
@@ -100,7 +100,7 @@ architecture str of st_sst is
                                         init_sl  => '0');  -- MM side : sla_in, sla_out
   constant c_stat_ram     : t_c_mem := (latency  => 1,
                                         adr_w    => c_nof_stat_w,
-                                        dat_w    => g_stat_word_w,
+                                        dat_w    => c_stat_word_w,
                                         nof_dat  => g_nof_stat,
                                         init_sl  => '0');  -- ST side : stat_mosi
 
-- 
GitLab