diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd
index faace9dd44a5002aeb01005fcd53c5cc2b9e396e..e9e7f6c3ad9dc6ddb43161bb98e7862d677bed61 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd
@@ -139,26 +139,37 @@ PACKAGE sdp_pkg is
   --CONSTANT c_sdp_wpfb_subbands : t_wpfb :=
   -- (1, c_sdp_N_fft, 0, c_sdp_P_pfb,
   -- c_sdp_N_taps, 1, c_sdp_W_adc, 16, c_sdp_W_fir_coef,
-  -- true, false, true, 16, c_sdp_W_subband, 1, 18, 2, 
-  -- true, 54, 2, 195313, c_fft_pipeline, c_fft_pipeline, 
-  -- c_fil_ppf_pipeline);
+  -- true, false, true, 16, c_sdp_W_subband, 1, 18, 2, true, 54, 2, 195313,
+  -- c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+
   -- LTS 2021-02-03, changes based on results from u_wpfb_stage22 in tb_tb_verify_pfb_wg.vhd:
   -- . fil_backoff_w = 0 (was 1)
   -- . fil_out_dat_w = fft_in_dat_w = 17 (was 16)
   -- . g_fft_out_gain_w = 0 (was 1)
   -- . g_fft_stage_dat_w = 22 (was 18)
   -- . g_fft_guard_w = 1 (was 2)
+  --CONSTANT c_sdp_wpfb_subbands : t_wpfb :=
+  --  (1, c_sdp_N_fft, 0, c_sdp_P_pfb,
+  --  c_sdp_N_taps, 0, c_sdp_W_adc, 17, c_sdp_W_fir_coef,
+  --  true, false, true, 17, c_sdp_W_subband, 0, 22, 1, true, 54, c_sdp_W_statistic_sz, 195313,
+  --  c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);  -- = c_wpfb_lofar2_subbands_lts_2021
+
+  -- DTS 2022-04-04, changes based on results from  in tb_tb_verify_pfb_wg.vhd:
+  -- . fil_backoff_w = 1
+  -- . fil_out_dat_w = fft_in_dat_w = 0 (use g_fft_stage_dat_w - g_fft_guard_w)
+  -- . g_fft_out_gain_w = 1 (compensate for fil_backoff_w = 1)
+  -- . g_fft_stage_dat_w = 24
+  -- . g_fft_guard_w = 1
   CONSTANT c_sdp_wpfb_subbands : t_wpfb :=
     (1, c_sdp_N_fft, 0, c_sdp_P_pfb,
-    c_sdp_N_taps, 0, c_sdp_W_adc, 17, c_sdp_W_fir_coef,
-    true, false, true, 17, c_sdp_W_subband, 0, 22, 1,
-    true, 54, c_sdp_W_statistic_sz, 195313, c_fft_pipeline, c_fft_pipeline,
-    c_fil_ppf_pipeline);
+    c_sdp_N_taps, 1, c_sdp_W_adc, 23, c_sdp_W_fir_coef,
+    true, false, true, 23, c_sdp_W_subband, 1, 24, 1, true, 54, c_sdp_W_statistic_sz, 195313,
+    c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);  -- = c_wpfb_lofar2_subbands_dts_18b
 
   -- DC gain of WPFB FIR filter obtained from applications/lofar2/model/run_pfir_coef.m using application = 'lofar_subband'
   -- Not used in RTL, only used in test benches to verify expected suband levels
-  CONSTANT c_sdp_wpfb_fir_filter_dc_gain    : REAL := 0.994817;
-  CONSTANT c_sdp_wpfb_subband_sp_ampl_ratio : REAL := 8.0 * c_sdp_wpfb_fir_filter_dc_gain;  -- ~= 8 for unit FIR DC gain, depends on internal WPFB quantization and FIR coefficients
+  CONSTANT c_sdp_wpfb_fir_filter_dc_gain    : REAL := c_fil_lofar1_fir_filter_dc_gain;  -- = 0.994817, almost unit DC gain
+  CONSTANT c_sdp_wpfb_subband_sp_ampl_ratio : REAL := func_wpfb_subband_gain(c_sdp_wpfb_subbands, c_sdp_wpfb_fir_filter_dc_gain);
 
   -----------------------------------------------------------------------------
   -- Statistics offload
diff --git a/applications/lofar2/model/data/Coefficient_16KHanning_16b.dat b/applications/lofar2/model/data/Coefficient_16KHanning_16b.dat
new file mode 100644
index 0000000000000000000000000000000000000000..20c9f1b27db52abf1b319c9a8ff5cb0388be8aba
--- /dev/null
+++ b/applications/lofar2/model/data/Coefficient_16KHanning_16b.dat
@@ -0,0 +1,16384 @@
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+35
+35
+35
+35
+35
+35
+35
+35
+35
+34
+34
+34
+34
+34
+34
+34
+34
+34
+33
+33
+33
+33
+33
+33
+33
+33
+32
+32
+32
+32
+32
+32
+32
+32
+31
+31
+31
+31
+31
+31
+31
+31
+30
+30
+30
+30
+30
+30
+30
+29
+29
+29
+29
+29
+29
+29
+28
+28
+28
+28
+28
+28
+28
+27
+27
+27
+27
+27
+27
+27
+26
+26
+26
+26
+26
+26
+25
+25
+25
+25
+25
+25
+24
+24
+24
+24
+24
+24
+23
+23
+23
+23
+23
+23
+22
+22
+22
+22
+22
+22
+21
+21
+21
+21
+21
+20
+20
+20
+20
+20
+20
+19
+19
+19
+19
+19
+18
+18
+18
+18
+18
+17
+17
+17
+17
+17
+17
+16
+16
+16
+16
+16
+15
+15
+15
+15
+14
+14
+14
+14
+14
+13
+13
+13
+13
+13
+12
+12
+12
+12
+12
+11
+11
+11
+11
+10
+10
+10
+10
+10
+9
+9
+9
+9
+8
+8
+8
+8
+8
+7
+7
+7
+7
+6
+6
+6
+6
+5
+5
+5
+5
+4
+4
+4
+4
+4
+3
+3
+3
+3
+2
+2
+2
+2
+1
+1
+1
+1
+0
+0
+0
+0
+-1
+-1
+-1
+-1
+-2
+-2
+-2
+-3
+-3
+-3
+-3
+-4
+-4
+-4
+-4
+-5
+-5
+-5
+-5
+-6
+-6
+-6
+-7
+-7
+-7
+-7
+-8
+-8
+-8
+-8
+-9
+-9
+-9
+-10
+-10
+-10
+-10
+-11
+-11
+-11
+-12
+-12
+-12
+-12
+-13
+-13
+-13
+-14
+-14
+-14
+-14
+-15
+-15
+-15
+-16
+-16
+-16
+-17
+-17
+-17
+-17
+-18
+-18
+-18
+-19
+-19
+-19
+-20
+-20
+-20
+-20
+-21
+-21
+-21
+-22
+-22
+-22
+-23
+-23
+-23
+-24
+-24
+-24
+-25
+-25
+-25
+-25
+-26
+-26
+-26
+-27
+-27
+-27
+-28
+-28
+-28
+-29
+-29
+-29
+-30
+-30
+-30
+-31
+-31
+-31
+-32
+-32
+-32
+-33
+-33
+-33
+-34
+-34
+-34
+-35
+-35
+-35
+-36
+-36
+-36
+-37
+-37
+-37
+-38
+-38
+-38
+-39
+-39
+-39
+-40
+-40
+-40
+-41
+-41
+-42
+-42
+-42
+-43
+-43
+-43
+-44
+-44
+-44
+-45
+-45
+-45
+-46
+-46
+-46
+-47
+-47
+-48
+-48
+-48
+-49
+-49
+-49
+-50
+-50
+-50
+-51
+-51
+-52
+-52
+-52
+-53
+-53
+-53
+-54
+-54
+-54
+-55
+-55
+-56
+-56
+-56
+-57
+-57
+-57
+-58
+-58
+-59
+-59
+-59
+-60
+-60
+-60
+-61
+-61
+-62
+-62
+-62
+-63
+-63
+-63
+-64
+-64
+-65
+-65
+-65
+-66
+-66
+-67
+-67
+-67
+-68
+-68
+-68
+-69
+-69
+-70
+-70
+-70
+-71
+-71
+-72
+-72
+-72
+-73
+-73
+-74
+-74
+-74
+-75
+-75
+-76
+-76
+-76
+-77
+-77
+-78
+-78
+-78
+-79
+-79
+-79
+-80
+-80
+-81
+-81
+-81
+-82
+-82
+-83
+-83
+-83
+-84
+-84
+-85
+-85
+-86
+-86
+-86
+-87
+-87
+-88
+-88
+-88
+-89
+-89
+-90
+-90
+-90
+-91
+-91
+-92
+-92
+-92
+-93
+-93
+-94
+-94
+-94
+-95
+-95
+-96
+-96
+-96
+-97
+-97
+-98
+-98
+-99
+-99
+-99
+-100
+-100
+-101
+-101
+-101
+-102
+-102
+-103
+-103
+-103
+-104
+-104
+-105
+-105
+-106
+-106
+-106
+-107
+-107
+-108
+-108
+-108
+-109
+-109
+-110
+-110
+-111
+-111
+-111
+-112
+-112
+-113
+-113
+-113
+-114
+-114
+-115
+-115
+-115
+-116
+-116
+-117
+-117
+-118
+-118
+-118
+-119
+-119
+-120
+-120
+-120
+-121
+-121
+-122
+-122
+-123
+-123
+-123
+-124
+-124
+-125
+-125
+-125
+-126
+-126
+-127
+-127
+-127
+-128
+-128
+-129
+-129
+-130
+-130
+-130
+-131
+-131
+-132
+-132
+-132
+-133
+-133
+-134
+-134
+-135
+-135
+-135
+-136
+-136
+-137
+-137
+-137
+-138
+-138
+-139
+-139
+-139
+-140
+-140
+-141
+-141
+-141
+-142
+-142
+-143
+-143
+-143
+-144
+-144
+-145
+-145
+-146
+-146
+-146
+-147
+-147
+-148
+-148
+-148
+-149
+-149
+-150
+-150
+-150
+-151
+-151
+-152
+-152
+-152
+-153
+-153
+-154
+-154
+-154
+-155
+-155
+-156
+-156
+-156
+-157
+-157
+-157
+-158
+-158
+-159
+-159
+-159
+-160
+-160
+-161
+-161
+-161
+-162
+-162
+-163
+-163
+-163
+-164
+-164
+-164
+-165
+-165
+-166
+-166
+-166
+-167
+-167
+-168
+-168
+-168
+-169
+-169
+-169
+-170
+-170
+-171
+-171
+-171
+-172
+-172
+-172
+-173
+-173
+-173
+-174
+-174
+-175
+-175
+-175
+-176
+-176
+-176
+-177
+-177
+-177
+-178
+-178
+-179
+-179
+-179
+-180
+-180
+-180
+-181
+-181
+-181
+-182
+-182
+-182
+-183
+-183
+-184
+-184
+-184
+-185
+-185
+-185
+-186
+-186
+-186
+-187
+-187
+-187
+-188
+-188
+-188
+-189
+-189
+-189
+-190
+-190
+-190
+-191
+-191
+-191
+-192
+-192
+-192
+-193
+-193
+-193
+-193
+-194
+-194
+-194
+-195
+-195
+-195
+-196
+-196
+-196
+-197
+-197
+-197
+-198
+-198
+-198
+-198
+-199
+-199
+-199
+-200
+-200
+-200
+-201
+-201
+-201
+-201
+-202
+-202
+-202
+-203
+-203
+-203
+-203
+-204
+-204
+-204
+-205
+-205
+-205
+-205
+-206
+-206
+-206
+-206
+-207
+-207
+-207
+-208
+-208
+-208
+-208
+-209
+-209
+-209
+-209
+-210
+-210
+-210
+-210
+-211
+-211
+-211
+-211
+-212
+-212
+-212
+-212
+-213
+-213
+-213
+-213
+-213
+-214
+-214
+-214
+-214
+-215
+-215
+-215
+-215
+-215
+-216
+-216
+-216
+-216
+-217
+-217
+-217
+-217
+-217
+-218
+-218
+-218
+-218
+-218
+-219
+-219
+-219
+-219
+-219
+-220
+-220
+-220
+-220
+-220
+-220
+-221
+-221
+-221
+-221
+-221
+-222
+-222
+-222
+-222
+-222
+-222
+-223
+-223
+-223
+-223
+-223
+-223
+-223
+-224
+-224
+-224
+-224
+-224
+-224
+-224
+-225
+-225
+-225
+-225
+-225
+-225
+-225
+-225
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-225
+-225
+-225
+-225
+-225
+-225
+-225
+-224
+-224
+-224
+-224
+-224
+-224
+-223
+-223
+-223
+-223
+-223
+-223
+-222
+-222
+-222
+-222
+-222
+-221
+-221
+-221
+-221
+-221
+-220
+-220
+-220
+-220
+-220
+-219
+-219
+-219
+-219
+-219
+-218
+-218
+-218
+-218
+-217
+-217
+-217
+-217
+-216
+-216
+-216
+-216
+-215
+-215
+-215
+-215
+-214
+-214
+-214
+-213
+-213
+-213
+-213
+-212
+-212
+-212
+-211
+-211
+-211
+-211
+-210
+-210
+-210
+-209
+-209
+-209
+-208
+-208
+-208
+-207
+-207
+-207
+-206
+-206
+-206
+-205
+-205
+-205
+-204
+-204
+-204
+-203
+-203
+-202
+-202
+-202
+-201
+-201
+-201
+-200
+-200
+-199
+-199
+-199
+-198
+-198
+-197
+-197
+-197
+-196
+-196
+-195
+-195
+-195
+-194
+-194
+-193
+-193
+-192
+-192
+-191
+-191
+-191
+-190
+-190
+-189
+-189
+-188
+-188
+-187
+-187
+-186
+-186
+-186
+-185
+-185
+-184
+-184
+-183
+-183
+-182
+-182
+-181
+-181
+-180
+-180
+-179
+-179
+-178
+-178
+-177
+-177
+-176
+-175
+-175
+-174
+-174
+-173
+-173
+-172
+-172
+-171
+-171
+-170
+-169
+-169
+-168
+-168
+-167
+-167
+-166
+-165
+-165
+-164
+-164
+-163
+-163
+-162
+-161
+-161
+-160
+-160
+-159
+-158
+-158
+-157
+-156
+-156
+-155
+-155
+-154
+-153
+-153
+-152
+-151
+-151
+-150
+-149
+-149
+-148
+-147
+-147
+-146
+-145
+-145
+-144
+-143
+-143
+-142
+-141
+-141
+-140
+-139
+-139
+-138
+-137
+-137
+-136
+-135
+-134
+-134
+-133
+-132
+-132
+-131
+-130
+-129
+-129
+-128
+-127
+-126
+-126
+-125
+-124
+-123
+-123
+-122
+-121
+-120
+-120
+-119
+-118
+-117
+-117
+-116
+-115
+-114
+-113
+-113
+-112
+-111
+-110
+-110
+-109
+-108
+-107
+-106
+-105
+-105
+-104
+-103
+-102
+-101
+-101
+-100
+-99
+-98
+-97
+-96
+-96
+-95
+-94
+-93
+-92
+-91
+-90
+-90
+-89
+-88
+-87
+-86
+-85
+-84
+-83
+-83
+-82
+-81
+-80
+-79
+-78
+-77
+-76
+-75
+-75
+-74
+-73
+-72
+-71
+-70
+-69
+-68
+-67
+-66
+-65
+-64
+-64
+-63
+-62
+-61
+-60
+-59
+-58
+-57
+-56
+-55
+-54
+-53
+-52
+-51
+-50
+-49
+-48
+-47
+-46
+-45
+-44
+-43
+-42
+-41
+-40
+-39
+-38
+-37
+-36
+-35
+-34
+-33
+-32
+-31
+-30
+-29
+-28
+-27
+-26
+-25
+-24
+-23
+-22
+-21
+-20
+-19
+-18
+-17
+-16
+-15
+-14
+-13
+-12
+-11
+-10
+-9
+-8
+-6
+-5
+-4
+-3
+-2
+-1
+0
+1
+2
+3
+4
+5
+6
+8
+9
+10
+11
+12
+13
+14
+15
+16
+18
+19
+20
+21
+22
+23
+24
+25
+26
+28
+29
+30
+31
+32
+33
+34
+36
+37
+38
+39
+40
+41
+42
+44
+45
+46
+47
+48
+49
+51
+52
+53
+54
+55
+56
+58
+59
+60
+61
+62
+63
+65
+66
+67
+68
+69
+71
+72
+73
+74
+75
+77
+78
+79
+80
+81
+83
+84
+85
+86
+87
+89
+90
+91
+92
+94
+95
+96
+97
+98
+100
+101
+102
+103
+105
+106
+107
+108
+110
+111
+112
+113
+115
+116
+117
+118
+120
+121
+122
+123
+125
+126
+127
+128
+130
+131
+132
+133
+135
+136
+137
+138
+140
+141
+142
+144
+145
+146
+147
+149
+150
+151
+153
+154
+155
+156
+158
+159
+160
+162
+163
+164
+165
+167
+168
+169
+171
+172
+173
+174
+176
+177
+178
+180
+181
+182
+184
+185
+186
+187
+189
+190
+191
+193
+194
+195
+197
+198
+199
+200
+202
+203
+204
+206
+207
+208
+210
+211
+212
+214
+215
+216
+218
+219
+220
+221
+223
+224
+225
+227
+228
+229
+231
+232
+233
+235
+236
+237
+239
+240
+241
+243
+244
+245
+247
+248
+249
+250
+252
+253
+254
+256
+257
+258
+260
+261
+262
+264
+265
+266
+268
+269
+270
+272
+273
+274
+276
+277
+278
+280
+281
+282
+283
+285
+286
+287
+289
+290
+291
+293
+294
+295
+297
+298
+299
+301
+302
+303
+305
+306
+307
+308
+310
+311
+312
+314
+315
+316
+318
+319
+320
+322
+323
+324
+325
+327
+328
+329
+331
+332
+333
+335
+336
+337
+338
+340
+341
+342
+344
+345
+346
+347
+349
+350
+351
+353
+354
+355
+356
+358
+359
+360
+362
+363
+364
+365
+367
+368
+369
+370
+372
+373
+374
+376
+377
+378
+379
+381
+382
+383
+384
+386
+387
+388
+389
+391
+392
+393
+394
+396
+397
+398
+399
+400
+402
+403
+404
+405
+407
+408
+409
+410
+412
+413
+414
+415
+416
+418
+419
+420
+421
+422
+424
+425
+426
+427
+428
+430
+431
+432
+433
+434
+436
+437
+438
+439
+440
+441
+443
+444
+445
+446
+447
+448
+450
+451
+452
+453
+454
+455
+456
+458
+459
+460
+461
+462
+463
+464
+465
+467
+468
+469
+470
+471
+472
+473
+474
+475
+477
+478
+479
+480
+481
+482
+483
+484
+485
+486
+487
+488
+490
+491
+492
+493
+494
+495
+496
+497
+498
+499
+500
+501
+502
+503
+504
+505
+506
+507
+508
+509
+510
+511
+512
+513
+514
+515
+516
+517
+518
+519
+520
+521
+522
+523
+524
+525
+526
+527
+528
+529
+530
+531
+532
+532
+533
+534
+535
+536
+537
+538
+539
+540
+541
+542
+542
+543
+544
+545
+546
+547
+548
+549
+549
+550
+551
+552
+553
+554
+555
+555
+556
+557
+558
+559
+560
+560
+561
+562
+563
+564
+564
+565
+566
+567
+567
+568
+569
+570
+571
+571
+572
+573
+574
+574
+575
+576
+576
+577
+578
+579
+579
+580
+581
+581
+582
+583
+583
+584
+585
+585
+586
+587
+587
+588
+589
+589
+590
+591
+591
+592
+592
+593
+594
+594
+595
+596
+596
+597
+597
+598
+598
+599
+600
+600
+601
+601
+602
+602
+603
+603
+604
+604
+605
+605
+606
+606
+607
+607
+608
+608
+609
+609
+610
+610
+611
+611
+612
+612
+612
+613
+613
+614
+614
+614
+615
+615
+616
+616
+616
+617
+617
+618
+618
+618
+619
+619
+619
+620
+620
+620
+621
+621
+621
+621
+622
+622
+622
+623
+623
+623
+623
+624
+624
+624
+624
+625
+625
+625
+625
+625
+626
+626
+626
+626
+626
+627
+627
+627
+627
+627
+627
+628
+628
+628
+628
+628
+628
+628
+628
+628
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+628
+628
+628
+628
+628
+628
+628
+628
+627
+627
+627
+627
+627
+627
+627
+626
+626
+626
+626
+626
+625
+625
+625
+625
+624
+624
+624
+624
+623
+623
+623
+622
+622
+622
+622
+621
+621
+621
+620
+620
+620
+619
+619
+619
+618
+618
+617
+617
+617
+616
+616
+615
+615
+615
+614
+614
+613
+613
+612
+612
+611
+611
+610
+610
+609
+609
+608
+608
+607
+607
+606
+606
+605
+604
+604
+603
+603
+602
+602
+601
+600
+600
+599
+598
+598
+597
+596
+596
+595
+594
+594
+593
+592
+592
+591
+590
+590
+589
+588
+587
+587
+586
+585
+584
+583
+583
+582
+581
+580
+579
+579
+578
+577
+576
+575
+574
+574
+573
+572
+571
+570
+569
+568
+567
+566
+565
+565
+564
+563
+562
+561
+560
+559
+558
+557
+556
+555
+554
+553
+552
+551
+550
+549
+548
+546
+545
+544
+543
+542
+541
+540
+539
+538
+537
+535
+534
+533
+532
+531
+530
+529
+527
+526
+525
+524
+523
+521
+520
+519
+518
+516
+515
+514
+513
+511
+510
+509
+507
+506
+505
+504
+502
+501
+500
+498
+497
+496
+494
+493
+491
+490
+489
+487
+486
+484
+483
+482
+480
+479
+477
+476
+474
+473
+471
+470
+468
+467
+465
+464
+462
+461
+459
+458
+456
+455
+453
+451
+450
+448
+447
+445
+444
+442
+440
+439
+437
+435
+434
+432
+430
+429
+427
+425
+424
+422
+420
+419
+417
+415
+414
+412
+410
+408
+407
+405
+403
+401
+399
+398
+396
+394
+392
+390
+389
+387
+385
+383
+381
+379
+378
+376
+374
+372
+370
+368
+366
+364
+362
+360
+359
+357
+355
+353
+351
+349
+347
+345
+343
+341
+339
+337
+335
+333
+331
+329
+327
+325
+323
+321
+319
+317
+314
+312
+310
+308
+306
+304
+302
+300
+298
+296
+293
+291
+289
+287
+285
+283
+280
+278
+276
+274
+272
+270
+267
+265
+263
+261
+258
+256
+254
+252
+249
+247
+245
+243
+240
+238
+236
+233
+231
+229
+226
+224
+222
+219
+217
+215
+212
+210
+208
+205
+203
+201
+198
+196
+193
+191
+189
+186
+184
+181
+179
+176
+174
+172
+169
+167
+164
+162
+159
+157
+154
+152
+149
+147
+144
+142
+139
+137
+134
+132
+129
+127
+124
+121
+119
+116
+114
+111
+109
+106
+103
+101
+98
+96
+93
+90
+88
+85
+82
+80
+77
+74
+72
+69
+66
+64
+61
+58
+56
+53
+50
+48
+45
+42
+40
+37
+34
+31
+29
+26
+23
+20
+18
+15
+12
+9
+7
+4
+1
+-2
+-4
+-7
+-10
+-13
+-16
+-18
+-21
+-24
+-27
+-30
+-33
+-35
+-38
+-41
+-44
+-47
+-50
+-52
+-55
+-58
+-61
+-64
+-67
+-70
+-73
+-75
+-78
+-81
+-84
+-87
+-90
+-93
+-96
+-99
+-102
+-105
+-107
+-110
+-113
+-116
+-119
+-122
+-125
+-128
+-131
+-134
+-137
+-140
+-143
+-146
+-149
+-152
+-155
+-158
+-161
+-164
+-167
+-170
+-173
+-176
+-179
+-182
+-185
+-188
+-191
+-194
+-197
+-200
+-203
+-206
+-209
+-212
+-215
+-218
+-221
+-224
+-227
+-230
+-233
+-236
+-239
+-242
+-246
+-249
+-252
+-255
+-258
+-261
+-264
+-267
+-270
+-273
+-276
+-279
+-282
+-286
+-289
+-292
+-295
+-298
+-301
+-304
+-307
+-310
+-313
+-317
+-320
+-323
+-326
+-329
+-332
+-335
+-338
+-342
+-345
+-348
+-351
+-354
+-357
+-360
+-363
+-367
+-370
+-373
+-376
+-379
+-382
+-385
+-389
+-392
+-395
+-398
+-401
+-404
+-407
+-411
+-414
+-417
+-420
+-423
+-426
+-429
+-433
+-436
+-439
+-442
+-445
+-448
+-452
+-455
+-458
+-461
+-464
+-467
+-470
+-474
+-477
+-480
+-483
+-486
+-489
+-493
+-496
+-499
+-502
+-505
+-508
+-512
+-515
+-518
+-521
+-524
+-527
+-531
+-534
+-537
+-540
+-543
+-546
+-549
+-553
+-556
+-559
+-562
+-565
+-568
+-572
+-575
+-578
+-581
+-584
+-587
+-590
+-594
+-597
+-600
+-603
+-606
+-609
+-612
+-616
+-619
+-622
+-625
+-628
+-631
+-634
+-637
+-641
+-644
+-647
+-650
+-653
+-656
+-659
+-662
+-665
+-669
+-672
+-675
+-678
+-681
+-684
+-687
+-690
+-693
+-697
+-700
+-703
+-706
+-709
+-712
+-715
+-718
+-721
+-724
+-727
+-730
+-733
+-736
+-740
+-743
+-746
+-749
+-752
+-755
+-758
+-761
+-764
+-767
+-770
+-773
+-776
+-779
+-782
+-785
+-788
+-791
+-794
+-797
+-800
+-803
+-806
+-809
+-812
+-815
+-818
+-821
+-824
+-827
+-830
+-833
+-836
+-839
+-842
+-845
+-848
+-851
+-854
+-856
+-859
+-862
+-865
+-868
+-871
+-874
+-877
+-880
+-883
+-886
+-888
+-891
+-894
+-897
+-900
+-903
+-906
+-908
+-911
+-914
+-917
+-920
+-923
+-925
+-928
+-931
+-934
+-937
+-940
+-942
+-945
+-948
+-951
+-953
+-956
+-959
+-962
+-964
+-967
+-970
+-973
+-975
+-978
+-981
+-984
+-986
+-989
+-992
+-994
+-997
+-1000
+-1002
+-1005
+-1008
+-1010
+-1013
+-1016
+-1018
+-1021
+-1024
+-1026
+-1029
+-1031
+-1034
+-1037
+-1039
+-1042
+-1044
+-1047
+-1049
+-1052
+-1055
+-1057
+-1060
+-1062
+-1065
+-1067
+-1070
+-1072
+-1075
+-1077
+-1080
+-1082
+-1085
+-1087
+-1090
+-1092
+-1094
+-1097
+-1099
+-1102
+-1104
+-1107
+-1109
+-1111
+-1114
+-1116
+-1118
+-1121
+-1123
+-1125
+-1128
+-1130
+-1132
+-1135
+-1137
+-1139
+-1142
+-1144
+-1146
+-1149
+-1151
+-1153
+-1155
+-1157
+-1160
+-1162
+-1164
+-1166
+-1169
+-1171
+-1173
+-1175
+-1177
+-1179
+-1182
+-1184
+-1186
+-1188
+-1190
+-1192
+-1194
+-1196
+-1198
+-1200
+-1202
+-1205
+-1207
+-1209
+-1211
+-1213
+-1215
+-1217
+-1219
+-1221
+-1223
+-1224
+-1226
+-1228
+-1230
+-1232
+-1234
+-1236
+-1238
+-1240
+-1242
+-1244
+-1245
+-1247
+-1249
+-1251
+-1253
+-1255
+-1256
+-1258
+-1260
+-1262
+-1263
+-1265
+-1267
+-1269
+-1270
+-1272
+-1274
+-1275
+-1277
+-1279
+-1280
+-1282
+-1284
+-1285
+-1287
+-1289
+-1290
+-1292
+-1293
+-1295
+-1296
+-1298
+-1299
+-1301
+-1303
+-1304
+-1306
+-1307
+-1308
+-1310
+-1311
+-1313
+-1314
+-1316
+-1317
+-1318
+-1320
+-1321
+-1323
+-1324
+-1325
+-1327
+-1328
+-1329
+-1330
+-1332
+-1333
+-1334
+-1335
+-1337
+-1338
+-1339
+-1340
+-1342
+-1343
+-1344
+-1345
+-1346
+-1347
+-1348
+-1350
+-1351
+-1352
+-1353
+-1354
+-1355
+-1356
+-1357
+-1358
+-1359
+-1360
+-1361
+-1362
+-1363
+-1364
+-1365
+-1366
+-1367
+-1367
+-1368
+-1369
+-1370
+-1371
+-1372
+-1373
+-1373
+-1374
+-1375
+-1376
+-1376
+-1377
+-1378
+-1379
+-1379
+-1380
+-1381
+-1381
+-1382
+-1383
+-1383
+-1384
+-1385
+-1385
+-1386
+-1386
+-1387
+-1387
+-1388
+-1388
+-1389
+-1389
+-1390
+-1390
+-1391
+-1391
+-1392
+-1392
+-1392
+-1393
+-1393
+-1394
+-1394
+-1394
+-1395
+-1395
+-1395
+-1395
+-1396
+-1396
+-1396
+-1396
+-1397
+-1397
+-1397
+-1397
+-1397
+-1397
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1397
+-1397
+-1397
+-1397
+-1397
+-1397
+-1396
+-1396
+-1396
+-1396
+-1395
+-1395
+-1395
+-1395
+-1394
+-1394
+-1394
+-1393
+-1393
+-1392
+-1392
+-1392
+-1391
+-1391
+-1390
+-1390
+-1389
+-1389
+-1388
+-1388
+-1387
+-1387
+-1386
+-1385
+-1385
+-1384
+-1384
+-1383
+-1382
+-1382
+-1381
+-1380
+-1379
+-1379
+-1378
+-1377
+-1376
+-1376
+-1375
+-1374
+-1373
+-1372
+-1371
+-1370
+-1369
+-1369
+-1368
+-1367
+-1366
+-1365
+-1364
+-1363
+-1362
+-1361
+-1360
+-1359
+-1357
+-1356
+-1355
+-1354
+-1353
+-1352
+-1351
+-1349
+-1348
+-1347
+-1346
+-1345
+-1343
+-1342
+-1341
+-1339
+-1338
+-1337
+-1335
+-1334
+-1333
+-1331
+-1330
+-1328
+-1327
+-1325
+-1324
+-1322
+-1321
+-1319
+-1318
+-1316
+-1315
+-1313
+-1311
+-1310
+-1308
+-1307
+-1305
+-1303
+-1302
+-1300
+-1298
+-1296
+-1295
+-1293
+-1291
+-1289
+-1287
+-1286
+-1284
+-1282
+-1280
+-1278
+-1276
+-1274
+-1272
+-1270
+-1268
+-1266
+-1264
+-1262
+-1260
+-1258
+-1256
+-1254
+-1252
+-1250
+-1248
+-1246
+-1244
+-1241
+-1239
+-1237
+-1235
+-1232
+-1230
+-1228
+-1226
+-1223
+-1221
+-1219
+-1216
+-1214
+-1212
+-1209
+-1207
+-1204
+-1202
+-1200
+-1197
+-1195
+-1192
+-1190
+-1187
+-1185
+-1182
+-1179
+-1177
+-1174
+-1172
+-1169
+-1166
+-1164
+-1161
+-1158
+-1155
+-1153
+-1150
+-1147
+-1144
+-1142
+-1139
+-1136
+-1133
+-1130
+-1127
+-1125
+-1122
+-1119
+-1116
+-1113
+-1110
+-1107
+-1104
+-1101
+-1098
+-1095
+-1092
+-1089
+-1086
+-1083
+-1079
+-1076
+-1073
+-1070
+-1067
+-1064
+-1060
+-1057
+-1054
+-1051
+-1048
+-1044
+-1041
+-1038
+-1034
+-1031
+-1028
+-1024
+-1021
+-1017
+-1014
+-1011
+-1007
+-1004
+-1000
+-997
+-993
+-990
+-986
+-983
+-979
+-975
+-972
+-968
+-965
+-961
+-957
+-954
+-950
+-946
+-943
+-939
+-935
+-931
+-928
+-924
+-920
+-916
+-912
+-908
+-905
+-901
+-897
+-893
+-889
+-885
+-881
+-877
+-873
+-869
+-865
+-861
+-857
+-853
+-849
+-845
+-841
+-837
+-833
+-829
+-824
+-820
+-816
+-812
+-808
+-803
+-799
+-795
+-791
+-786
+-782
+-778
+-774
+-769
+-765
+-760
+-756
+-752
+-747
+-743
+-738
+-734
+-730
+-725
+-721
+-716
+-712
+-707
+-703
+-698
+-693
+-689
+-684
+-680
+-675
+-670
+-666
+-661
+-656
+-652
+-647
+-642
+-638
+-633
+-628
+-623
+-619
+-614
+-609
+-604
+-599
+-595
+-590
+-585
+-580
+-575
+-570
+-565
+-560
+-555
+-550
+-546
+-541
+-536
+-531
+-526
+-520
+-515
+-510
+-505
+-500
+-495
+-490
+-485
+-480
+-475
+-470
+-464
+-459
+-454
+-449
+-444
+-438
+-433
+-428
+-423
+-417
+-412
+-407
+-402
+-396
+-391
+-386
+-380
+-375
+-369
+-364
+-359
+-353
+-348
+-342
+-337
+-332
+-326
+-321
+-315
+-310
+-304
+-299
+-293
+-288
+-282
+-276
+-271
+-265
+-260
+-254
+-248
+-243
+-237
+-232
+-226
+-220
+-215
+-209
+-203
+-197
+-192
+-186
+-180
+-175
+-169
+-163
+-157
+-151
+-146
+-140
+-134
+-128
+-122
+-117
+-111
+-105
+-99
+-93
+-87
+-81
+-75
+-69
+-64
+-58
+-52
+-46
+-40
+-34
+-28
+-22
+-16
+-10
+-4
+2
+8
+14
+20
+26
+33
+39
+45
+51
+57
+63
+69
+75
+81
+87
+94
+100
+106
+112
+118
+124
+131
+137
+143
+149
+156
+162
+168
+174
+180
+187
+193
+199
+206
+212
+218
+224
+231
+237
+243
+250
+256
+262
+269
+275
+281
+288
+294
+301
+307
+313
+320
+326
+333
+339
+345
+352
+358
+365
+371
+378
+384
+390
+397
+403
+410
+416
+423
+429
+436
+442
+449
+455
+462
+468
+475
+481
+488
+494
+501
+508
+514
+521
+527
+534
+540
+547
+553
+560
+567
+573
+580
+586
+593
+600
+606
+613
+619
+626
+633
+639
+646
+652
+659
+666
+672
+679
+686
+692
+699
+706
+712
+719
+725
+732
+739
+745
+752
+759
+765
+772
+779
+785
+792
+799
+805
+812
+819
+825
+832
+839
+845
+852
+859
+866
+872
+879
+886
+892
+899
+906
+912
+919
+926
+932
+939
+946
+952
+959
+966
+972
+979
+986
+993
+999
+1006
+1013
+1019
+1026
+1033
+1039
+1046
+1053
+1059
+1066
+1073
+1079
+1086
+1093
+1099
+1106
+1113
+1119
+1126
+1133
+1139
+1146
+1153
+1159
+1166
+1173
+1179
+1186
+1193
+1199
+1206
+1213
+1219
+1226
+1232
+1239
+1246
+1252
+1259
+1266
+1272
+1279
+1285
+1292
+1299
+1305
+1312
+1318
+1325
+1331
+1338
+1345
+1351
+1358
+1364
+1371
+1377
+1384
+1390
+1397
+1404
+1410
+1417
+1423
+1430
+1436
+1443
+1449
+1456
+1462
+1469
+1475
+1482
+1488
+1494
+1501
+1507
+1514
+1520
+1527
+1533
+1539
+1546
+1552
+1559
+1565
+1571
+1578
+1584
+1591
+1597
+1603
+1610
+1616
+1622
+1629
+1635
+1641
+1647
+1654
+1660
+1666
+1673
+1679
+1685
+1691
+1698
+1704
+1710
+1716
+1722
+1729
+1735
+1741
+1747
+1753
+1759
+1766
+1772
+1778
+1784
+1790
+1796
+1802
+1808
+1814
+1820
+1826
+1832
+1839
+1845
+1851
+1857
+1863
+1869
+1874
+1880
+1886
+1892
+1898
+1904
+1910
+1916
+1922
+1928
+1934
+1939
+1945
+1951
+1957
+1963
+1969
+1974
+1980
+1986
+1992
+1997
+2003
+2009
+2014
+2020
+2026
+2031
+2037
+2043
+2048
+2054
+2060
+2065
+2071
+2076
+2082
+2087
+2093
+2098
+2104
+2109
+2115
+2120
+2126
+2131
+2137
+2142
+2147
+2153
+2158
+2164
+2169
+2174
+2180
+2185
+2190
+2195
+2201
+2206
+2211
+2216
+2221
+2227
+2232
+2237
+2242
+2247
+2252
+2257
+2262
+2268
+2273
+2278
+2283
+2288
+2293
+2298
+2302
+2307
+2312
+2317
+2322
+2327
+2332
+2337
+2341
+2346
+2351
+2356
+2361
+2365
+2370
+2375
+2379
+2384
+2389
+2393
+2398
+2402
+2407
+2412
+2416
+2421
+2425
+2430
+2434
+2439
+2443
+2447
+2452
+2456
+2461
+2465
+2469
+2474
+2478
+2482
+2486
+2491
+2495
+2499
+2503
+2507
+2511
+2516
+2520
+2524
+2528
+2532
+2536
+2540
+2544
+2548
+2552
+2556
+2560
+2563
+2567
+2571
+2575
+2579
+2582
+2586
+2590
+2594
+2597
+2601
+2605
+2608
+2612
+2616
+2619
+2623
+2626
+2630
+2633
+2637
+2640
+2644
+2647
+2650
+2654
+2657
+2660
+2664
+2667
+2670
+2673
+2677
+2680
+2683
+2686
+2689
+2692
+2695
+2698
+2701
+2704
+2707
+2710
+2713
+2716
+2719
+2722
+2725
+2728
+2730
+2733
+2736
+2739
+2741
+2744
+2747
+2749
+2752
+2754
+2757
+2760
+2762
+2765
+2767
+2769
+2772
+2774
+2777
+2779
+2781
+2784
+2786
+2788
+2790
+2792
+2795
+2797
+2799
+2801
+2803
+2805
+2807
+2809
+2811
+2813
+2815
+2817
+2819
+2820
+2822
+2824
+2826
+2828
+2829
+2831
+2833
+2834
+2836
+2837
+2839
+2841
+2842
+2844
+2845
+2846
+2848
+2849
+2851
+2852
+2853
+2854
+2856
+2857
+2858
+2859
+2860
+2861
+2863
+2864
+2865
+2866
+2867
+2867
+2868
+2869
+2870
+2871
+2872
+2873
+2873
+2874
+2875
+2875
+2876
+2877
+2877
+2878
+2878
+2879
+2879
+2880
+2880
+2881
+2881
+2881
+2881
+2882
+2882
+2882
+2882
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2882
+2882
+2882
+2882
+2881
+2881
+2881
+2880
+2880
+2880
+2879
+2879
+2878
+2878
+2877
+2877
+2876
+2875
+2875
+2874
+2873
+2872
+2872
+2871
+2870
+2869
+2868
+2867
+2866
+2865
+2864
+2863
+2862
+2861
+2859
+2858
+2857
+2856
+2855
+2853
+2852
+2850
+2849
+2848
+2846
+2845
+2843
+2842
+2840
+2838
+2837
+2835
+2833
+2832
+2830
+2828
+2826
+2824
+2822
+2820
+2818
+2816
+2814
+2812
+2810
+2808
+2806
+2804
+2802
+2799
+2797
+2795
+2792
+2790
+2788
+2785
+2783
+2780
+2778
+2775
+2773
+2770
+2767
+2765
+2762
+2759
+2756
+2754
+2751
+2748
+2745
+2742
+2739
+2736
+2733
+2730
+2727
+2724
+2721
+2718
+2714
+2711
+2708
+2704
+2701
+2698
+2694
+2691
+2687
+2684
+2680
+2677
+2673
+2670
+2666
+2662
+2659
+2655
+2651
+2647
+2643
+2639
+2635
+2632
+2628
+2624
+2619
+2615
+2611
+2607
+2603
+2599
+2595
+2590
+2586
+2582
+2577
+2573
+2568
+2564
+2559
+2555
+2550
+2546
+2541
+2536
+2532
+2527
+2522
+2518
+2513
+2508
+2503
+2498
+2493
+2488
+2483
+2478
+2473
+2468
+2463
+2458
+2452
+2447
+2442
+2437
+2431
+2426
+2421
+2415
+2410
+2404
+2399
+2393
+2388
+2382
+2376
+2371
+2365
+2359
+2353
+2348
+2342
+2336
+2330
+2324
+2318
+2312
+2306
+2300
+2294
+2288
+2282
+2275
+2269
+2263
+2257
+2250
+2244
+2238
+2231
+2225
+2218
+2212
+2205
+2199
+2192
+2185
+2179
+2172
+2165
+2159
+2152
+2145
+2138
+2131
+2124
+2117
+2110
+2103
+2096
+2089
+2082
+2075
+2068
+2061
+2053
+2046
+2039
+2032
+2024
+2017
+2009
+2002
+1995
+1987
+1979
+1972
+1964
+1957
+1949
+1941
+1934
+1926
+1918
+1910
+1902
+1895
+1887
+1879
+1871
+1863
+1855
+1847
+1839
+1831
+1822
+1814
+1806
+1798
+1790
+1781
+1773
+1765
+1756
+1748
+1739
+1731
+1722
+1714
+1705
+1697
+1688
+1679
+1671
+1662
+1653
+1645
+1636
+1627
+1618
+1609
+1600
+1591
+1582
+1573
+1564
+1555
+1546
+1537
+1528
+1519
+1510
+1500
+1491
+1482
+1473
+1463
+1454
+1444
+1435
+1426
+1416
+1407
+1397
+1387
+1378
+1368
+1359
+1349
+1339
+1329
+1320
+1310
+1300
+1290
+1280
+1270
+1261
+1251
+1241
+1231
+1221
+1211
+1200
+1190
+1180
+1170
+1160
+1150
+1139
+1129
+1119
+1108
+1098
+1088
+1077
+1067
+1056
+1046
+1036
+1025
+1014
+1004
+993
+983
+972
+961
+951
+940
+929
+918
+907
+897
+886
+875
+864
+853
+842
+831
+820
+809
+798
+787
+776
+765
+753
+742
+731
+720
+709
+697
+686
+675
+663
+652
+641
+629
+618
+606
+595
+583
+572
+560
+549
+537
+525
+514
+502
+490
+479
+467
+455
+444
+432
+420
+408
+396
+384
+372
+360
+349
+337
+325
+313
+301
+288
+276
+264
+252
+240
+228
+216
+204
+191
+179
+167
+155
+142
+130
+118
+105
+93
+80
+68
+56
+43
+31
+18
+6
+-7
+-19
+-32
+-44
+-57
+-70
+-82
+-95
+-108
+-120
+-133
+-146
+-159
+-171
+-184
+-197
+-210
+-222
+-235
+-248
+-261
+-274
+-287
+-300
+-313
+-326
+-339
+-352
+-365
+-378
+-391
+-404
+-417
+-430
+-443
+-456
+-469
+-482
+-496
+-509
+-522
+-535
+-548
+-562
+-575
+-588
+-601
+-615
+-628
+-641
+-655
+-668
+-681
+-695
+-708
+-722
+-735
+-748
+-762
+-775
+-789
+-802
+-816
+-829
+-843
+-856
+-870
+-883
+-897
+-910
+-924
+-938
+-951
+-965
+-978
+-992
+-1006
+-1019
+-1033
+-1047
+-1060
+-1074
+-1088
+-1101
+-1115
+-1129
+-1143
+-1156
+-1170
+-1184
+-1198
+-1212
+-1225
+-1239
+-1253
+-1267
+-1281
+-1294
+-1308
+-1322
+-1336
+-1350
+-1364
+-1378
+-1392
+-1405
+-1419
+-1433
+-1447
+-1461
+-1475
+-1489
+-1503
+-1517
+-1531
+-1545
+-1559
+-1573
+-1587
+-1601
+-1615
+-1629
+-1643
+-1657
+-1671
+-1685
+-1699
+-1713
+-1727
+-1741
+-1755
+-1769
+-1783
+-1797
+-1811
+-1825
+-1839
+-1853
+-1867
+-1881
+-1895
+-1910
+-1924
+-1938
+-1952
+-1966
+-1980
+-1994
+-2008
+-2022
+-2036
+-2050
+-2064
+-2079
+-2093
+-2107
+-2121
+-2135
+-2149
+-2163
+-2177
+-2191
+-2205
+-2220
+-2234
+-2248
+-2262
+-2276
+-2290
+-2304
+-2318
+-2332
+-2346
+-2360
+-2375
+-2389
+-2403
+-2417
+-2431
+-2445
+-2459
+-2473
+-2487
+-2501
+-2515
+-2529
+-2543
+-2557
+-2571
+-2585
+-2599
+-2613
+-2627
+-2641
+-2655
+-2669
+-2683
+-2697
+-2711
+-2725
+-2739
+-2753
+-2767
+-2781
+-2795
+-2809
+-2823
+-2837
+-2851
+-2865
+-2879
+-2893
+-2907
+-2921
+-2934
+-2948
+-2962
+-2976
+-2990
+-3004
+-3018
+-3031
+-3045
+-3059
+-3073
+-3087
+-3100
+-3114
+-3128
+-3142
+-3155
+-3169
+-3183
+-3197
+-3210
+-3224
+-3238
+-3251
+-3265
+-3279
+-3292
+-3306
+-3320
+-3333
+-3347
+-3360
+-3374
+-3387
+-3401
+-3415
+-3428
+-3442
+-3455
+-3468
+-3482
+-3495
+-3509
+-3522
+-3536
+-3549
+-3562
+-3576
+-3589
+-3602
+-3616
+-3629
+-3642
+-3656
+-3669
+-3682
+-3695
+-3708
+-3722
+-3735
+-3748
+-3761
+-3774
+-3787
+-3800
+-3814
+-3827
+-3840
+-3853
+-3866
+-3879
+-3892
+-3905
+-3917
+-3930
+-3943
+-3956
+-3969
+-3982
+-3995
+-4007
+-4020
+-4033
+-4046
+-4058
+-4071
+-4084
+-4096
+-4109
+-4122
+-4134
+-4147
+-4159
+-4172
+-4184
+-4197
+-4209
+-4222
+-4234
+-4246
+-4259
+-4271
+-4283
+-4296
+-4308
+-4320
+-4332
+-4345
+-4357
+-4369
+-4381
+-4393
+-4405
+-4417
+-4429
+-4441
+-4453
+-4465
+-4477
+-4489
+-4501
+-4513
+-4525
+-4537
+-4548
+-4560
+-4572
+-4583
+-4595
+-4607
+-4618
+-4630
+-4641
+-4653
+-4665
+-4676
+-4687
+-4699
+-4710
+-4722
+-4733
+-4744
+-4755
+-4767
+-4778
+-4789
+-4800
+-4811
+-4822
+-4834
+-4845
+-4856
+-4867
+-4877
+-4888
+-4899
+-4910
+-4921
+-4932
+-4942
+-4953
+-4964
+-4974
+-4985
+-4996
+-5006
+-5017
+-5027
+-5038
+-5048
+-5058
+-5069
+-5079
+-5089
+-5100
+-5110
+-5120
+-5130
+-5140
+-5150
+-5160
+-5170
+-5180
+-5190
+-5200
+-5210
+-5220
+-5230
+-5240
+-5249
+-5259
+-5269
+-5278
+-5288
+-5297
+-5307
+-5316
+-5326
+-5335
+-5344
+-5354
+-5363
+-5372
+-5381
+-5391
+-5400
+-5409
+-5418
+-5427
+-5436
+-5445
+-5454
+-5462
+-5471
+-5480
+-5489
+-5497
+-5506
+-5515
+-5523
+-5532
+-5540
+-5549
+-5557
+-5565
+-5574
+-5582
+-5590
+-5598
+-5606
+-5614
+-5623
+-5631
+-5639
+-5646
+-5654
+-5662
+-5670
+-5678
+-5685
+-5693
+-5701
+-5708
+-5716
+-5723
+-5731
+-5738
+-5745
+-5753
+-5760
+-5767
+-5774
+-5781
+-5789
+-5796
+-5803
+-5810
+-5816
+-5823
+-5830
+-5837
+-5844
+-5850
+-5857
+-5863
+-5870
+-5876
+-5883
+-5889
+-5895
+-5902
+-5908
+-5914
+-5920
+-5926
+-5932
+-5938
+-5944
+-5950
+-5956
+-5962
+-5967
+-5973
+-5979
+-5984
+-5990
+-5995
+-6001
+-6006
+-6012
+-6017
+-6022
+-6027
+-6032
+-6037
+-6042
+-6047
+-6052
+-6057
+-6062
+-6067
+-6071
+-6076
+-6081
+-6085
+-6090
+-6094
+-6099
+-6103
+-6107
+-6111
+-6116
+-6120
+-6124
+-6128
+-6132
+-6136
+-6139
+-6143
+-6147
+-6151
+-6154
+-6158
+-6161
+-6165
+-6168
+-6172
+-6175
+-6178
+-6181
+-6184
+-6188
+-6191
+-6193
+-6196
+-6199
+-6202
+-6205
+-6207
+-6210
+-6213
+-6215
+-6217
+-6220
+-6222
+-6224
+-6227
+-6229
+-6231
+-6233
+-6235
+-6237
+-6239
+-6240
+-6242
+-6244
+-6246
+-6247
+-6249
+-6250
+-6251
+-6253
+-6254
+-6255
+-6256
+-6257
+-6258
+-6259
+-6260
+-6261
+-6262
+-6263
+-6263
+-6264
+-6264
+-6265
+-6265
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6265
+-6265
+-6265
+-6264
+-6264
+-6263
+-6262
+-6262
+-6261
+-6260
+-6259
+-6258
+-6257
+-6256
+-6255
+-6254
+-6252
+-6251
+-6249
+-6248
+-6246
+-6245
+-6243
+-6241
+-6239
+-6238
+-6236
+-6234
+-6232
+-6229
+-6227
+-6225
+-6222
+-6220
+-6218
+-6215
+-6212
+-6210
+-6207
+-6204
+-6201
+-6198
+-6195
+-6192
+-6189
+-6186
+-6182
+-6179
+-6176
+-6172
+-6169
+-6165
+-6161
+-6158
+-6154
+-6150
+-6146
+-6142
+-6138
+-6133
+-6129
+-6125
+-6121
+-6116
+-6112
+-6107
+-6102
+-6098
+-6093
+-6088
+-6083
+-6078
+-6073
+-6068
+-6062
+-6057
+-6052
+-6046
+-6041
+-6035
+-6030
+-6024
+-6018
+-6012
+-6006
+-6000
+-5994
+-5988
+-5982
+-5976
+-5969
+-5963
+-5956
+-5950
+-5943
+-5937
+-5930
+-5923
+-5916
+-5909
+-5902
+-5895
+-5888
+-5880
+-5873
+-5866
+-5858
+-5850
+-5843
+-5835
+-5827
+-5819
+-5811
+-5803
+-5795
+-5787
+-5779
+-5771
+-5762
+-5754
+-5745
+-5737
+-5728
+-5719
+-5711
+-5702
+-5693
+-5684
+-5675
+-5665
+-5656
+-5647
+-5637
+-5628
+-5618
+-5609
+-5599
+-5589
+-5580
+-5570
+-5560
+-5550
+-5539
+-5529
+-5519
+-5509
+-5498
+-5488
+-5477
+-5466
+-5456
+-5445
+-5434
+-5423
+-5412
+-5401
+-5390
+-5378
+-5367
+-5356
+-5344
+-5333
+-5321
+-5309
+-5297
+-5286
+-5274
+-5262
+-5250
+-5237
+-5225
+-5213
+-5200
+-5188
+-5175
+-5163
+-5150
+-5137
+-5125
+-5112
+-5099
+-5086
+-5072
+-5059
+-5046
+-5032
+-5019
+-5006
+-4992
+-4978
+-4964
+-4951
+-4937
+-4923
+-4909
+-4895
+-4880
+-4866
+-4852
+-4837
+-4823
+-4808
+-4794
+-4779
+-4764
+-4749
+-4734
+-4719
+-4704
+-4689
+-4673
+-4658
+-4643
+-4627
+-4612
+-4596
+-4580
+-4564
+-4548
+-4532
+-4516
+-4500
+-4484
+-4468
+-4452
+-4435
+-4419
+-4402
+-4385
+-4369
+-4352
+-4335
+-4318
+-4301
+-4284
+-4267
+-4249
+-4232
+-4215
+-4197
+-4180
+-4162
+-4144
+-4126
+-4108
+-4091
+-4073
+-4054
+-4036
+-4018
+-4000
+-3981
+-3963
+-3944
+-3926
+-3907
+-3888
+-3869
+-3850
+-3831
+-3812
+-3793
+-3774
+-3754
+-3735
+-3715
+-3696
+-3676
+-3656
+-3637
+-3617
+-3597
+-3577
+-3557
+-3537
+-3516
+-3496
+-3476
+-3455
+-3435
+-3414
+-3393
+-3373
+-3352
+-3331
+-3310
+-3289
+-3268
+-3246
+-3225
+-3204
+-3182
+-3161
+-3139
+-3117
+-3096
+-3074
+-3052
+-3030
+-3008
+-2986
+-2963
+-2941
+-2919
+-2896
+-2874
+-2851
+-2829
+-2806
+-2783
+-2760
+-2737
+-2714
+-2691
+-2668
+-2645
+-2621
+-2598
+-2574
+-2551
+-2527
+-2503
+-2480
+-2456
+-2432
+-2408
+-2384
+-2360
+-2335
+-2311
+-2287
+-2262
+-2238
+-2213
+-2189
+-2164
+-2139
+-2114
+-2089
+-2064
+-2039
+-2014
+-1988
+-1963
+-1938
+-1912
+-1887
+-1861
+-1835
+-1810
+-1784
+-1758
+-1732
+-1706
+-1680
+-1653
+-1627
+-1601
+-1574
+-1548
+-1521
+-1495
+-1468
+-1441
+-1414
+-1387
+-1360
+-1333
+-1306
+-1279
+-1252
+-1224
+-1197
+-1170
+-1142
+-1114
+-1087
+-1059
+-1031
+-1003
+-975
+-947
+-919
+-891
+-862
+-834
+-806
+-777
+-749
+-720
+-691
+-663
+-634
+-605
+-576
+-547
+-518
+-488
+-459
+-430
+-401
+-371
+-342
+-312
+-282
+-253
+-223
+-193
+-163
+-133
+-103
+-73
+-43
+-12
+18
+48
+79
+109
+140
+171
+201
+232
+263
+294
+325
+356
+387
+418
+450
+481
+513
+544
+576
+607
+639
+670
+702
+734
+766
+798
+830
+862
+894
+927
+959
+991
+1024
+1056
+1089
+1121
+1154
+1187
+1220
+1253
+1286
+1319
+1352
+1385
+1418
+1451
+1485
+1518
+1551
+1585
+1618
+1652
+1686
+1720
+1753
+1787
+1821
+1855
+1889
+1923
+1957
+1992
+2026
+2060
+2095
+2129
+2164
+2198
+2233
+2268
+2303
+2337
+2372
+2407
+2442
+2477
+2512
+2548
+2583
+2618
+2653
+2689
+2724
+2760
+2795
+2831
+2867
+2903
+2938
+2974
+3010
+3046
+3082
+3118
+3154
+3191
+3227
+3263
+3300
+3336
+3372
+3409
+3446
+3482
+3519
+3556
+3592
+3629
+3666
+3703
+3740
+3777
+3814
+3852
+3889
+3926
+3963
+4001
+4038
+4076
+4113
+4151
+4188
+4226
+4264
+4302
+4340
+4377
+4415
+4453
+4491
+4529
+4568
+4606
+4644
+4682
+4721
+4759
+4797
+4836
+4874
+4913
+4952
+4990
+5029
+5068
+5107
+5146
+5184
+5223
+5262
+5301
+5341
+5380
+5419
+5458
+5497
+5537
+5576
+5615
+5655
+5694
+5734
+5774
+5813
+5853
+5893
+5932
+5972
+6012
+6052
+6092
+6132
+6172
+6212
+6252
+6292
+6332
+6373
+6413
+6453
+6493
+6534
+6574
+6615
+6655
+6696
+6736
+6777
+6818
+6858
+6899
+6940
+6981
+7022
+7062
+7103
+7144
+7185
+7226
+7268
+7309
+7350
+7391
+7432
+7474
+7515
+7556
+7598
+7639
+7680
+7722
+7763
+7805
+7846
+7888
+7930
+7971
+8013
+8055
+8097
+8138
+8180
+8222
+8264
+8306
+8348
+8390
+8432
+8474
+8516
+8558
+8601
+8643
+8685
+8727
+8769
+8812
+8854
+8897
+8939
+8981
+9024
+9066
+9109
+9151
+9194
+9236
+9279
+9322
+9364
+9407
+9450
+9493
+9535
+9578
+9621
+9664
+9707
+9750
+9793
+9836
+9878
+9921
+9965
+10008
+10051
+10094
+10137
+10180
+10223
+10266
+10310
+10353
+10396
+10439
+10483
+10526
+10569
+10613
+10656
+10699
+10743
+10786
+10830
+10873
+10917
+10960
+11004
+11047
+11091
+11134
+11178
+11221
+11265
+11309
+11352
+11396
+11440
+11483
+11527
+11571
+11615
+11658
+11702
+11746
+11790
+11834
+11878
+11921
+11965
+12009
+12053
+12097
+12141
+12185
+12229
+12273
+12317
+12361
+12405
+12449
+12493
+12537
+12581
+12625
+12669
+12713
+12757
+12801
+12845
+12889
+12933
+12977
+13021
+13066
+13110
+13154
+13198
+13242
+13286
+13330
+13375
+13419
+13463
+13507
+13551
+13596
+13640
+13684
+13728
+13772
+13817
+13861
+13905
+13949
+13993
+14038
+14082
+14126
+14170
+14215
+14259
+14303
+14347
+14391
+14436
+14480
+14524
+14568
+14613
+14657
+14701
+14745
+14790
+14834
+14878
+14922
+14966
+15011
+15055
+15099
+15143
+15187
+15232
+15276
+15320
+15364
+15408
+15452
+15497
+15541
+15585
+15629
+15673
+15717
+15761
+15806
+15850
+15894
+15938
+15982
+16026
+16070
+16114
+16158
+16202
+16246
+16290
+16334
+16378
+16422
+16466
+16510
+16554
+16598
+16642
+16686
+16730
+16774
+16817
+16861
+16905
+16949
+16993
+17037
+17080
+17124
+17168
+17212
+17255
+17299
+17343
+17386
+17430
+17474
+17517
+17561
+17605
+17648
+17692
+17735
+17779
+17822
+17866
+17909
+17953
+17996
+18039
+18083
+18126
+18169
+18213
+18256
+18299
+18343
+18386
+18429
+18472
+18515
+18559
+18602
+18645
+18688
+18731
+18774
+18817
+18860
+18903
+18946
+18989
+19031
+19074
+19117
+19160
+19203
+19245
+19288
+19331
+19373
+19416
+19459
+19501
+19544
+19586
+19629
+19671
+19714
+19756
+19798
+19841
+19883
+19925
+19967
+20010
+20052
+20094
+20136
+20178
+20220
+20262
+20304
+20346
+20388
+20430
+20472
+20514
+20555
+20597
+20639
+20680
+20722
+20764
+20805
+20847
+20888
+20930
+20971
+21013
+21054
+21095
+21136
+21178
+21219
+21260
+21301
+21342
+21383
+21424
+21465
+21506
+21547
+21588
+21629
+21669
+21710
+21751
+21791
+21832
+21872
+21913
+21953
+21994
+22034
+22074
+22115
+22155
+22195
+22235
+22275
+22315
+22356
+22395
+22435
+22475
+22515
+22555
+22595
+22634
+22674
+22714
+22753
+22793
+22832
+22871
+22911
+22950
+22989
+23029
+23068
+23107
+23146
+23185
+23224
+23263
+23302
+23340
+23379
+23418
+23457
+23495
+23534
+23572
+23611
+23649
+23687
+23726
+23764
+23802
+23840
+23878
+23916
+23954
+23992
+24030
+24068
+24106
+24143
+24181
+24218
+24256
+24293
+24331
+24368
+24405
+24443
+24480
+24517
+24554
+24591
+24628
+24665
+24702
+24738
+24775
+24812
+24848
+24885
+24921
+24958
+24994
+25030
+25067
+25103
+25139
+25175
+25211
+25247
+25283
+25318
+25354
+25390
+25425
+25461
+25496
+25532
+25567
+25602
+25638
+25673
+25708
+25743
+25778
+25813
+25847
+25882
+25917
+25951
+25986
+26021
+26055
+26089
+26124
+26158
+26192
+26226
+26260
+26294
+26328
+26362
+26395
+26429
+26463
+26496
+26530
+26563
+26596
+26629
+26663
+26696
+26729
+26762
+26795
+26827
+26860
+26893
+26925
+26958
+26990
+27023
+27055
+27087
+27119
+27152
+27184
+27215
+27247
+27279
+27311
+27342
+27374
+27405
+27437
+27468
+27499
+27531
+27562
+27593
+27624
+27655
+27685
+27716
+27747
+27777
+27808
+27838
+27868
+27899
+27929
+27959
+27989
+28019
+28049
+28079
+28108
+28138
+28167
+28197
+28226
+28255
+28285
+28314
+28343
+28372
+28401
+28430
+28458
+28487
+28515
+28544
+28572
+28601
+28629
+28657
+28685
+28713
+28741
+28769
+28796
+28824
+28852
+28879
+28906
+28934
+28961
+28988
+29015
+29042
+29069
+29096
+29123
+29149
+29176
+29202
+29228
+29255
+29281
+29307
+29333
+29359
+29385
+29410
+29436
+29462
+29487
+29513
+29538
+29563
+29588
+29613
+29638
+29663
+29688
+29713
+29737
+29762
+29786
+29810
+29835
+29859
+29883
+29907
+29931
+29954
+29978
+30002
+30025
+30049
+30072
+30095
+30118
+30141
+30164
+30187
+30210
+30232
+30255
+30278
+30300
+30322
+30344
+30366
+30389
+30410
+30432
+30454
+30476
+30497
+30519
+30540
+30561
+30582
+30603
+30624
+30645
+30666
+30687
+30707
+30728
+30748
+30769
+30789
+30809
+30829
+30849
+30869
+30888
+30908
+30927
+30947
+30966
+30985
+31005
+31024
+31043
+31061
+31080
+31099
+31117
+31136
+31154
+31172
+31191
+31209
+31227
+31244
+31262
+31280
+31297
+31315
+31332
+31349
+31367
+31384
+31401
+31417
+31434
+31451
+31467
+31484
+31500
+31516
+31533
+31549
+31565
+31580
+31596
+31612
+31627
+31643
+31658
+31673
+31689
+31704
+31719
+31733
+31748
+31763
+31777
+31792
+31806
+31820
+31834
+31848
+31862
+31876
+31890
+31903
+31917
+31930
+31943
+31957
+31970
+31983
+31996
+32008
+32021
+32034
+32046
+32058
+32071
+32083
+32095
+32107
+32118
+32130
+32142
+32153
+32165
+32176
+32187
+32198
+32209
+32220
+32231
+32242
+32252
+32263
+32273
+32283
+32293
+32303
+32313
+32323
+32333
+32342
+32352
+32361
+32371
+32380
+32389
+32398
+32407
+32415
+32424
+32433
+32441
+32449
+32458
+32466
+32474
+32482
+32489
+32497
+32505
+32512
+32520
+32527
+32534
+32541
+32548
+32555
+32562
+32568
+32575
+32581
+32587
+32594
+32600
+32606
+32611
+32617
+32623
+32628
+32634
+32639
+32644
+32649
+32654
+32659
+32664
+32669
+32673
+32678
+32682
+32686
+32691
+32695
+32698
+32702
+32706
+32710
+32713
+32716
+32720
+32723
+32726
+32729
+32732
+32734
+32737
+32739
+32742
+32744
+32746
+32748
+32750
+32752
+32754
+32756
+32757
+32759
+32760
+32761
+32762
+32763
+32764
+32765
+32765
+32766
+32766
+32767
+32767
+32767
+32767
+32767
+32767
+32766
+32766
+32765
+32765
+32764
+32763
+32762
+32761
+32760
+32759
+32757
+32756
+32754
+32752
+32750
+32748
+32746
+32744
+32742
+32739
+32737
+32734
+32732
+32729
+32726
+32723
+32720
+32716
+32713
+32710
+32706
+32702
+32698
+32695
+32691
+32686
+32682
+32678
+32673
+32669
+32664
+32659
+32654
+32649
+32644
+32639
+32634
+32628
+32623
+32617
+32611
+32606
+32600
+32594
+32587
+32581
+32575
+32568
+32562
+32555
+32548
+32541
+32534
+32527
+32520
+32512
+32505
+32497
+32489
+32482
+32474
+32466
+32458
+32449
+32441
+32433
+32424
+32415
+32407
+32398
+32389
+32380
+32371
+32361
+32352
+32342
+32333
+32323
+32313
+32303
+32293
+32283
+32273
+32263
+32252
+32242
+32231
+32220
+32209
+32198
+32187
+32176
+32165
+32153
+32142
+32130
+32118
+32107
+32095
+32083
+32071
+32058
+32046
+32034
+32021
+32008
+31996
+31983
+31970
+31957
+31943
+31930
+31917
+31903
+31890
+31876
+31862
+31848
+31834
+31820
+31806
+31792
+31777
+31763
+31748
+31733
+31719
+31704
+31689
+31673
+31658
+31643
+31627
+31612
+31596
+31580
+31565
+31549
+31533
+31516
+31500
+31484
+31467
+31451
+31434
+31417
+31401
+31384
+31367
+31349
+31332
+31315
+31297
+31280
+31262
+31244
+31227
+31209
+31191
+31172
+31154
+31136
+31117
+31099
+31080
+31061
+31043
+31024
+31005
+30985
+30966
+30947
+30927
+30908
+30888
+30869
+30849
+30829
+30809
+30789
+30769
+30748
+30728
+30707
+30687
+30666
+30645
+30624
+30603
+30582
+30561
+30540
+30519
+30497
+30476
+30454
+30432
+30410
+30389
+30366
+30344
+30322
+30300
+30278
+30255
+30232
+30210
+30187
+30164
+30141
+30118
+30095
+30072
+30049
+30025
+30002
+29978
+29954
+29931
+29907
+29883
+29859
+29835
+29810
+29786
+29762
+29737
+29713
+29688
+29663
+29638
+29613
+29588
+29563
+29538
+29513
+29487
+29462
+29436
+29410
+29385
+29359
+29333
+29307
+29281
+29255
+29228
+29202
+29176
+29149
+29123
+29096
+29069
+29042
+29015
+28988
+28961
+28934
+28906
+28879
+28852
+28824
+28796
+28769
+28741
+28713
+28685
+28657
+28629
+28601
+28572
+28544
+28515
+28487
+28458
+28430
+28401
+28372
+28343
+28314
+28285
+28255
+28226
+28197
+28167
+28138
+28108
+28079
+28049
+28019
+27989
+27959
+27929
+27899
+27868
+27838
+27808
+27777
+27747
+27716
+27685
+27655
+27624
+27593
+27562
+27531
+27499
+27468
+27437
+27405
+27374
+27342
+27311
+27279
+27247
+27215
+27184
+27152
+27119
+27087
+27055
+27023
+26990
+26958
+26925
+26893
+26860
+26827
+26795
+26762
+26729
+26696
+26663
+26629
+26596
+26563
+26530
+26496
+26463
+26429
+26395
+26362
+26328
+26294
+26260
+26226
+26192
+26158
+26124
+26089
+26055
+26021
+25986
+25951
+25917
+25882
+25847
+25813
+25778
+25743
+25708
+25673
+25638
+25602
+25567
+25532
+25496
+25461
+25425
+25390
+25354
+25318
+25283
+25247
+25211
+25175
+25139
+25103
+25067
+25030
+24994
+24958
+24921
+24885
+24848
+24812
+24775
+24738
+24702
+24665
+24628
+24591
+24554
+24517
+24480
+24443
+24405
+24368
+24331
+24293
+24256
+24218
+24181
+24143
+24106
+24068
+24030
+23992
+23954
+23916
+23878
+23840
+23802
+23764
+23726
+23687
+23649
+23611
+23572
+23534
+23495
+23457
+23418
+23379
+23340
+23302
+23263
+23224
+23185
+23146
+23107
+23068
+23029
+22989
+22950
+22911
+22871
+22832
+22793
+22753
+22714
+22674
+22634
+22595
+22555
+22515
+22475
+22435
+22395
+22356
+22315
+22275
+22235
+22195
+22155
+22115
+22074
+22034
+21994
+21953
+21913
+21872
+21832
+21791
+21751
+21710
+21669
+21629
+21588
+21547
+21506
+21465
+21424
+21383
+21342
+21301
+21260
+21219
+21178
+21136
+21095
+21054
+21013
+20971
+20930
+20888
+20847
+20805
+20764
+20722
+20680
+20639
+20597
+20555
+20514
+20472
+20430
+20388
+20346
+20304
+20262
+20220
+20178
+20136
+20094
+20052
+20010
+19967
+19925
+19883
+19841
+19798
+19756
+19714
+19671
+19629
+19586
+19544
+19501
+19459
+19416
+19373
+19331
+19288
+19245
+19203
+19160
+19117
+19074
+19031
+18989
+18946
+18903
+18860
+18817
+18774
+18731
+18688
+18645
+18602
+18559
+18515
+18472
+18429
+18386
+18343
+18299
+18256
+18213
+18169
+18126
+18083
+18039
+17996
+17953
+17909
+17866
+17822
+17779
+17735
+17692
+17648
+17605
+17561
+17517
+17474
+17430
+17386
+17343
+17299
+17255
+17212
+17168
+17124
+17080
+17037
+16993
+16949
+16905
+16861
+16817
+16774
+16730
+16686
+16642
+16598
+16554
+16510
+16466
+16422
+16378
+16334
+16290
+16246
+16202
+16158
+16114
+16070
+16026
+15982
+15938
+15894
+15850
+15806
+15761
+15717
+15673
+15629
+15585
+15541
+15497
+15452
+15408
+15364
+15320
+15276
+15232
+15187
+15143
+15099
+15055
+15011
+14966
+14922
+14878
+14834
+14790
+14745
+14701
+14657
+14613
+14568
+14524
+14480
+14436
+14391
+14347
+14303
+14259
+14215
+14170
+14126
+14082
+14038
+13993
+13949
+13905
+13861
+13817
+13772
+13728
+13684
+13640
+13596
+13551
+13507
+13463
+13419
+13375
+13330
+13286
+13242
+13198
+13154
+13110
+13066
+13021
+12977
+12933
+12889
+12845
+12801
+12757
+12713
+12669
+12625
+12581
+12537
+12493
+12449
+12405
+12361
+12317
+12273
+12229
+12185
+12141
+12097
+12053
+12009
+11965
+11921
+11878
+11834
+11790
+11746
+11702
+11658
+11615
+11571
+11527
+11483
+11440
+11396
+11352
+11309
+11265
+11221
+11178
+11134
+11091
+11047
+11004
+10960
+10917
+10873
+10830
+10786
+10743
+10699
+10656
+10613
+10569
+10526
+10483
+10439
+10396
+10353
+10310
+10266
+10223
+10180
+10137
+10094
+10051
+10008
+9965
+9921
+9878
+9836
+9793
+9750
+9707
+9664
+9621
+9578
+9535
+9493
+9450
+9407
+9364
+9322
+9279
+9236
+9194
+9151
+9109
+9066
+9024
+8981
+8939
+8897
+8854
+8812
+8769
+8727
+8685
+8643
+8601
+8558
+8516
+8474
+8432
+8390
+8348
+8306
+8264
+8222
+8180
+8138
+8097
+8055
+8013
+7971
+7930
+7888
+7846
+7805
+7763
+7722
+7680
+7639
+7598
+7556
+7515
+7474
+7432
+7391
+7350
+7309
+7268
+7226
+7185
+7144
+7103
+7062
+7022
+6981
+6940
+6899
+6858
+6818
+6777
+6736
+6696
+6655
+6615
+6574
+6534
+6493
+6453
+6413
+6373
+6332
+6292
+6252
+6212
+6172
+6132
+6092
+6052
+6012
+5972
+5932
+5893
+5853
+5813
+5774
+5734
+5694
+5655
+5615
+5576
+5537
+5497
+5458
+5419
+5380
+5341
+5301
+5262
+5223
+5184
+5146
+5107
+5068
+5029
+4990
+4952
+4913
+4874
+4836
+4797
+4759
+4721
+4682
+4644
+4606
+4568
+4529
+4491
+4453
+4415
+4377
+4340
+4302
+4264
+4226
+4188
+4151
+4113
+4076
+4038
+4001
+3963
+3926
+3889
+3852
+3814
+3777
+3740
+3703
+3666
+3629
+3592
+3556
+3519
+3482
+3446
+3409
+3372
+3336
+3300
+3263
+3227
+3191
+3154
+3118
+3082
+3046
+3010
+2974
+2938
+2903
+2867
+2831
+2795
+2760
+2724
+2689
+2653
+2618
+2583
+2548
+2512
+2477
+2442
+2407
+2372
+2337
+2303
+2268
+2233
+2198
+2164
+2129
+2095
+2060
+2026
+1992
+1957
+1923
+1889
+1855
+1821
+1787
+1753
+1720
+1686
+1652
+1618
+1585
+1551
+1518
+1485
+1451
+1418
+1385
+1352
+1319
+1286
+1253
+1220
+1187
+1154
+1121
+1089
+1056
+1024
+991
+959
+927
+894
+862
+830
+798
+766
+734
+702
+670
+639
+607
+576
+544
+513
+481
+450
+418
+387
+356
+325
+294
+263
+232
+201
+171
+140
+109
+79
+48
+18
+-12
+-43
+-73
+-103
+-133
+-163
+-193
+-223
+-253
+-282
+-312
+-342
+-371
+-401
+-430
+-459
+-488
+-518
+-547
+-576
+-605
+-634
+-663
+-691
+-720
+-749
+-777
+-806
+-834
+-862
+-891
+-919
+-947
+-975
+-1003
+-1031
+-1059
+-1087
+-1114
+-1142
+-1170
+-1197
+-1224
+-1252
+-1279
+-1306
+-1333
+-1360
+-1387
+-1414
+-1441
+-1468
+-1495
+-1521
+-1548
+-1574
+-1601
+-1627
+-1653
+-1680
+-1706
+-1732
+-1758
+-1784
+-1810
+-1835
+-1861
+-1887
+-1912
+-1938
+-1963
+-1988
+-2014
+-2039
+-2064
+-2089
+-2114
+-2139
+-2164
+-2189
+-2213
+-2238
+-2262
+-2287
+-2311
+-2335
+-2360
+-2384
+-2408
+-2432
+-2456
+-2480
+-2503
+-2527
+-2551
+-2574
+-2598
+-2621
+-2645
+-2668
+-2691
+-2714
+-2737
+-2760
+-2783
+-2806
+-2829
+-2851
+-2874
+-2896
+-2919
+-2941
+-2963
+-2986
+-3008
+-3030
+-3052
+-3074
+-3096
+-3117
+-3139
+-3161
+-3182
+-3204
+-3225
+-3246
+-3268
+-3289
+-3310
+-3331
+-3352
+-3373
+-3393
+-3414
+-3435
+-3455
+-3476
+-3496
+-3516
+-3537
+-3557
+-3577
+-3597
+-3617
+-3637
+-3656
+-3676
+-3696
+-3715
+-3735
+-3754
+-3774
+-3793
+-3812
+-3831
+-3850
+-3869
+-3888
+-3907
+-3926
+-3944
+-3963
+-3981
+-4000
+-4018
+-4036
+-4054
+-4073
+-4091
+-4108
+-4126
+-4144
+-4162
+-4180
+-4197
+-4215
+-4232
+-4249
+-4267
+-4284
+-4301
+-4318
+-4335
+-4352
+-4369
+-4385
+-4402
+-4419
+-4435
+-4452
+-4468
+-4484
+-4500
+-4516
+-4532
+-4548
+-4564
+-4580
+-4596
+-4612
+-4627
+-4643
+-4658
+-4673
+-4689
+-4704
+-4719
+-4734
+-4749
+-4764
+-4779
+-4794
+-4808
+-4823
+-4837
+-4852
+-4866
+-4880
+-4895
+-4909
+-4923
+-4937
+-4951
+-4964
+-4978
+-4992
+-5006
+-5019
+-5032
+-5046
+-5059
+-5072
+-5086
+-5099
+-5112
+-5125
+-5137
+-5150
+-5163
+-5175
+-5188
+-5200
+-5213
+-5225
+-5237
+-5250
+-5262
+-5274
+-5286
+-5297
+-5309
+-5321
+-5333
+-5344
+-5356
+-5367
+-5378
+-5390
+-5401
+-5412
+-5423
+-5434
+-5445
+-5456
+-5466
+-5477
+-5488
+-5498
+-5509
+-5519
+-5529
+-5539
+-5550
+-5560
+-5570
+-5580
+-5589
+-5599
+-5609
+-5618
+-5628
+-5637
+-5647
+-5656
+-5665
+-5675
+-5684
+-5693
+-5702
+-5711
+-5719
+-5728
+-5737
+-5745
+-5754
+-5762
+-5771
+-5779
+-5787
+-5795
+-5803
+-5811
+-5819
+-5827
+-5835
+-5843
+-5850
+-5858
+-5866
+-5873
+-5880
+-5888
+-5895
+-5902
+-5909
+-5916
+-5923
+-5930
+-5937
+-5943
+-5950
+-5956
+-5963
+-5969
+-5976
+-5982
+-5988
+-5994
+-6000
+-6006
+-6012
+-6018
+-6024
+-6030
+-6035
+-6041
+-6046
+-6052
+-6057
+-6062
+-6068
+-6073
+-6078
+-6083
+-6088
+-6093
+-6098
+-6102
+-6107
+-6112
+-6116
+-6121
+-6125
+-6129
+-6133
+-6138
+-6142
+-6146
+-6150
+-6154
+-6158
+-6161
+-6165
+-6169
+-6172
+-6176
+-6179
+-6182
+-6186
+-6189
+-6192
+-6195
+-6198
+-6201
+-6204
+-6207
+-6210
+-6212
+-6215
+-6218
+-6220
+-6222
+-6225
+-6227
+-6229
+-6232
+-6234
+-6236
+-6238
+-6239
+-6241
+-6243
+-6245
+-6246
+-6248
+-6249
+-6251
+-6252
+-6254
+-6255
+-6256
+-6257
+-6258
+-6259
+-6260
+-6261
+-6262
+-6262
+-6263
+-6264
+-6264
+-6265
+-6265
+-6265
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6265
+-6265
+-6264
+-6264
+-6263
+-6263
+-6262
+-6261
+-6260
+-6259
+-6258
+-6257
+-6256
+-6255
+-6254
+-6253
+-6251
+-6250
+-6249
+-6247
+-6246
+-6244
+-6242
+-6240
+-6239
+-6237
+-6235
+-6233
+-6231
+-6229
+-6227
+-6224
+-6222
+-6220
+-6217
+-6215
+-6213
+-6210
+-6207
+-6205
+-6202
+-6199
+-6196
+-6193
+-6191
+-6188
+-6184
+-6181
+-6178
+-6175
+-6172
+-6168
+-6165
+-6161
+-6158
+-6154
+-6151
+-6147
+-6143
+-6139
+-6136
+-6132
+-6128
+-6124
+-6120
+-6116
+-6111
+-6107
+-6103
+-6099
+-6094
+-6090
+-6085
+-6081
+-6076
+-6071
+-6067
+-6062
+-6057
+-6052
+-6047
+-6042
+-6037
+-6032
+-6027
+-6022
+-6017
+-6012
+-6006
+-6001
+-5995
+-5990
+-5984
+-5979
+-5973
+-5967
+-5962
+-5956
+-5950
+-5944
+-5938
+-5932
+-5926
+-5920
+-5914
+-5908
+-5902
+-5895
+-5889
+-5883
+-5876
+-5870
+-5863
+-5857
+-5850
+-5844
+-5837
+-5830
+-5823
+-5816
+-5810
+-5803
+-5796
+-5789
+-5781
+-5774
+-5767
+-5760
+-5753
+-5745
+-5738
+-5731
+-5723
+-5716
+-5708
+-5701
+-5693
+-5685
+-5678
+-5670
+-5662
+-5654
+-5646
+-5639
+-5631
+-5623
+-5614
+-5606
+-5598
+-5590
+-5582
+-5574
+-5565
+-5557
+-5549
+-5540
+-5532
+-5523
+-5515
+-5506
+-5497
+-5489
+-5480
+-5471
+-5462
+-5454
+-5445
+-5436
+-5427
+-5418
+-5409
+-5400
+-5391
+-5381
+-5372
+-5363
+-5354
+-5344
+-5335
+-5326
+-5316
+-5307
+-5297
+-5288
+-5278
+-5269
+-5259
+-5249
+-5240
+-5230
+-5220
+-5210
+-5200
+-5190
+-5180
+-5170
+-5160
+-5150
+-5140
+-5130
+-5120
+-5110
+-5100
+-5089
+-5079
+-5069
+-5058
+-5048
+-5038
+-5027
+-5017
+-5006
+-4996
+-4985
+-4974
+-4964
+-4953
+-4942
+-4932
+-4921
+-4910
+-4899
+-4888
+-4877
+-4867
+-4856
+-4845
+-4834
+-4822
+-4811
+-4800
+-4789
+-4778
+-4767
+-4755
+-4744
+-4733
+-4722
+-4710
+-4699
+-4687
+-4676
+-4665
+-4653
+-4641
+-4630
+-4618
+-4607
+-4595
+-4583
+-4572
+-4560
+-4548
+-4537
+-4525
+-4513
+-4501
+-4489
+-4477
+-4465
+-4453
+-4441
+-4429
+-4417
+-4405
+-4393
+-4381
+-4369
+-4357
+-4345
+-4332
+-4320
+-4308
+-4296
+-4283
+-4271
+-4259
+-4246
+-4234
+-4222
+-4209
+-4197
+-4184
+-4172
+-4159
+-4147
+-4134
+-4122
+-4109
+-4096
+-4084
+-4071
+-4058
+-4046
+-4033
+-4020
+-4007
+-3995
+-3982
+-3969
+-3956
+-3943
+-3930
+-3917
+-3905
+-3892
+-3879
+-3866
+-3853
+-3840
+-3827
+-3814
+-3800
+-3787
+-3774
+-3761
+-3748
+-3735
+-3722
+-3708
+-3695
+-3682
+-3669
+-3656
+-3642
+-3629
+-3616
+-3602
+-3589
+-3576
+-3562
+-3549
+-3536
+-3522
+-3509
+-3495
+-3482
+-3468
+-3455
+-3442
+-3428
+-3415
+-3401
+-3387
+-3374
+-3360
+-3347
+-3333
+-3320
+-3306
+-3292
+-3279
+-3265
+-3251
+-3238
+-3224
+-3210
+-3197
+-3183
+-3169
+-3155
+-3142
+-3128
+-3114
+-3100
+-3087
+-3073
+-3059
+-3045
+-3031
+-3018
+-3004
+-2990
+-2976
+-2962
+-2948
+-2934
+-2921
+-2907
+-2893
+-2879
+-2865
+-2851
+-2837
+-2823
+-2809
+-2795
+-2781
+-2767
+-2753
+-2739
+-2725
+-2711
+-2697
+-2683
+-2669
+-2655
+-2641
+-2627
+-2613
+-2599
+-2585
+-2571
+-2557
+-2543
+-2529
+-2515
+-2501
+-2487
+-2473
+-2459
+-2445
+-2431
+-2417
+-2403
+-2389
+-2375
+-2360
+-2346
+-2332
+-2318
+-2304
+-2290
+-2276
+-2262
+-2248
+-2234
+-2220
+-2205
+-2191
+-2177
+-2163
+-2149
+-2135
+-2121
+-2107
+-2093
+-2079
+-2064
+-2050
+-2036
+-2022
+-2008
+-1994
+-1980
+-1966
+-1952
+-1938
+-1924
+-1910
+-1895
+-1881
+-1867
+-1853
+-1839
+-1825
+-1811
+-1797
+-1783
+-1769
+-1755
+-1741
+-1727
+-1713
+-1699
+-1685
+-1671
+-1657
+-1643
+-1629
+-1615
+-1601
+-1587
+-1573
+-1559
+-1545
+-1531
+-1517
+-1503
+-1489
+-1475
+-1461
+-1447
+-1433
+-1419
+-1405
+-1392
+-1378
+-1364
+-1350
+-1336
+-1322
+-1308
+-1294
+-1281
+-1267
+-1253
+-1239
+-1225
+-1212
+-1198
+-1184
+-1170
+-1156
+-1143
+-1129
+-1115
+-1101
+-1088
+-1074
+-1060
+-1047
+-1033
+-1019
+-1006
+-992
+-978
+-965
+-951
+-938
+-924
+-910
+-897
+-883
+-870
+-856
+-843
+-829
+-816
+-802
+-789
+-775
+-762
+-748
+-735
+-722
+-708
+-695
+-681
+-668
+-655
+-641
+-628
+-615
+-601
+-588
+-575
+-562
+-548
+-535
+-522
+-509
+-496
+-482
+-469
+-456
+-443
+-430
+-417
+-404
+-391
+-378
+-365
+-352
+-339
+-326
+-313
+-300
+-287
+-274
+-261
+-248
+-235
+-222
+-210
+-197
+-184
+-171
+-159
+-146
+-133
+-120
+-108
+-95
+-82
+-70
+-57
+-44
+-32
+-19
+-7
+6
+18
+31
+43
+56
+68
+80
+93
+105
+118
+130
+142
+155
+167
+179
+191
+204
+216
+228
+240
+252
+264
+276
+288
+301
+313
+325
+337
+349
+360
+372
+384
+396
+408
+420
+432
+444
+455
+467
+479
+490
+502
+514
+525
+537
+549
+560
+572
+583
+595
+606
+618
+629
+641
+652
+663
+675
+686
+697
+709
+720
+731
+742
+753
+765
+776
+787
+798
+809
+820
+831
+842
+853
+864
+875
+886
+897
+907
+918
+929
+940
+951
+961
+972
+983
+993
+1004
+1014
+1025
+1036
+1046
+1056
+1067
+1077
+1088
+1098
+1108
+1119
+1129
+1139
+1150
+1160
+1170
+1180
+1190
+1200
+1211
+1221
+1231
+1241
+1251
+1261
+1270
+1280
+1290
+1300
+1310
+1320
+1329
+1339
+1349
+1359
+1368
+1378
+1387
+1397
+1407
+1416
+1426
+1435
+1444
+1454
+1463
+1473
+1482
+1491
+1500
+1510
+1519
+1528
+1537
+1546
+1555
+1564
+1573
+1582
+1591
+1600
+1609
+1618
+1627
+1636
+1645
+1653
+1662
+1671
+1679
+1688
+1697
+1705
+1714
+1722
+1731
+1739
+1748
+1756
+1765
+1773
+1781
+1790
+1798
+1806
+1814
+1822
+1831
+1839
+1847
+1855
+1863
+1871
+1879
+1887
+1895
+1902
+1910
+1918
+1926
+1934
+1941
+1949
+1957
+1964
+1972
+1979
+1987
+1995
+2002
+2009
+2017
+2024
+2032
+2039
+2046
+2053
+2061
+2068
+2075
+2082
+2089
+2096
+2103
+2110
+2117
+2124
+2131
+2138
+2145
+2152
+2159
+2165
+2172
+2179
+2185
+2192
+2199
+2205
+2212
+2218
+2225
+2231
+2238
+2244
+2250
+2257
+2263
+2269
+2275
+2282
+2288
+2294
+2300
+2306
+2312
+2318
+2324
+2330
+2336
+2342
+2348
+2353
+2359
+2365
+2371
+2376
+2382
+2388
+2393
+2399
+2404
+2410
+2415
+2421
+2426
+2431
+2437
+2442
+2447
+2452
+2458
+2463
+2468
+2473
+2478
+2483
+2488
+2493
+2498
+2503
+2508
+2513
+2518
+2522
+2527
+2532
+2536
+2541
+2546
+2550
+2555
+2559
+2564
+2568
+2573
+2577
+2582
+2586
+2590
+2595
+2599
+2603
+2607
+2611
+2615
+2619
+2624
+2628
+2632
+2635
+2639
+2643
+2647
+2651
+2655
+2659
+2662
+2666
+2670
+2673
+2677
+2680
+2684
+2687
+2691
+2694
+2698
+2701
+2704
+2708
+2711
+2714
+2718
+2721
+2724
+2727
+2730
+2733
+2736
+2739
+2742
+2745
+2748
+2751
+2754
+2756
+2759
+2762
+2765
+2767
+2770
+2773
+2775
+2778
+2780
+2783
+2785
+2788
+2790
+2792
+2795
+2797
+2799
+2802
+2804
+2806
+2808
+2810
+2812
+2814
+2816
+2818
+2820
+2822
+2824
+2826
+2828
+2830
+2832
+2833
+2835
+2837
+2838
+2840
+2842
+2843
+2845
+2846
+2848
+2849
+2850
+2852
+2853
+2855
+2856
+2857
+2858
+2859
+2861
+2862
+2863
+2864
+2865
+2866
+2867
+2868
+2869
+2870
+2871
+2872
+2872
+2873
+2874
+2875
+2875
+2876
+2877
+2877
+2878
+2878
+2879
+2879
+2880
+2880
+2880
+2881
+2881
+2881
+2882
+2882
+2882
+2882
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2882
+2882
+2882
+2882
+2881
+2881
+2881
+2881
+2880
+2880
+2879
+2879
+2878
+2878
+2877
+2877
+2876
+2875
+2875
+2874
+2873
+2873
+2872
+2871
+2870
+2869
+2868
+2867
+2867
+2866
+2865
+2864
+2863
+2861
+2860
+2859
+2858
+2857
+2856
+2854
+2853
+2852
+2851
+2849
+2848
+2846
+2845
+2844
+2842
+2841
+2839
+2837
+2836
+2834
+2833
+2831
+2829
+2828
+2826
+2824
+2822
+2820
+2819
+2817
+2815
+2813
+2811
+2809
+2807
+2805
+2803
+2801
+2799
+2797
+2795
+2792
+2790
+2788
+2786
+2784
+2781
+2779
+2777
+2774
+2772
+2769
+2767
+2765
+2762
+2760
+2757
+2754
+2752
+2749
+2747
+2744
+2741
+2739
+2736
+2733
+2730
+2728
+2725
+2722
+2719
+2716
+2713
+2710
+2707
+2704
+2701
+2698
+2695
+2692
+2689
+2686
+2683
+2680
+2677
+2673
+2670
+2667
+2664
+2660
+2657
+2654
+2650
+2647
+2644
+2640
+2637
+2633
+2630
+2626
+2623
+2619
+2616
+2612
+2608
+2605
+2601
+2597
+2594
+2590
+2586
+2582
+2579
+2575
+2571
+2567
+2563
+2560
+2556
+2552
+2548
+2544
+2540
+2536
+2532
+2528
+2524
+2520
+2516
+2511
+2507
+2503
+2499
+2495
+2491
+2486
+2482
+2478
+2474
+2469
+2465
+2461
+2456
+2452
+2447
+2443
+2439
+2434
+2430
+2425
+2421
+2416
+2412
+2407
+2402
+2398
+2393
+2389
+2384
+2379
+2375
+2370
+2365
+2361
+2356
+2351
+2346
+2341
+2337
+2332
+2327
+2322
+2317
+2312
+2307
+2302
+2298
+2293
+2288
+2283
+2278
+2273
+2268
+2262
+2257
+2252
+2247
+2242
+2237
+2232
+2227
+2221
+2216
+2211
+2206
+2201
+2195
+2190
+2185
+2180
+2174
+2169
+2164
+2158
+2153
+2147
+2142
+2137
+2131
+2126
+2120
+2115
+2109
+2104
+2098
+2093
+2087
+2082
+2076
+2071
+2065
+2060
+2054
+2048
+2043
+2037
+2031
+2026
+2020
+2014
+2009
+2003
+1997
+1992
+1986
+1980
+1974
+1969
+1963
+1957
+1951
+1945
+1939
+1934
+1928
+1922
+1916
+1910
+1904
+1898
+1892
+1886
+1880
+1874
+1869
+1863
+1857
+1851
+1845
+1839
+1832
+1826
+1820
+1814
+1808
+1802
+1796
+1790
+1784
+1778
+1772
+1766
+1759
+1753
+1747
+1741
+1735
+1729
+1722
+1716
+1710
+1704
+1698
+1691
+1685
+1679
+1673
+1666
+1660
+1654
+1647
+1641
+1635
+1629
+1622
+1616
+1610
+1603
+1597
+1591
+1584
+1578
+1571
+1565
+1559
+1552
+1546
+1539
+1533
+1527
+1520
+1514
+1507
+1501
+1494
+1488
+1482
+1475
+1469
+1462
+1456
+1449
+1443
+1436
+1430
+1423
+1417
+1410
+1404
+1397
+1390
+1384
+1377
+1371
+1364
+1358
+1351
+1345
+1338
+1331
+1325
+1318
+1312
+1305
+1299
+1292
+1285
+1279
+1272
+1266
+1259
+1252
+1246
+1239
+1232
+1226
+1219
+1213
+1206
+1199
+1193
+1186
+1179
+1173
+1166
+1159
+1153
+1146
+1139
+1133
+1126
+1119
+1113
+1106
+1099
+1093
+1086
+1079
+1073
+1066
+1059
+1053
+1046
+1039
+1033
+1026
+1019
+1013
+1006
+999
+993
+986
+979
+972
+966
+959
+952
+946
+939
+932
+926
+919
+912
+906
+899
+892
+886
+879
+872
+866
+859
+852
+845
+839
+832
+825
+819
+812
+805
+799
+792
+785
+779
+772
+765
+759
+752
+745
+739
+732
+725
+719
+712
+706
+699
+692
+686
+679
+672
+666
+659
+652
+646
+639
+633
+626
+619
+613
+606
+600
+593
+586
+580
+573
+567
+560
+553
+547
+540
+534
+527
+521
+514
+508
+501
+494
+488
+481
+475
+468
+462
+455
+449
+442
+436
+429
+423
+416
+410
+403
+397
+390
+384
+378
+371
+365
+358
+352
+345
+339
+333
+326
+320
+313
+307
+301
+294
+288
+281
+275
+269
+262
+256
+250
+243
+237
+231
+224
+218
+212
+206
+199
+193
+187
+180
+174
+168
+162
+156
+149
+143
+137
+131
+124
+118
+112
+106
+100
+94
+87
+81
+75
+69
+63
+57
+51
+45
+39
+33
+26
+20
+14
+8
+2
+-4
+-10
+-16
+-22
+-28
+-34
+-40
+-46
+-52
+-58
+-64
+-69
+-75
+-81
+-87
+-93
+-99
+-105
+-111
+-117
+-122
+-128
+-134
+-140
+-146
+-151
+-157
+-163
+-169
+-175
+-180
+-186
+-192
+-197
+-203
+-209
+-215
+-220
+-226
+-232
+-237
+-243
+-248
+-254
+-260
+-265
+-271
+-276
+-282
+-288
+-293
+-299
+-304
+-310
+-315
+-321
+-326
+-332
+-337
+-342
+-348
+-353
+-359
+-364
+-369
+-375
+-380
+-386
+-391
+-396
+-402
+-407
+-412
+-417
+-423
+-428
+-433
+-438
+-444
+-449
+-454
+-459
+-464
+-470
+-475
+-480
+-485
+-490
+-495
+-500
+-505
+-510
+-515
+-520
+-526
+-531
+-536
+-541
+-546
+-550
+-555
+-560
+-565
+-570
+-575
+-580
+-585
+-590
+-595
+-599
+-604
+-609
+-614
+-619
+-623
+-628
+-633
+-638
+-642
+-647
+-652
+-656
+-661
+-666
+-670
+-675
+-680
+-684
+-689
+-693
+-698
+-703
+-707
+-712
+-716
+-721
+-725
+-730
+-734
+-738
+-743
+-747
+-752
+-756
+-760
+-765
+-769
+-774
+-778
+-782
+-786
+-791
+-795
+-799
+-803
+-808
+-812
+-816
+-820
+-824
+-829
+-833
+-837
+-841
+-845
+-849
+-853
+-857
+-861
+-865
+-869
+-873
+-877
+-881
+-885
+-889
+-893
+-897
+-901
+-905
+-908
+-912
+-916
+-920
+-924
+-928
+-931
+-935
+-939
+-943
+-946
+-950
+-954
+-957
+-961
+-965
+-968
+-972
+-975
+-979
+-983
+-986
+-990
+-993
+-997
+-1000
+-1004
+-1007
+-1011
+-1014
+-1017
+-1021
+-1024
+-1028
+-1031
+-1034
+-1038
+-1041
+-1044
+-1048
+-1051
+-1054
+-1057
+-1060
+-1064
+-1067
+-1070
+-1073
+-1076
+-1079
+-1083
+-1086
+-1089
+-1092
+-1095
+-1098
+-1101
+-1104
+-1107
+-1110
+-1113
+-1116
+-1119
+-1122
+-1125
+-1127
+-1130
+-1133
+-1136
+-1139
+-1142
+-1144
+-1147
+-1150
+-1153
+-1155
+-1158
+-1161
+-1164
+-1166
+-1169
+-1172
+-1174
+-1177
+-1179
+-1182
+-1185
+-1187
+-1190
+-1192
+-1195
+-1197
+-1200
+-1202
+-1204
+-1207
+-1209
+-1212
+-1214
+-1216
+-1219
+-1221
+-1223
+-1226
+-1228
+-1230
+-1232
+-1235
+-1237
+-1239
+-1241
+-1244
+-1246
+-1248
+-1250
+-1252
+-1254
+-1256
+-1258
+-1260
+-1262
+-1264
+-1266
+-1268
+-1270
+-1272
+-1274
+-1276
+-1278
+-1280
+-1282
+-1284
+-1286
+-1287
+-1289
+-1291
+-1293
+-1295
+-1296
+-1298
+-1300
+-1302
+-1303
+-1305
+-1307
+-1308
+-1310
+-1311
+-1313
+-1315
+-1316
+-1318
+-1319
+-1321
+-1322
+-1324
+-1325
+-1327
+-1328
+-1330
+-1331
+-1333
+-1334
+-1335
+-1337
+-1338
+-1339
+-1341
+-1342
+-1343
+-1345
+-1346
+-1347
+-1348
+-1349
+-1351
+-1352
+-1353
+-1354
+-1355
+-1356
+-1357
+-1359
+-1360
+-1361
+-1362
+-1363
+-1364
+-1365
+-1366
+-1367
+-1368
+-1369
+-1369
+-1370
+-1371
+-1372
+-1373
+-1374
+-1375
+-1376
+-1376
+-1377
+-1378
+-1379
+-1379
+-1380
+-1381
+-1382
+-1382
+-1383
+-1384
+-1384
+-1385
+-1385
+-1386
+-1387
+-1387
+-1388
+-1388
+-1389
+-1389
+-1390
+-1390
+-1391
+-1391
+-1392
+-1392
+-1392
+-1393
+-1393
+-1394
+-1394
+-1394
+-1395
+-1395
+-1395
+-1395
+-1396
+-1396
+-1396
+-1396
+-1397
+-1397
+-1397
+-1397
+-1397
+-1397
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1397
+-1397
+-1397
+-1397
+-1397
+-1397
+-1396
+-1396
+-1396
+-1396
+-1395
+-1395
+-1395
+-1395
+-1394
+-1394
+-1394
+-1393
+-1393
+-1392
+-1392
+-1392
+-1391
+-1391
+-1390
+-1390
+-1389
+-1389
+-1388
+-1388
+-1387
+-1387
+-1386
+-1386
+-1385
+-1385
+-1384
+-1383
+-1383
+-1382
+-1381
+-1381
+-1380
+-1379
+-1379
+-1378
+-1377
+-1376
+-1376
+-1375
+-1374
+-1373
+-1373
+-1372
+-1371
+-1370
+-1369
+-1368
+-1367
+-1367
+-1366
+-1365
+-1364
+-1363
+-1362
+-1361
+-1360
+-1359
+-1358
+-1357
+-1356
+-1355
+-1354
+-1353
+-1352
+-1351
+-1350
+-1348
+-1347
+-1346
+-1345
+-1344
+-1343
+-1342
+-1340
+-1339
+-1338
+-1337
+-1335
+-1334
+-1333
+-1332
+-1330
+-1329
+-1328
+-1327
+-1325
+-1324
+-1323
+-1321
+-1320
+-1318
+-1317
+-1316
+-1314
+-1313
+-1311
+-1310
+-1308
+-1307
+-1306
+-1304
+-1303
+-1301
+-1299
+-1298
+-1296
+-1295
+-1293
+-1292
+-1290
+-1289
+-1287
+-1285
+-1284
+-1282
+-1280
+-1279
+-1277
+-1275
+-1274
+-1272
+-1270
+-1269
+-1267
+-1265
+-1263
+-1262
+-1260
+-1258
+-1256
+-1255
+-1253
+-1251
+-1249
+-1247
+-1245
+-1244
+-1242
+-1240
+-1238
+-1236
+-1234
+-1232
+-1230
+-1228
+-1226
+-1224
+-1223
+-1221
+-1219
+-1217
+-1215
+-1213
+-1211
+-1209
+-1207
+-1205
+-1202
+-1200
+-1198
+-1196
+-1194
+-1192
+-1190
+-1188
+-1186
+-1184
+-1182
+-1179
+-1177
+-1175
+-1173
+-1171
+-1169
+-1166
+-1164
+-1162
+-1160
+-1157
+-1155
+-1153
+-1151
+-1149
+-1146
+-1144
+-1142
+-1139
+-1137
+-1135
+-1132
+-1130
+-1128
+-1125
+-1123
+-1121
+-1118
+-1116
+-1114
+-1111
+-1109
+-1107
+-1104
+-1102
+-1099
+-1097
+-1094
+-1092
+-1090
+-1087
+-1085
+-1082
+-1080
+-1077
+-1075
+-1072
+-1070
+-1067
+-1065
+-1062
+-1060
+-1057
+-1055
+-1052
+-1049
+-1047
+-1044
+-1042
+-1039
+-1037
+-1034
+-1031
+-1029
+-1026
+-1024
+-1021
+-1018
+-1016
+-1013
+-1010
+-1008
+-1005
+-1002
+-1000
+-997
+-994
+-992
+-989
+-986
+-984
+-981
+-978
+-975
+-973
+-970
+-967
+-964
+-962
+-959
+-956
+-953
+-951
+-948
+-945
+-942
+-940
+-937
+-934
+-931
+-928
+-925
+-923
+-920
+-917
+-914
+-911
+-908
+-906
+-903
+-900
+-897
+-894
+-891
+-888
+-886
+-883
+-880
+-877
+-874
+-871
+-868
+-865
+-862
+-859
+-856
+-854
+-851
+-848
+-845
+-842
+-839
+-836
+-833
+-830
+-827
+-824
+-821
+-818
+-815
+-812
+-809
+-806
+-803
+-800
+-797
+-794
+-791
+-788
+-785
+-782
+-779
+-776
+-773
+-770
+-767
+-764
+-761
+-758
+-755
+-752
+-749
+-746
+-743
+-740
+-736
+-733
+-730
+-727
+-724
+-721
+-718
+-715
+-712
+-709
+-706
+-703
+-700
+-697
+-693
+-690
+-687
+-684
+-681
+-678
+-675
+-672
+-669
+-665
+-662
+-659
+-656
+-653
+-650
+-647
+-644
+-641
+-637
+-634
+-631
+-628
+-625
+-622
+-619
+-616
+-612
+-609
+-606
+-603
+-600
+-597
+-594
+-590
+-587
+-584
+-581
+-578
+-575
+-572
+-568
+-565
+-562
+-559
+-556
+-553
+-549
+-546
+-543
+-540
+-537
+-534
+-531
+-527
+-524
+-521
+-518
+-515
+-512
+-508
+-505
+-502
+-499
+-496
+-493
+-489
+-486
+-483
+-480
+-477
+-474
+-470
+-467
+-464
+-461
+-458
+-455
+-452
+-448
+-445
+-442
+-439
+-436
+-433
+-429
+-426
+-423
+-420
+-417
+-414
+-411
+-407
+-404
+-401
+-398
+-395
+-392
+-389
+-385
+-382
+-379
+-376
+-373
+-370
+-367
+-363
+-360
+-357
+-354
+-351
+-348
+-345
+-342
+-338
+-335
+-332
+-329
+-326
+-323
+-320
+-317
+-313
+-310
+-307
+-304
+-301
+-298
+-295
+-292
+-289
+-286
+-282
+-279
+-276
+-273
+-270
+-267
+-264
+-261
+-258
+-255
+-252
+-249
+-246
+-242
+-239
+-236
+-233
+-230
+-227
+-224
+-221
+-218
+-215
+-212
+-209
+-206
+-203
+-200
+-197
+-194
+-191
+-188
+-185
+-182
+-179
+-176
+-173
+-170
+-167
+-164
+-161
+-158
+-155
+-152
+-149
+-146
+-143
+-140
+-137
+-134
+-131
+-128
+-125
+-122
+-119
+-116
+-113
+-110
+-107
+-105
+-102
+-99
+-96
+-93
+-90
+-87
+-84
+-81
+-78
+-75
+-73
+-70
+-67
+-64
+-61
+-58
+-55
+-52
+-50
+-47
+-44
+-41
+-38
+-35
+-33
+-30
+-27
+-24
+-21
+-18
+-16
+-13
+-10
+-7
+-4
+-2
+1
+4
+7
+9
+12
+15
+18
+20
+23
+26
+29
+31
+34
+37
+40
+42
+45
+48
+50
+53
+56
+58
+61
+64
+66
+69
+72
+74
+77
+80
+82
+85
+88
+90
+93
+96
+98
+101
+103
+106
+109
+111
+114
+116
+119
+121
+124
+127
+129
+132
+134
+137
+139
+142
+144
+147
+149
+152
+154
+157
+159
+162
+164
+167
+169
+172
+174
+176
+179
+181
+184
+186
+189
+191
+193
+196
+198
+201
+203
+205
+208
+210
+212
+215
+217
+219
+222
+224
+226
+229
+231
+233
+236
+238
+240
+243
+245
+247
+249
+252
+254
+256
+258
+261
+263
+265
+267
+270
+272
+274
+276
+278
+280
+283
+285
+287
+289
+291
+293
+296
+298
+300
+302
+304
+306
+308
+310
+312
+314
+317
+319
+321
+323
+325
+327
+329
+331
+333
+335
+337
+339
+341
+343
+345
+347
+349
+351
+353
+355
+357
+359
+360
+362
+364
+366
+368
+370
+372
+374
+376
+378
+379
+381
+383
+385
+387
+389
+390
+392
+394
+396
+398
+399
+401
+403
+405
+407
+408
+410
+412
+414
+415
+417
+419
+420
+422
+424
+425
+427
+429
+430
+432
+434
+435
+437
+439
+440
+442
+444
+445
+447
+448
+450
+451
+453
+455
+456
+458
+459
+461
+462
+464
+465
+467
+468
+470
+471
+473
+474
+476
+477
+479
+480
+482
+483
+484
+486
+487
+489
+490
+491
+493
+494
+496
+497
+498
+500
+501
+502
+504
+505
+506
+507
+509
+510
+511
+513
+514
+515
+516
+518
+519
+520
+521
+523
+524
+525
+526
+527
+529
+530
+531
+532
+533
+534
+535
+537
+538
+539
+540
+541
+542
+543
+544
+545
+546
+548
+549
+550
+551
+552
+553
+554
+555
+556
+557
+558
+559
+560
+561
+562
+563
+564
+565
+565
+566
+567
+568
+569
+570
+571
+572
+573
+574
+574
+575
+576
+577
+578
+579
+579
+580
+581
+582
+583
+583
+584
+585
+586
+587
+587
+588
+589
+590
+590
+591
+592
+592
+593
+594
+594
+595
+596
+596
+597
+598
+598
+599
+600
+600
+601
+602
+602
+603
+603
+604
+604
+605
+606
+606
+607
+607
+608
+608
+609
+609
+610
+610
+611
+611
+612
+612
+613
+613
+614
+614
+615
+615
+615
+616
+616
+617
+617
+617
+618
+618
+619
+619
+619
+620
+620
+620
+621
+621
+621
+622
+622
+622
+622
+623
+623
+623
+624
+624
+624
+624
+625
+625
+625
+625
+626
+626
+626
+626
+626
+627
+627
+627
+627
+627
+627
+627
+628
+628
+628
+628
+628
+628
+628
+628
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+628
+628
+628
+628
+628
+628
+628
+628
+628
+627
+627
+627
+627
+627
+627
+626
+626
+626
+626
+626
+625
+625
+625
+625
+625
+624
+624
+624
+624
+623
+623
+623
+623
+622
+622
+622
+621
+621
+621
+621
+620
+620
+620
+619
+619
+619
+618
+618
+618
+617
+617
+616
+616
+616
+615
+615
+614
+614
+614
+613
+613
+612
+612
+612
+611
+611
+610
+610
+609
+609
+608
+608
+607
+607
+606
+606
+605
+605
+604
+604
+603
+603
+602
+602
+601
+601
+600
+600
+599
+598
+598
+597
+597
+596
+596
+595
+594
+594
+593
+592
+592
+591
+591
+590
+589
+589
+588
+587
+587
+586
+585
+585
+584
+583
+583
+582
+581
+581
+580
+579
+579
+578
+577
+576
+576
+575
+574
+574
+573
+572
+571
+571
+570
+569
+568
+567
+567
+566
+565
+564
+564
+563
+562
+561
+560
+560
+559
+558
+557
+556
+555
+555
+554
+553
+552
+551
+550
+549
+549
+548
+547
+546
+545
+544
+543
+542
+542
+541
+540
+539
+538
+537
+536
+535
+534
+533
+532
+532
+531
+530
+529
+528
+527
+526
+525
+524
+523
+522
+521
+520
+519
+518
+517
+516
+515
+514
+513
+512
+511
+510
+509
+508
+507
+506
+505
+504
+503
+502
+501
+500
+499
+498
+497
+496
+495
+494
+493
+492
+491
+490
+488
+487
+486
+485
+484
+483
+482
+481
+480
+479
+478
+477
+475
+474
+473
+472
+471
+470
+469
+468
+467
+465
+464
+463
+462
+461
+460
+459
+458
+456
+455
+454
+453
+452
+451
+450
+448
+447
+446
+445
+444
+443
+441
+440
+439
+438
+437
+436
+434
+433
+432
+431
+430
+428
+427
+426
+425
+424
+422
+421
+420
+419
+418
+416
+415
+414
+413
+412
+410
+409
+408
+407
+405
+404
+403
+402
+400
+399
+398
+397
+396
+394
+393
+392
+391
+389
+388
+387
+386
+384
+383
+382
+381
+379
+378
+377
+376
+374
+373
+372
+370
+369
+368
+367
+365
+364
+363
+362
+360
+359
+358
+356
+355
+354
+353
+351
+350
+349
+347
+346
+345
+344
+342
+341
+340
+338
+337
+336
+335
+333
+332
+331
+329
+328
+327
+325
+324
+323
+322
+320
+319
+318
+316
+315
+314
+312
+311
+310
+308
+307
+306
+305
+303
+302
+301
+299
+298
+297
+295
+294
+293
+291
+290
+289
+287
+286
+285
+283
+282
+281
+280
+278
+277
+276
+274
+273
+272
+270
+269
+268
+266
+265
+264
+262
+261
+260
+258
+257
+256
+254
+253
+252
+250
+249
+248
+247
+245
+244
+243
+241
+240
+239
+237
+236
+235
+233
+232
+231
+229
+228
+227
+225
+224
+223
+221
+220
+219
+218
+216
+215
+214
+212
+211
+210
+208
+207
+206
+204
+203
+202
+200
+199
+198
+197
+195
+194
+193
+191
+190
+189
+187
+186
+185
+184
+182
+181
+180
+178
+177
+176
+174
+173
+172
+171
+169
+168
+167
+165
+164
+163
+162
+160
+159
+158
+156
+155
+154
+153
+151
+150
+149
+147
+146
+145
+144
+142
+141
+140
+138
+137
+136
+135
+133
+132
+131
+130
+128
+127
+126
+125
+123
+122
+121
+120
+118
+117
+116
+115
+113
+112
+111
+110
+108
+107
+106
+105
+103
+102
+101
+100
+98
+97
+96
+95
+94
+92
+91
+90
+89
+87
+86
+85
+84
+83
+81
+80
+79
+78
+77
+75
+74
+73
+72
+71
+69
+68
+67
+66
+65
+63
+62
+61
+60
+59
+58
+56
+55
+54
+53
+52
+51
+49
+48
+47
+46
+45
+44
+42
+41
+40
+39
+38
+37
+36
+34
+33
+32
+31
+30
+29
+28
+26
+25
+24
+23
+22
+21
+20
+19
+18
+16
+15
+14
+13
+12
+11
+10
+9
+8
+6
+5
+4
+3
+2
+1
+0
+-1
+-2
+-3
+-4
+-5
+-6
+-8
+-9
+-10
+-11
+-12
+-13
+-14
+-15
+-16
+-17
+-18
+-19
+-20
+-21
+-22
+-23
+-24
+-25
+-26
+-27
+-28
+-29
+-30
+-31
+-32
+-33
+-34
+-35
+-36
+-37
+-38
+-39
+-40
+-41
+-42
+-43
+-44
+-45
+-46
+-47
+-48
+-49
+-50
+-51
+-52
+-53
+-54
+-55
+-56
+-57
+-58
+-59
+-60
+-61
+-62
+-63
+-64
+-64
+-65
+-66
+-67
+-68
+-69
+-70
+-71
+-72
+-73
+-74
+-75
+-75
+-76
+-77
+-78
+-79
+-80
+-81
+-82
+-83
+-83
+-84
+-85
+-86
+-87
+-88
+-89
+-90
+-90
+-91
+-92
+-93
+-94
+-95
+-96
+-96
+-97
+-98
+-99
+-100
+-101
+-101
+-102
+-103
+-104
+-105
+-105
+-106
+-107
+-108
+-109
+-110
+-110
+-111
+-112
+-113
+-113
+-114
+-115
+-116
+-117
+-117
+-118
+-119
+-120
+-120
+-121
+-122
+-123
+-123
+-124
+-125
+-126
+-126
+-127
+-128
+-129
+-129
+-130
+-131
+-132
+-132
+-133
+-134
+-134
+-135
+-136
+-137
+-137
+-138
+-139
+-139
+-140
+-141
+-141
+-142
+-143
+-143
+-144
+-145
+-145
+-146
+-147
+-147
+-148
+-149
+-149
+-150
+-151
+-151
+-152
+-153
+-153
+-154
+-155
+-155
+-156
+-156
+-157
+-158
+-158
+-159
+-160
+-160
+-161
+-161
+-162
+-163
+-163
+-164
+-164
+-165
+-165
+-166
+-167
+-167
+-168
+-168
+-169
+-169
+-170
+-171
+-171
+-172
+-172
+-173
+-173
+-174
+-174
+-175
+-175
+-176
+-177
+-177
+-178
+-178
+-179
+-179
+-180
+-180
+-181
+-181
+-182
+-182
+-183
+-183
+-184
+-184
+-185
+-185
+-186
+-186
+-186
+-187
+-187
+-188
+-188
+-189
+-189
+-190
+-190
+-191
+-191
+-191
+-192
+-192
+-193
+-193
+-194
+-194
+-195
+-195
+-195
+-196
+-196
+-197
+-197
+-197
+-198
+-198
+-199
+-199
+-199
+-200
+-200
+-201
+-201
+-201
+-202
+-202
+-202
+-203
+-203
+-204
+-204
+-204
+-205
+-205
+-205
+-206
+-206
+-206
+-207
+-207
+-207
+-208
+-208
+-208
+-209
+-209
+-209
+-210
+-210
+-210
+-211
+-211
+-211
+-211
+-212
+-212
+-212
+-213
+-213
+-213
+-213
+-214
+-214
+-214
+-215
+-215
+-215
+-215
+-216
+-216
+-216
+-216
+-217
+-217
+-217
+-217
+-218
+-218
+-218
+-218
+-219
+-219
+-219
+-219
+-219
+-220
+-220
+-220
+-220
+-220
+-221
+-221
+-221
+-221
+-221
+-222
+-222
+-222
+-222
+-222
+-223
+-223
+-223
+-223
+-223
+-223
+-224
+-224
+-224
+-224
+-224
+-224
+-225
+-225
+-225
+-225
+-225
+-225
+-225
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-225
+-225
+-225
+-225
+-225
+-225
+-225
+-225
+-224
+-224
+-224
+-224
+-224
+-224
+-224
+-223
+-223
+-223
+-223
+-223
+-223
+-223
+-222
+-222
+-222
+-222
+-222
+-222
+-221
+-221
+-221
+-221
+-221
+-220
+-220
+-220
+-220
+-220
+-220
+-219
+-219
+-219
+-219
+-219
+-218
+-218
+-218
+-218
+-218
+-217
+-217
+-217
+-217
+-217
+-216
+-216
+-216
+-216
+-215
+-215
+-215
+-215
+-215
+-214
+-214
+-214
+-214
+-213
+-213
+-213
+-213
+-213
+-212
+-212
+-212
+-212
+-211
+-211
+-211
+-211
+-210
+-210
+-210
+-210
+-209
+-209
+-209
+-209
+-208
+-208
+-208
+-208
+-207
+-207
+-207
+-206
+-206
+-206
+-206
+-205
+-205
+-205
+-205
+-204
+-204
+-204
+-203
+-203
+-203
+-203
+-202
+-202
+-202
+-201
+-201
+-201
+-201
+-200
+-200
+-200
+-199
+-199
+-199
+-198
+-198
+-198
+-198
+-197
+-197
+-197
+-196
+-196
+-196
+-195
+-195
+-195
+-194
+-194
+-194
+-193
+-193
+-193
+-193
+-192
+-192
+-192
+-191
+-191
+-191
+-190
+-190
+-190
+-189
+-189
+-189
+-188
+-188
+-188
+-187
+-187
+-187
+-186
+-186
+-186
+-185
+-185
+-185
+-184
+-184
+-184
+-183
+-183
+-182
+-182
+-182
+-181
+-181
+-181
+-180
+-180
+-180
+-179
+-179
+-179
+-178
+-178
+-177
+-177
+-177
+-176
+-176
+-176
+-175
+-175
+-175
+-174
+-174
+-173
+-173
+-173
+-172
+-172
+-172
+-171
+-171
+-171
+-170
+-170
+-169
+-169
+-169
+-168
+-168
+-168
+-167
+-167
+-166
+-166
+-166
+-165
+-165
+-164
+-164
+-164
+-163
+-163
+-163
+-162
+-162
+-161
+-161
+-161
+-160
+-160
+-159
+-159
+-159
+-158
+-158
+-157
+-157
+-157
+-156
+-156
+-156
+-155
+-155
+-154
+-154
+-154
+-153
+-153
+-152
+-152
+-152
+-151
+-151
+-150
+-150
+-150
+-149
+-149
+-148
+-148
+-148
+-147
+-147
+-146
+-146
+-146
+-145
+-145
+-144
+-144
+-143
+-143
+-143
+-142
+-142
+-141
+-141
+-141
+-140
+-140
+-139
+-139
+-139
+-138
+-138
+-137
+-137
+-137
+-136
+-136
+-135
+-135
+-135
+-134
+-134
+-133
+-133
+-132
+-132
+-132
+-131
+-131
+-130
+-130
+-130
+-129
+-129
+-128
+-128
+-127
+-127
+-127
+-126
+-126
+-125
+-125
+-125
+-124
+-124
+-123
+-123
+-123
+-122
+-122
+-121
+-121
+-120
+-120
+-120
+-119
+-119
+-118
+-118
+-118
+-117
+-117
+-116
+-116
+-115
+-115
+-115
+-114
+-114
+-113
+-113
+-113
+-112
+-112
+-111
+-111
+-111
+-110
+-110
+-109
+-109
+-108
+-108
+-108
+-107
+-107
+-106
+-106
+-106
+-105
+-105
+-104
+-104
+-103
+-103
+-103
+-102
+-102
+-101
+-101
+-101
+-100
+-100
+-99
+-99
+-99
+-98
+-98
+-97
+-97
+-96
+-96
+-96
+-95
+-95
+-94
+-94
+-94
+-93
+-93
+-92
+-92
+-92
+-91
+-91
+-90
+-90
+-90
+-89
+-89
+-88
+-88
+-88
+-87
+-87
+-86
+-86
+-86
+-85
+-85
+-84
+-84
+-83
+-83
+-83
+-82
+-82
+-81
+-81
+-81
+-80
+-80
+-79
+-79
+-79
+-78
+-78
+-78
+-77
+-77
+-76
+-76
+-76
+-75
+-75
+-74
+-74
+-74
+-73
+-73
+-72
+-72
+-72
+-71
+-71
+-70
+-70
+-70
+-69
+-69
+-68
+-68
+-68
+-67
+-67
+-67
+-66
+-66
+-65
+-65
+-65
+-64
+-64
+-63
+-63
+-63
+-62
+-62
+-62
+-61
+-61
+-60
+-60
+-60
+-59
+-59
+-59
+-58
+-58
+-57
+-57
+-57
+-56
+-56
+-56
+-55
+-55
+-54
+-54
+-54
+-53
+-53
+-53
+-52
+-52
+-52
+-51
+-51
+-50
+-50
+-50
+-49
+-49
+-49
+-48
+-48
+-48
+-47
+-47
+-46
+-46
+-46
+-45
+-45
+-45
+-44
+-44
+-44
+-43
+-43
+-43
+-42
+-42
+-42
+-41
+-41
+-40
+-40
+-40
+-39
+-39
+-39
+-38
+-38
+-38
+-37
+-37
+-37
+-36
+-36
+-36
+-35
+-35
+-35
+-34
+-34
+-34
+-33
+-33
+-33
+-32
+-32
+-32
+-31
+-31
+-31
+-30
+-30
+-30
+-29
+-29
+-29
+-28
+-28
+-28
+-27
+-27
+-27
+-26
+-26
+-26
+-25
+-25
+-25
+-25
+-24
+-24
+-24
+-23
+-23
+-23
+-22
+-22
+-22
+-21
+-21
+-21
+-20
+-20
+-20
+-20
+-19
+-19
+-19
+-18
+-18
+-18
+-17
+-17
+-17
+-17
+-16
+-16
+-16
+-15
+-15
+-15
+-14
+-14
+-14
+-14
+-13
+-13
+-13
+-12
+-12
+-12
+-12
+-11
+-11
+-11
+-10
+-10
+-10
+-10
+-9
+-9
+-9
+-8
+-8
+-8
+-8
+-7
+-7
+-7
+-7
+-6
+-6
+-6
+-5
+-5
+-5
+-5
+-4
+-4
+-4
+-4
+-3
+-3
+-3
+-3
+-2
+-2
+-2
+-1
+-1
+-1
+-1
+0
+0
+0
+0
+1
+1
+1
+1
+2
+2
+2
+2
+3
+3
+3
+3
+4
+4
+4
+4
+4
+5
+5
+5
+5
+6
+6
+6
+6
+7
+7
+7
+7
+8
+8
+8
+8
+8
+9
+9
+9
+9
+10
+10
+10
+10
+10
+11
+11
+11
+11
+12
+12
+12
+12
+12
+13
+13
+13
+13
+13
+14
+14
+14
+14
+14
+15
+15
+15
+15
+16
+16
+16
+16
+16
+17
+17
+17
+17
+17
+17
+18
+18
+18
+18
+18
+19
+19
+19
+19
+19
+20
+20
+20
+20
+20
+20
+21
+21
+21
+21
+21
+22
+22
+22
+22
+22
+22
+23
+23
+23
+23
+23
+23
+24
+24
+24
+24
+24
+24
+25
+25
+25
+25
+25
+25
+26
+26
+26
+26
+26
+26
+27
+27
+27
+27
+27
+27
+27
+28
+28
+28
+28
+28
+28
+28
+29
+29
+29
+29
+29
+29
+29
+30
+30
+30
+30
+30
+30
+30
+31
+31
+31
+31
+31
+31
+31
+31
+32
+32
+32
+32
+32
+32
+32
+32
+33
+33
+33
+33
+33
+33
+33
+33
+34
+34
+34
+34
+34
+34
+34
+34
+34
+35
+35
+35
+35
+35
+35
+35
+35
+35
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
diff --git a/applications/lofar2/model/data/Coefficient_16KHanning_18b.dat b/applications/lofar2/model/data/Coefficient_16KHanning_18b.dat
new file mode 100644
index 0000000000000000000000000000000000000000..55f5823fa873ae2dd512ced936037f3fac80004e
--- /dev/null
+++ b/applications/lofar2/model/data/Coefficient_16KHanning_18b.dat
@@ -0,0 +1,16384 @@
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+19
+19
+19
+19
+19
+19
+19
+19
+19
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+21
+21
+21
+21
+21
+21
+21
+21
+21
+22
+22
+22
+22
+22
+22
+22
+22
+22
+23
+23
+23
+23
+23
+23
+23
+23
+24
+24
+24
+24
+24
+24
+24
+24
+25
+25
+25
+25
+25
+25
+25
+25
+26
+26
+26
+26
+26
+26
+26
+26
+27
+27
+27
+27
+27
+27
+27
+27
+28
+28
+28
+28
+28
+28
+28
+29
+29
+29
+29
+29
+29
+29
+30
+30
+30
+30
+30
+30
+30
+30
+31
+31
+31
+31
+31
+31
+32
+32
+32
+32
+32
+32
+32
+33
+33
+33
+33
+33
+33
+33
+34
+34
+34
+34
+34
+34
+35
+35
+35
+35
+35
+35
+35
+36
+36
+36
+36
+36
+36
+37
+37
+37
+37
+37
+37
+38
+38
+38
+38
+38
+38
+39
+39
+39
+39
+39
+39
+40
+40
+40
+40
+40
+40
+41
+41
+41
+41
+41
+41
+42
+42
+42
+42
+42
+42
+43
+43
+43
+43
+43
+44
+44
+44
+44
+44
+44
+45
+45
+45
+45
+45
+46
+46
+46
+46
+46
+46
+47
+47
+47
+47
+47
+48
+48
+48
+48
+48
+49
+49
+49
+49
+49
+50
+50
+50
+50
+50
+50
+51
+51
+51
+51
+51
+52
+52
+52
+52
+52
+53
+53
+53
+53
+53
+54
+54
+54
+54
+55
+55
+55
+55
+55
+56
+56
+56
+56
+56
+57
+57
+57
+57
+57
+58
+58
+58
+58
+58
+59
+59
+59
+59
+60
+60
+60
+60
+60
+61
+61
+61
+61
+62
+62
+62
+62
+62
+63
+63
+63
+63
+64
+64
+64
+64
+64
+65
+65
+65
+65
+66
+66
+66
+66
+66
+67
+67
+67
+67
+68
+68
+68
+68
+69
+69
+69
+69
+69
+70
+70
+70
+70
+71
+71
+71
+71
+72
+72
+72
+72
+73
+73
+73
+73
+73
+74
+74
+74
+74
+75
+75
+75
+75
+76
+76
+76
+76
+77
+77
+77
+77
+78
+78
+78
+78
+79
+79
+79
+79
+80
+80
+80
+80
+81
+81
+81
+81
+82
+82
+82
+82
+83
+83
+83
+83
+84
+84
+84
+84
+85
+85
+85
+85
+86
+86
+86
+86
+87
+87
+87
+87
+88
+88
+88
+88
+89
+89
+89
+89
+90
+90
+90
+91
+91
+91
+91
+92
+92
+92
+92
+93
+93
+93
+93
+94
+94
+94
+94
+95
+95
+95
+95
+96
+96
+96
+97
+97
+97
+97
+98
+98
+98
+98
+99
+99
+99
+99
+100
+100
+100
+101
+101
+101
+101
+102
+102
+102
+102
+103
+103
+103
+103
+104
+104
+104
+105
+105
+105
+105
+106
+106
+106
+106
+107
+107
+107
+108
+108
+108
+108
+109
+109
+109
+109
+110
+110
+110
+111
+111
+111
+111
+112
+112
+112
+112
+113
+113
+113
+113
+114
+114
+114
+115
+115
+115
+115
+116
+116
+116
+116
+117
+117
+117
+118
+118
+118
+118
+119
+119
+119
+119
+120
+120
+120
+121
+121
+121
+121
+122
+122
+122
+122
+123
+123
+123
+123
+124
+124
+124
+125
+125
+125
+125
+126
+126
+126
+126
+127
+127
+127
+127
+128
+128
+128
+129
+129
+129
+129
+130
+130
+130
+130
+131
+131
+131
+131
+132
+132
+132
+133
+133
+133
+133
+134
+134
+134
+134
+135
+135
+135
+135
+136
+136
+136
+136
+137
+137
+137
+137
+138
+138
+138
+138
+139
+139
+139
+139
+140
+140
+140
+140
+141
+141
+141
+141
+142
+142
+142
+142
+143
+143
+143
+143
+144
+144
+144
+144
+145
+145
+145
+145
+146
+146
+146
+146
+147
+147
+147
+147
+148
+148
+148
+148
+149
+149
+149
+149
+149
+150
+150
+150
+150
+151
+151
+151
+151
+152
+152
+152
+152
+152
+153
+153
+153
+153
+154
+154
+154
+154
+154
+155
+155
+155
+155
+156
+156
+156
+156
+156
+157
+157
+157
+157
+158
+158
+158
+158
+158
+159
+159
+159
+159
+159
+160
+160
+160
+160
+160
+161
+161
+161
+161
+161
+162
+162
+162
+162
+162
+163
+163
+163
+163
+163
+163
+164
+164
+164
+164
+164
+165
+165
+165
+165
+165
+165
+166
+166
+166
+166
+166
+166
+167
+167
+167
+167
+167
+167
+168
+168
+168
+168
+168
+168
+169
+169
+169
+169
+169
+169
+170
+170
+170
+170
+170
+170
+170
+171
+171
+171
+171
+171
+171
+171
+171
+172
+172
+172
+172
+172
+172
+172
+173
+173
+173
+173
+173
+173
+173
+173
+173
+174
+174
+174
+174
+174
+174
+174
+174
+174
+175
+175
+175
+175
+175
+175
+175
+175
+175
+175
+175
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+175
+175
+175
+175
+175
+175
+175
+175
+175
+175
+174
+174
+174
+174
+174
+174
+174
+174
+173
+173
+173
+173
+173
+173
+173
+172
+172
+172
+172
+172
+172
+171
+171
+171
+171
+171
+171
+170
+170
+170
+170
+170
+170
+169
+169
+169
+169
+169
+168
+168
+168
+168
+168
+167
+167
+167
+167
+166
+166
+166
+166
+166
+165
+165
+165
+165
+164
+164
+164
+164
+163
+163
+163
+163
+162
+162
+162
+161
+161
+161
+161
+160
+160
+160
+160
+159
+159
+159
+158
+158
+158
+157
+157
+157
+156
+156
+156
+156
+155
+155
+155
+154
+154
+154
+153
+153
+153
+152
+152
+151
+151
+151
+150
+150
+150
+149
+149
+149
+148
+148
+147
+147
+147
+146
+146
+145
+145
+145
+144
+144
+143
+143
+143
+142
+142
+141
+141
+141
+140
+140
+139
+139
+138
+138
+137
+137
+137
+136
+136
+135
+135
+134
+134
+133
+133
+132
+132
+131
+131
+130
+130
+129
+129
+128
+128
+127
+127
+126
+126
+125
+125
+124
+124
+123
+123
+122
+122
+121
+121
+120
+120
+119
+118
+118
+117
+117
+116
+116
+115
+114
+114
+113
+113
+112
+112
+111
+110
+110
+109
+109
+108
+107
+107
+106
+106
+105
+104
+104
+103
+102
+102
+101
+100
+100
+99
+99
+98
+97
+97
+96
+95
+95
+94
+93
+93
+92
+91
+90
+90
+89
+88
+88
+87
+86
+86
+85
+84
+83
+83
+82
+81
+80
+80
+79
+78
+78
+77
+76
+75
+75
+74
+73
+72
+71
+71
+70
+69
+68
+68
+67
+66
+65
+64
+64
+63
+62
+61
+60
+60
+59
+58
+57
+56
+56
+55
+54
+53
+52
+51
+50
+50
+49
+48
+47
+46
+45
+44
+44
+43
+42
+41
+40
+39
+38
+37
+37
+36
+35
+34
+33
+32
+31
+30
+29
+28
+27
+26
+26
+25
+24
+23
+22
+21
+20
+19
+18
+17
+16
+15
+14
+13
+12
+11
+10
+9
+8
+7
+6
+5
+4
+3
+2
+1
+0
+-1
+-2
+-3
+-4
+-5
+-6
+-7
+-8
+-9
+-10
+-11
+-12
+-13
+-14
+-15
+-16
+-18
+-19
+-20
+-21
+-22
+-23
+-24
+-25
+-26
+-27
+-28
+-29
+-31
+-32
+-33
+-34
+-35
+-36
+-37
+-38
+-39
+-41
+-42
+-43
+-44
+-45
+-46
+-47
+-49
+-50
+-51
+-52
+-53
+-54
+-56
+-57
+-58
+-59
+-60
+-61
+-63
+-64
+-65
+-66
+-67
+-69
+-70
+-71
+-72
+-73
+-75
+-76
+-77
+-78
+-79
+-81
+-82
+-83
+-84
+-86
+-87
+-88
+-89
+-91
+-92
+-93
+-94
+-96
+-97
+-98
+-99
+-101
+-102
+-103
+-104
+-106
+-107
+-108
+-110
+-111
+-112
+-113
+-115
+-116
+-117
+-119
+-120
+-121
+-123
+-124
+-125
+-127
+-128
+-129
+-131
+-132
+-133
+-135
+-136
+-137
+-139
+-140
+-141
+-143
+-144
+-145
+-147
+-148
+-149
+-151
+-152
+-154
+-155
+-156
+-158
+-159
+-161
+-162
+-163
+-165
+-166
+-167
+-169
+-170
+-172
+-173
+-175
+-176
+-177
+-179
+-180
+-182
+-183
+-184
+-186
+-187
+-189
+-190
+-192
+-193
+-195
+-196
+-197
+-199
+-200
+-202
+-203
+-205
+-206
+-208
+-209
+-211
+-212
+-213
+-215
+-216
+-218
+-219
+-221
+-222
+-224
+-225
+-227
+-228
+-230
+-231
+-233
+-234
+-236
+-237
+-239
+-240
+-242
+-243
+-245
+-246
+-248
+-249
+-251
+-252
+-254
+-256
+-257
+-259
+-260
+-262
+-263
+-265
+-266
+-268
+-269
+-271
+-272
+-274
+-276
+-277
+-279
+-280
+-282
+-283
+-285
+-286
+-288
+-290
+-291
+-293
+-294
+-296
+-297
+-299
+-301
+-302
+-304
+-305
+-307
+-308
+-310
+-312
+-313
+-315
+-316
+-318
+-320
+-321
+-323
+-324
+-326
+-328
+-329
+-331
+-332
+-334
+-336
+-337
+-339
+-340
+-342
+-344
+-345
+-347
+-348
+-350
+-352
+-353
+-355
+-357
+-358
+-360
+-361
+-363
+-365
+-366
+-368
+-370
+-371
+-373
+-374
+-376
+-378
+-379
+-381
+-383
+-384
+-386
+-388
+-389
+-391
+-393
+-394
+-396
+-397
+-399
+-401
+-402
+-404
+-406
+-407
+-409
+-411
+-412
+-414
+-416
+-417
+-419
+-421
+-422
+-424
+-425
+-427
+-429
+-430
+-432
+-434
+-435
+-437
+-439
+-440
+-442
+-444
+-445
+-447
+-449
+-450
+-452
+-454
+-455
+-457
+-459
+-460
+-462
+-464
+-465
+-467
+-469
+-470
+-472
+-474
+-475
+-477
+-479
+-480
+-482
+-483
+-485
+-487
+-488
+-490
+-492
+-493
+-495
+-497
+-498
+-500
+-502
+-503
+-505
+-507
+-508
+-510
+-512
+-513
+-515
+-517
+-518
+-520
+-522
+-523
+-525
+-527
+-528
+-530
+-531
+-533
+-535
+-536
+-538
+-540
+-541
+-543
+-545
+-546
+-548
+-550
+-551
+-553
+-554
+-556
+-558
+-559
+-561
+-563
+-564
+-566
+-567
+-569
+-571
+-572
+-574
+-576
+-577
+-579
+-580
+-582
+-584
+-585
+-587
+-589
+-590
+-592
+-593
+-595
+-597
+-598
+-600
+-601
+-603
+-605
+-606
+-608
+-609
+-611
+-613
+-614
+-616
+-617
+-619
+-620
+-622
+-624
+-625
+-627
+-628
+-630
+-631
+-633
+-635
+-636
+-638
+-639
+-641
+-642
+-644
+-646
+-647
+-649
+-650
+-652
+-653
+-655
+-656
+-658
+-659
+-661
+-662
+-664
+-665
+-667
+-669
+-670
+-672
+-673
+-675
+-676
+-678
+-679
+-681
+-682
+-684
+-685
+-687
+-688
+-690
+-691
+-692
+-694
+-695
+-697
+-698
+-700
+-701
+-703
+-704
+-706
+-707
+-709
+-710
+-711
+-713
+-714
+-716
+-717
+-719
+-720
+-721
+-723
+-724
+-726
+-727
+-728
+-730
+-731
+-733
+-734
+-735
+-737
+-738
+-740
+-741
+-742
+-744
+-745
+-746
+-748
+-749
+-750
+-752
+-753
+-754
+-756
+-757
+-758
+-760
+-761
+-762
+-764
+-765
+-766
+-768
+-769
+-770
+-771
+-773
+-774
+-775
+-777
+-778
+-779
+-780
+-782
+-783
+-784
+-785
+-787
+-788
+-789
+-790
+-791
+-793
+-794
+-795
+-796
+-797
+-799
+-800
+-801
+-802
+-803
+-805
+-806
+-807
+-808
+-809
+-810
+-811
+-813
+-814
+-815
+-816
+-817
+-818
+-819
+-820
+-822
+-823
+-824
+-825
+-826
+-827
+-828
+-829
+-830
+-831
+-832
+-833
+-834
+-835
+-836
+-837
+-838
+-839
+-840
+-841
+-842
+-843
+-844
+-845
+-846
+-847
+-848
+-849
+-850
+-851
+-852
+-853
+-854
+-855
+-856
+-857
+-858
+-858
+-859
+-860
+-861
+-862
+-863
+-864
+-865
+-865
+-866
+-867
+-868
+-869
+-870
+-870
+-871
+-872
+-873
+-874
+-874
+-875
+-876
+-877
+-877
+-878
+-879
+-880
+-880
+-881
+-882
+-883
+-883
+-884
+-885
+-885
+-886
+-887
+-887
+-888
+-889
+-889
+-890
+-891
+-891
+-892
+-893
+-893
+-894
+-894
+-895
+-896
+-896
+-897
+-897
+-898
+-898
+-899
+-899
+-900
+-900
+-901
+-901
+-902
+-902
+-903
+-903
+-904
+-904
+-905
+-905
+-906
+-906
+-907
+-907
+-907
+-908
+-908
+-909
+-909
+-909
+-910
+-910
+-910
+-911
+-911
+-911
+-912
+-912
+-912
+-913
+-913
+-913
+-913
+-914
+-914
+-914
+-914
+-915
+-915
+-915
+-915
+-915
+-916
+-916
+-916
+-916
+-916
+-916
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-916
+-916
+-916
+-916
+-916
+-915
+-915
+-915
+-915
+-915
+-914
+-914
+-914
+-914
+-913
+-913
+-913
+-912
+-912
+-912
+-911
+-911
+-911
+-910
+-910
+-910
+-909
+-909
+-908
+-908
+-908
+-907
+-907
+-906
+-906
+-905
+-905
+-904
+-904
+-903
+-903
+-902
+-902
+-901
+-901
+-900
+-900
+-899
+-898
+-898
+-897
+-897
+-896
+-895
+-895
+-894
+-893
+-893
+-892
+-891
+-890
+-890
+-889
+-888
+-888
+-887
+-886
+-885
+-884
+-884
+-883
+-882
+-881
+-880
+-879
+-879
+-878
+-877
+-876
+-875
+-874
+-873
+-872
+-871
+-870
+-869
+-868
+-868
+-867
+-866
+-865
+-863
+-862
+-861
+-860
+-859
+-858
+-857
+-856
+-855
+-854
+-853
+-852
+-850
+-849
+-848
+-847
+-846
+-845
+-843
+-842
+-841
+-840
+-838
+-837
+-836
+-835
+-833
+-832
+-831
+-829
+-828
+-827
+-825
+-824
+-823
+-821
+-820
+-818
+-817
+-816
+-814
+-813
+-811
+-810
+-808
+-807
+-805
+-804
+-802
+-801
+-799
+-798
+-796
+-794
+-793
+-791
+-790
+-788
+-786
+-785
+-783
+-781
+-780
+-778
+-776
+-775
+-773
+-771
+-770
+-768
+-766
+-764
+-762
+-761
+-759
+-757
+-755
+-753
+-752
+-750
+-748
+-746
+-744
+-742
+-740
+-738
+-736
+-734
+-732
+-731
+-729
+-727
+-725
+-723
+-721
+-718
+-716
+-714
+-712
+-710
+-708
+-706
+-704
+-702
+-700
+-698
+-695
+-693
+-691
+-689
+-687
+-684
+-682
+-680
+-678
+-676
+-673
+-671
+-669
+-666
+-664
+-662
+-660
+-657
+-655
+-652
+-650
+-648
+-645
+-643
+-640
+-638
+-636
+-633
+-631
+-628
+-626
+-623
+-621
+-618
+-616
+-613
+-611
+-608
+-606
+-603
+-600
+-598
+-595
+-593
+-590
+-587
+-585
+-582
+-579
+-577
+-574
+-571
+-568
+-566
+-563
+-560
+-557
+-555
+-552
+-549
+-546
+-543
+-541
+-538
+-535
+-532
+-529
+-526
+-523
+-521
+-518
+-515
+-512
+-509
+-506
+-503
+-500
+-497
+-494
+-491
+-488
+-485
+-482
+-479
+-476
+-473
+-470
+-466
+-463
+-460
+-457
+-454
+-451
+-448
+-444
+-441
+-438
+-435
+-432
+-428
+-425
+-422
+-419
+-415
+-412
+-409
+-406
+-402
+-399
+-396
+-392
+-389
+-386
+-382
+-379
+-375
+-372
+-369
+-365
+-362
+-358
+-355
+-351
+-348
+-344
+-341
+-337
+-334
+-330
+-327
+-323
+-320
+-316
+-313
+-309
+-305
+-302
+-298
+-295
+-291
+-287
+-284
+-280
+-276
+-273
+-269
+-265
+-262
+-258
+-254
+-250
+-247
+-243
+-239
+-235
+-232
+-228
+-224
+-220
+-216
+-212
+-209
+-205
+-201
+-197
+-193
+-189
+-185
+-181
+-177
+-174
+-170
+-166
+-162
+-158
+-154
+-150
+-146
+-142
+-138
+-134
+-130
+-126
+-122
+-117
+-113
+-109
+-105
+-101
+-97
+-93
+-89
+-85
+-80
+-76
+-72
+-68
+-64
+-60
+-55
+-51
+-47
+-43
+-39
+-34
+-30
+-26
+-22
+-17
+-13
+-9
+-4
+0
+4
+9
+13
+17
+22
+26
+30
+35
+39
+43
+48
+52
+57
+61
+66
+70
+74
+79
+83
+88
+92
+97
+101
+106
+110
+115
+119
+124
+128
+133
+138
+142
+147
+151
+156
+160
+165
+170
+174
+179
+184
+188
+193
+197
+202
+207
+211
+216
+221
+226
+230
+235
+240
+244
+249
+254
+259
+263
+268
+273
+278
+282
+287
+292
+297
+302
+306
+311
+316
+321
+326
+330
+335
+340
+345
+350
+355
+360
+365
+369
+374
+379
+384
+389
+394
+399
+404
+409
+414
+419
+424
+428
+433
+438
+443
+448
+453
+458
+463
+468
+473
+478
+483
+488
+493
+498
+503
+508
+513
+519
+524
+529
+534
+539
+544
+549
+554
+559
+564
+569
+574
+579
+585
+590
+595
+600
+605
+610
+615
+620
+625
+631
+636
+641
+646
+651
+656
+662
+667
+672
+677
+682
+687
+693
+698
+703
+708
+713
+718
+724
+729
+734
+739
+744
+750
+755
+760
+765
+771
+776
+781
+786
+791
+797
+802
+807
+812
+818
+823
+828
+833
+839
+844
+849
+854
+860
+865
+870
+875
+881
+886
+891
+896
+902
+907
+912
+918
+923
+928
+933
+939
+944
+949
+954
+960
+965
+970
+976
+981
+986
+991
+997
+1002
+1007
+1013
+1018
+1023
+1028
+1034
+1039
+1044
+1050
+1055
+1060
+1065
+1071
+1076
+1081
+1086
+1092
+1097
+1102
+1108
+1113
+1118
+1123
+1129
+1134
+1139
+1145
+1150
+1155
+1160
+1166
+1171
+1176
+1181
+1187
+1192
+1197
+1202
+1208
+1213
+1218
+1223
+1229
+1234
+1239
+1244
+1250
+1255
+1260
+1265
+1270
+1276
+1281
+1286
+1291
+1297
+1302
+1307
+1312
+1317
+1323
+1328
+1333
+1338
+1343
+1349
+1354
+1359
+1364
+1369
+1374
+1380
+1385
+1390
+1395
+1400
+1405
+1410
+1416
+1421
+1426
+1431
+1436
+1441
+1446
+1451
+1456
+1462
+1467
+1472
+1477
+1482
+1487
+1492
+1497
+1502
+1507
+1512
+1517
+1522
+1527
+1532
+1537
+1542
+1547
+1552
+1557
+1562
+1567
+1572
+1577
+1582
+1587
+1592
+1597
+1602
+1607
+1612
+1617
+1622
+1627
+1632
+1636
+1641
+1646
+1651
+1656
+1661
+1666
+1670
+1675
+1680
+1685
+1690
+1695
+1699
+1704
+1709
+1714
+1718
+1723
+1728
+1733
+1737
+1742
+1747
+1752
+1756
+1761
+1766
+1770
+1775
+1780
+1784
+1789
+1794
+1798
+1803
+1807
+1812
+1817
+1821
+1826
+1830
+1835
+1839
+1844
+1848
+1853
+1857
+1862
+1866
+1871
+1875
+1880
+1884
+1889
+1893
+1898
+1902
+1906
+1911
+1915
+1919
+1924
+1928
+1932
+1937
+1941
+1945
+1950
+1954
+1958
+1962
+1967
+1971
+1975
+1979
+1984
+1988
+1992
+1996
+2000
+2004
+2008
+2013
+2017
+2021
+2025
+2029
+2033
+2037
+2041
+2045
+2049
+2053
+2057
+2061
+2065
+2069
+2073
+2077
+2081
+2084
+2088
+2092
+2096
+2100
+2104
+2107
+2111
+2115
+2119
+2123
+2126
+2130
+2134
+2137
+2141
+2145
+2148
+2152
+2156
+2159
+2163
+2166
+2170
+2174
+2177
+2181
+2184
+2188
+2191
+2195
+2198
+2201
+2205
+2208
+2212
+2215
+2218
+2222
+2225
+2228
+2232
+2235
+2238
+2241
+2245
+2248
+2251
+2254
+2257
+2261
+2264
+2267
+2270
+2273
+2276
+2279
+2282
+2285
+2288
+2291
+2294
+2297
+2300
+2303
+2306
+2309
+2312
+2314
+2317
+2320
+2323
+2326
+2328
+2331
+2334
+2337
+2339
+2342
+2345
+2347
+2350
+2352
+2355
+2358
+2360
+2363
+2365
+2368
+2370
+2373
+2375
+2377
+2380
+2382
+2384
+2387
+2389
+2391
+2394
+2396
+2398
+2400
+2403
+2405
+2407
+2409
+2411
+2413
+2415
+2418
+2420
+2422
+2424
+2426
+2428
+2430
+2431
+2433
+2435
+2437
+2439
+2441
+2443
+2444
+2446
+2448
+2450
+2451
+2453
+2455
+2456
+2458
+2460
+2461
+2463
+2464
+2466
+2467
+2469
+2470
+2472
+2473
+2474
+2476
+2477
+2478
+2480
+2481
+2482
+2484
+2485
+2486
+2487
+2488
+2489
+2490
+2492
+2493
+2494
+2495
+2496
+2497
+2498
+2498
+2499
+2500
+2501
+2502
+2503
+2504
+2504
+2505
+2506
+2506
+2507
+2508
+2508
+2509
+2510
+2510
+2511
+2511
+2512
+2512
+2513
+2513
+2513
+2514
+2514
+2514
+2515
+2515
+2515
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2517
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2515
+2515
+2515
+2514
+2514
+2514
+2513
+2513
+2512
+2512
+2512
+2511
+2511
+2510
+2509
+2509
+2508
+2507
+2507
+2506
+2505
+2505
+2504
+2503
+2502
+2501
+2500
+2499
+2499
+2498
+2497
+2496
+2494
+2493
+2492
+2491
+2490
+2489
+2488
+2486
+2485
+2484
+2483
+2481
+2480
+2479
+2477
+2476
+2474
+2473
+2471
+2470
+2468
+2466
+2465
+2463
+2462
+2460
+2458
+2456
+2455
+2453
+2451
+2449
+2447
+2445
+2443
+2441
+2439
+2437
+2435
+2433
+2431
+2429
+2427
+2425
+2422
+2420
+2418
+2416
+2413
+2411
+2409
+2406
+2404
+2401
+2399
+2396
+2394
+2391
+2388
+2386
+2383
+2381
+2378
+2375
+2372
+2370
+2367
+2364
+2361
+2358
+2355
+2352
+2349
+2346
+2343
+2340
+2337
+2334
+2331
+2328
+2324
+2321
+2318
+2315
+2311
+2308
+2305
+2301
+2298
+2294
+2291
+2287
+2284
+2280
+2277
+2273
+2269
+2266
+2262
+2258
+2254
+2251
+2247
+2243
+2239
+2235
+2231
+2227
+2223
+2219
+2215
+2211
+2207
+2203
+2199
+2194
+2190
+2186
+2182
+2177
+2173
+2169
+2164
+2160
+2155
+2151
+2146
+2142
+2137
+2133
+2128
+2124
+2119
+2114
+2109
+2105
+2100
+2095
+2090
+2085
+2080
+2076
+2071
+2066
+2061
+2056
+2051
+2045
+2040
+2035
+2030
+2025
+2020
+2014
+2009
+2004
+1998
+1993
+1988
+1982
+1977
+1971
+1966
+1960
+1954
+1949
+1943
+1938
+1932
+1926
+1920
+1915
+1909
+1903
+1897
+1891
+1885
+1879
+1873
+1868
+1861
+1855
+1849
+1843
+1837
+1831
+1825
+1819
+1812
+1806
+1800
+1793
+1787
+1781
+1774
+1768
+1761
+1755
+1748
+1742
+1735
+1729
+1722
+1715
+1709
+1702
+1695
+1688
+1682
+1675
+1668
+1661
+1654
+1647
+1640
+1633
+1626
+1619
+1612
+1605
+1598
+1591
+1584
+1576
+1569
+1562
+1555
+1547
+1540
+1533
+1525
+1518
+1510
+1503
+1495
+1488
+1480
+1473
+1465
+1457
+1450
+1442
+1434
+1427
+1419
+1411
+1403
+1395
+1387
+1380
+1372
+1364
+1356
+1348
+1340
+1332
+1324
+1315
+1307
+1299
+1291
+1283
+1274
+1266
+1258
+1250
+1241
+1233
+1225
+1216
+1208
+1199
+1191
+1182
+1174
+1165
+1157
+1148
+1139
+1131
+1122
+1113
+1104
+1096
+1087
+1078
+1069
+1060
+1051
+1043
+1034
+1025
+1016
+1007
+998
+989
+979
+970
+961
+952
+943
+934
+924
+915
+906
+897
+887
+878
+869
+859
+850
+840
+831
+821
+812
+802
+793
+783
+774
+764
+754
+745
+735
+725
+716
+706
+696
+686
+676
+667
+657
+647
+637
+627
+617
+607
+597
+587
+577
+567
+557
+547
+537
+526
+516
+506
+496
+486
+475
+465
+455
+444
+434
+424
+413
+403
+392
+382
+372
+361
+351
+340
+330
+319
+308
+298
+287
+277
+266
+255
+244
+234
+223
+212
+201
+191
+180
+169
+158
+147
+136
+126
+115
+104
+93
+82
+71
+60
+49
+38
+27
+15
+4
+-7
+-18
+-29
+-40
+-51
+-63
+-74
+-85
+-96
+-108
+-119
+-130
+-142
+-153
+-164
+-176
+-187
+-198
+-210
+-221
+-233
+-244
+-256
+-267
+-279
+-290
+-302
+-313
+-325
+-337
+-348
+-360
+-371
+-383
+-395
+-406
+-418
+-430
+-442
+-453
+-465
+-477
+-489
+-500
+-512
+-524
+-536
+-548
+-560
+-571
+-583
+-595
+-607
+-619
+-631
+-643
+-655
+-667
+-679
+-691
+-703
+-715
+-727
+-739
+-751
+-763
+-775
+-787
+-799
+-811
+-824
+-836
+-848
+-860
+-872
+-884
+-897
+-909
+-921
+-933
+-945
+-958
+-970
+-982
+-994
+-1007
+-1019
+-1031
+-1044
+-1056
+-1068
+-1080
+-1093
+-1105
+-1117
+-1130
+-1142
+-1155
+-1167
+-1179
+-1192
+-1204
+-1217
+-1229
+-1241
+-1254
+-1266
+-1279
+-1291
+-1304
+-1316
+-1329
+-1341
+-1354
+-1366
+-1379
+-1391
+-1404
+-1416
+-1429
+-1441
+-1454
+-1466
+-1479
+-1491
+-1504
+-1516
+-1529
+-1542
+-1554
+-1567
+-1579
+-1592
+-1604
+-1617
+-1630
+-1642
+-1655
+-1667
+-1680
+-1693
+-1705
+-1718
+-1730
+-1743
+-1756
+-1768
+-1781
+-1794
+-1806
+-1819
+-1831
+-1844
+-1857
+-1869
+-1882
+-1895
+-1907
+-1920
+-1933
+-1945
+-1958
+-1970
+-1983
+-1996
+-2008
+-2021
+-2034
+-2046
+-2059
+-2072
+-2084
+-2097
+-2109
+-2122
+-2135
+-2147
+-2160
+-2173
+-2185
+-2198
+-2210
+-2223
+-2236
+-2248
+-2261
+-2273
+-2286
+-2299
+-2311
+-2324
+-2336
+-2349
+-2362
+-2374
+-2387
+-2399
+-2412
+-2424
+-2437
+-2450
+-2462
+-2475
+-2487
+-2500
+-2512
+-2525
+-2537
+-2550
+-2562
+-2575
+-2587
+-2600
+-2612
+-2625
+-2637
+-2650
+-2662
+-2674
+-2687
+-2699
+-2712
+-2724
+-2737
+-2749
+-2761
+-2774
+-2786
+-2798
+-2811
+-2823
+-2835
+-2848
+-2860
+-2872
+-2885
+-2897
+-2909
+-2922
+-2934
+-2946
+-2958
+-2971
+-2983
+-2995
+-3007
+-3019
+-3031
+-3044
+-3056
+-3068
+-3080
+-3092
+-3104
+-3116
+-3128
+-3140
+-3153
+-3165
+-3177
+-3189
+-3201
+-3213
+-3225
+-3236
+-3248
+-3260
+-3272
+-3284
+-3296
+-3308
+-3320
+-3332
+-3343
+-3355
+-3367
+-3379
+-3391
+-3402
+-3414
+-3426
+-3438
+-3449
+-3461
+-3473
+-3484
+-3496
+-3507
+-3519
+-3531
+-3542
+-3554
+-3565
+-3577
+-3588
+-3600
+-3611
+-3622
+-3634
+-3645
+-3657
+-3668
+-3679
+-3691
+-3702
+-3713
+-3724
+-3736
+-3747
+-3758
+-3769
+-3780
+-3792
+-3803
+-3814
+-3825
+-3836
+-3847
+-3858
+-3869
+-3880
+-3891
+-3902
+-3913
+-3924
+-3934
+-3945
+-3956
+-3967
+-3978
+-3988
+-3999
+-4010
+-4020
+-4031
+-4042
+-4052
+-4063
+-4073
+-4084
+-4094
+-4105
+-4115
+-4126
+-4136
+-4147
+-4157
+-4167
+-4178
+-4188
+-4198
+-4208
+-4218
+-4229
+-4239
+-4249
+-4259
+-4269
+-4279
+-4289
+-4299
+-4309
+-4319
+-4329
+-4339
+-4349
+-4358
+-4368
+-4378
+-4388
+-4397
+-4407
+-4417
+-4426
+-4436
+-4445
+-4455
+-4464
+-4474
+-4483
+-4493
+-4502
+-4511
+-4521
+-4530
+-4539
+-4548
+-4558
+-4567
+-4576
+-4585
+-4594
+-4603
+-4612
+-4621
+-4630
+-4639
+-4648
+-4657
+-4665
+-4674
+-4683
+-4692
+-4700
+-4709
+-4718
+-4726
+-4735
+-4743
+-4752
+-4760
+-4768
+-4777
+-4785
+-4793
+-4802
+-4810
+-4818
+-4826
+-4834
+-4842
+-4851
+-4859
+-4867
+-4874
+-4882
+-4890
+-4898
+-4906
+-4914
+-4921
+-4929
+-4937
+-4944
+-4952
+-4959
+-4967
+-4974
+-4982
+-4989
+-4996
+-5004
+-5011
+-5018
+-5025
+-5033
+-5040
+-5047
+-5054
+-5061
+-5068
+-5075
+-5081
+-5088
+-5095
+-5102
+-5108
+-5115
+-5122
+-5128
+-5135
+-5141
+-5148
+-5154
+-5161
+-5167
+-5173
+-5180
+-5186
+-5192
+-5198
+-5204
+-5210
+-5216
+-5222
+-5228
+-5234
+-5240
+-5246
+-5251
+-5257
+-5263
+-5268
+-5274
+-5279
+-5285
+-5290
+-5296
+-5301
+-5306
+-5312
+-5317
+-5322
+-5327
+-5332
+-5337
+-5342
+-5347
+-5352
+-5357
+-5362
+-5366
+-5371
+-5376
+-5380
+-5385
+-5389
+-5394
+-5398
+-5403
+-5407
+-5411
+-5416
+-5420
+-5424
+-5428
+-5432
+-5436
+-5440
+-5444
+-5448
+-5452
+-5455
+-5459
+-5463
+-5466
+-5470
+-5473
+-5477
+-5480
+-5484
+-5487
+-5490
+-5494
+-5497
+-5500
+-5503
+-5506
+-5509
+-5512
+-5515
+-5517
+-5520
+-5523
+-5526
+-5528
+-5531
+-5533
+-5536
+-5538
+-5541
+-5543
+-5545
+-5547
+-5550
+-5552
+-5554
+-5556
+-5558
+-5560
+-5561
+-5563
+-5565
+-5567
+-5568
+-5570
+-5571
+-5573
+-5574
+-5576
+-5577
+-5578
+-5579
+-5581
+-5582
+-5583
+-5584
+-5585
+-5586
+-5586
+-5587
+-5588
+-5589
+-5589
+-5590
+-5590
+-5591
+-5591
+-5591
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5591
+-5591
+-5590
+-5590
+-5589
+-5589
+-5588
+-5587
+-5587
+-5586
+-5585
+-5584
+-5583
+-5582
+-5581
+-5580
+-5578
+-5577
+-5576
+-5574
+-5573
+-5571
+-5570
+-5568
+-5566
+-5565
+-5563
+-5561
+-5559
+-5557
+-5555
+-5553
+-5551
+-5549
+-5546
+-5544
+-5542
+-5539
+-5537
+-5534
+-5532
+-5529
+-5526
+-5523
+-5521
+-5518
+-5515
+-5512
+-5509
+-5505
+-5502
+-5499
+-5496
+-5492
+-5489
+-5485
+-5482
+-5478
+-5474
+-5471
+-5467
+-5463
+-5459
+-5455
+-5451
+-5447
+-5443
+-5439
+-5434
+-5430
+-5425
+-5421
+-5416
+-5412
+-5407
+-5403
+-5398
+-5393
+-5388
+-5383
+-5378
+-5373
+-5368
+-5363
+-5357
+-5352
+-5347
+-5341
+-5336
+-5330
+-5325
+-5319
+-5313
+-5307
+-5302
+-5296
+-5290
+-5284
+-5278
+-5271
+-5265
+-5259
+-5253
+-5246
+-5240
+-5233
+-5226
+-5220
+-5213
+-5206
+-5199
+-5193
+-5186
+-5179
+-5172
+-5164
+-5157
+-5150
+-5143
+-5135
+-5128
+-5120
+-5113
+-5105
+-5097
+-5089
+-5082
+-5074
+-5066
+-5058
+-5050
+-5042
+-5033
+-5025
+-5017
+-5008
+-5000
+-4991
+-4983
+-4974
+-4965
+-4957
+-4948
+-4939
+-4930
+-4921
+-4912
+-4903
+-4894
+-4884
+-4875
+-4866
+-4856
+-4847
+-4837
+-4828
+-4818
+-4808
+-4798
+-4788
+-4779
+-4769
+-4758
+-4748
+-4738
+-4728
+-4718
+-4707
+-4697
+-4686
+-4676
+-4665
+-4654
+-4644
+-4633
+-4622
+-4611
+-4600
+-4589
+-4578
+-4567
+-4556
+-4544
+-4533
+-4522
+-4510
+-4499
+-4487
+-4475
+-4464
+-4452
+-4440
+-4428
+-4416
+-4404
+-4392
+-4380
+-4368
+-4355
+-4343
+-4331
+-4318
+-4306
+-4293
+-4280
+-4268
+-4255
+-4242
+-4229
+-4216
+-4203
+-4190
+-4177
+-4164
+-4151
+-4137
+-4124
+-4111
+-4097
+-4083
+-4070
+-4056
+-4042
+-4029
+-4015
+-4001
+-3987
+-3973
+-3959
+-3945
+-3931
+-3916
+-3902
+-3888
+-3873
+-3859
+-3844
+-3829
+-3815
+-3800
+-3785
+-3770
+-3755
+-3740
+-3725
+-3710
+-3695
+-3680
+-3665
+-3649
+-3634
+-3619
+-3603
+-3588
+-3572
+-3556
+-3540
+-3525
+-3509
+-3493
+-3477
+-3461
+-3445
+-3429
+-3413
+-3396
+-3380
+-3364
+-3347
+-3331
+-3314
+-3298
+-3281
+-3264
+-3247
+-3231
+-3214
+-3197
+-3180
+-3163
+-3146
+-3129
+-3111
+-3094
+-3077
+-3059
+-3042
+-3025
+-3007
+-2989
+-2972
+-2954
+-2936
+-2918
+-2901
+-2883
+-2865
+-2847
+-2829
+-2810
+-2792
+-2774
+-2756
+-2737
+-2719
+-2700
+-2682
+-2663
+-2645
+-2626
+-2607
+-2589
+-2570
+-2551
+-2532
+-2513
+-2494
+-2475
+-2456
+-2436
+-2417
+-2398
+-2378
+-2359
+-2340
+-2320
+-2301
+-2281
+-2261
+-2242
+-2222
+-2202
+-2182
+-2162
+-2142
+-2122
+-2102
+-2082
+-2062
+-2042
+-2021
+-2001
+-1981
+-1960
+-1940
+-1919
+-1899
+-1878
+-1858
+-1837
+-1816
+-1795
+-1774
+-1754
+-1733
+-1712
+-1691
+-1670
+-1648
+-1627
+-1606
+-1585
+-1564
+-1542
+-1521
+-1499
+-1478
+-1456
+-1435
+-1413
+-1391
+-1370
+-1348
+-1326
+-1304
+-1282
+-1260
+-1238
+-1216
+-1194
+-1172
+-1150
+-1128
+-1106
+-1083
+-1061
+-1039
+-1016
+-994
+-971
+-949
+-926
+-904
+-881
+-858
+-835
+-813
+-790
+-767
+-744
+-721
+-698
+-675
+-652
+-629
+-606
+-583
+-559
+-536
+-513
+-490
+-466
+-443
+-419
+-396
+-372
+-349
+-325
+-301
+-278
+-254
+-230
+-207
+-183
+-159
+-135
+-111
+-87
+-63
+-39
+-15
+9
+33
+57
+82
+106
+130
+154
+179
+203
+227
+252
+276
+301
+325
+350
+375
+399
+424
+448
+473
+498
+523
+547
+572
+597
+622
+647
+672
+697
+722
+747
+772
+797
+822
+847
+873
+898
+923
+948
+974
+999
+1024
+1050
+1075
+1100
+1126
+1151
+1177
+1202
+1228
+1253
+1279
+1305
+1330
+1356
+1381
+1407
+1433
+1459
+1484
+1510
+1536
+1562
+1588
+1614
+1639
+1665
+1691
+1717
+1743
+1769
+1795
+1821
+1847
+1873
+1899
+1926
+1952
+1978
+2004
+2030
+2056
+2083
+2109
+2135
+2161
+2188
+2214
+2240
+2266
+2293
+2319
+2345
+2372
+2398
+2425
+2451
+2477
+2504
+2530
+2557
+2583
+2610
+2636
+2663
+2689
+2716
+2742
+2769
+2795
+2822
+2849
+2875
+2902
+2928
+2955
+2982
+3008
+3035
+3062
+3088
+3115
+3142
+3168
+3195
+3222
+3248
+3275
+3302
+3328
+3355
+3382
+3409
+3435
+3462
+3489
+3516
+3542
+3569
+3596
+3623
+3649
+3676
+3703
+3730
+3756
+3783
+3810
+3837
+3863
+3890
+3917
+3944
+3970
+3997
+4024
+4051
+4077
+4104
+4131
+4157
+4184
+4211
+4238
+4264
+4291
+4318
+4344
+4371
+4398
+4425
+4451
+4478
+4505
+4531
+4558
+4584
+4611
+4638
+4664
+4691
+4718
+4744
+4771
+4797
+4824
+4850
+4877
+4903
+4930
+4956
+4983
+5009
+5036
+5062
+5089
+5115
+5142
+5168
+5194
+5221
+5247
+5273
+5300
+5326
+5352
+5379
+5405
+5431
+5457
+5484
+5510
+5536
+5562
+5588
+5614
+5640
+5667
+5693
+5719
+5745
+5771
+5797
+5823
+5848
+5874
+5900
+5926
+5952
+5978
+6004
+6029
+6055
+6081
+6107
+6132
+6158
+6184
+6209
+6235
+6260
+6286
+6311
+6337
+6362
+6388
+6413
+6438
+6464
+6489
+6514
+6540
+6565
+6590
+6615
+6640
+6665
+6690
+6715
+6740
+6765
+6790
+6815
+6840
+6865
+6890
+6915
+6939
+6964
+6989
+7013
+7038
+7062
+7087
+7111
+7136
+7160
+7185
+7209
+7233
+7258
+7282
+7306
+7330
+7354
+7378
+7402
+7426
+7450
+7474
+7498
+7522
+7546
+7569
+7593
+7617
+7640
+7664
+7688
+7711
+7735
+7758
+7781
+7805
+7828
+7851
+7874
+7897
+7920
+7944
+7967
+7989
+8012
+8035
+8058
+8081
+8103
+8126
+8149
+8171
+8194
+8216
+8239
+8261
+8283
+8306
+8328
+8350
+8372
+8394
+8416
+8438
+8460
+8482
+8504
+8525
+8547
+8569
+8590
+8612
+8633
+8654
+8676
+8697
+8718
+8740
+8761
+8782
+8803
+8824
+8845
+8865
+8886
+8907
+8927
+8948
+8969
+8989
+9009
+9030
+9050
+9070
+9090
+9110
+9131
+9150
+9170
+9190
+9210
+9230
+9249
+9269
+9288
+9308
+9327
+9347
+9366
+9385
+9404
+9423
+9442
+9461
+9480
+9499
+9518
+9536
+9555
+9573
+9592
+9610
+9628
+9647
+9665
+9683
+9701
+9719
+9737
+9755
+9772
+9790
+9808
+9825
+9842
+9860
+9877
+9894
+9912
+9929
+9946
+9962
+9979
+9996
+10013
+10029
+10046
+10062
+10079
+10095
+10111
+10127
+10144
+10160
+10175
+10191
+10207
+10223
+10238
+10254
+10269
+10285
+10300
+10315
+10330
+10345
+10360
+10375
+10390
+10405
+10419
+10434
+10448
+10463
+10477
+10491
+10505
+10519
+10533
+10547
+10561
+10574
+10588
+10601
+10615
+10628
+10642
+10655
+10668
+10681
+10694
+10706
+10719
+10732
+10744
+10757
+10769
+10781
+10794
+10806
+10818
+10830
+10841
+10853
+10865
+10876
+10888
+10899
+10910
+10922
+10933
+10944
+10955
+10965
+10976
+10987
+10997
+11008
+11018
+11028
+11038
+11048
+11058
+11068
+11078
+11088
+11097
+11107
+11116
+11125
+11134
+11143
+11152
+11161
+11170
+11179
+11187
+11196
+11204
+11212
+11220
+11229
+11237
+11244
+11252
+11260
+11267
+11275
+11282
+11289
+11297
+11304
+11311
+11317
+11324
+11331
+11337
+11344
+11350
+11356
+11363
+11369
+11374
+11380
+11386
+11392
+11397
+11402
+11408
+11413
+11418
+11423
+11428
+11432
+11437
+11442
+11446
+11450
+11454
+11459
+11463
+11466
+11470
+11474
+11477
+11481
+11484
+11487
+11490
+11493
+11496
+11499
+11502
+11504
+11507
+11509
+11511
+11513
+11515
+11517
+11519
+11521
+11522
+11524
+11525
+11526
+11527
+11528
+11529
+11530
+11531
+11531
+11531
+11532
+11532
+11532
+11532
+11532
+11531
+11531
+11531
+11530
+11529
+11528
+11527
+11526
+11525
+11524
+11522
+11521
+11519
+11517
+11515
+11513
+11511
+11509
+11506
+11504
+11501
+11498
+11496
+11493
+11490
+11486
+11483
+11479
+11476
+11472
+11468
+11464
+11460
+11456
+11452
+11447
+11443
+11438
+11433
+11429
+11424
+11418
+11413
+11408
+11402
+11397
+11391
+11385
+11379
+11373
+11367
+11360
+11354
+11347
+11340
+11333
+11326
+11319
+11312
+11305
+11297
+11290
+11282
+11274
+11266
+11258
+11250
+11241
+11233
+11224
+11216
+11207
+11198
+11189
+11180
+11170
+11161
+11151
+11141
+11132
+11122
+11112
+11101
+11091
+11080
+11070
+11059
+11048
+11037
+11026
+11015
+11004
+10992
+10981
+10969
+10957
+10945
+10933
+10921
+10908
+10896
+10883
+10870
+10858
+10845
+10831
+10818
+10805
+10791
+10778
+10764
+10750
+10736
+10722
+10708
+10693
+10679
+10664
+10649
+10634
+10619
+10604
+10589
+10573
+10558
+10542
+10526
+10510
+10494
+10478
+10462
+10445
+10429
+10412
+10395
+10378
+10361
+10344
+10327
+10309
+10292
+10274
+10256
+10238
+10220
+10202
+10183
+10165
+10146
+10127
+10109
+10090
+10070
+10051
+10032
+10012
+9993
+9973
+9953
+9933
+9913
+9892
+9872
+9851
+9831
+9810
+9789
+9768
+9747
+9725
+9704
+9682
+9661
+9639
+9617
+9595
+9573
+9550
+9528
+9505
+9483
+9460
+9437
+9414
+9390
+9367
+9343
+9320
+9296
+9272
+9248
+9224
+9200
+9176
+9151
+9126
+9102
+9077
+9052
+9027
+9001
+8976
+8950
+8925
+8899
+8873
+8847
+8821
+8795
+8768
+8742
+8715
+8688
+8661
+8634
+8607
+8580
+8553
+8525
+8497
+8470
+8442
+8414
+8386
+8357
+8329
+8300
+8272
+8243
+8214
+8185
+8156
+8127
+8097
+8068
+8038
+8008
+7978
+7948
+7918
+7888
+7858
+7827
+7796
+7766
+7735
+7704
+7673
+7641
+7610
+7579
+7547
+7515
+7483
+7451
+7419
+7387
+7355
+7322
+7290
+7257
+7224
+7191
+7158
+7125
+7092
+7058
+7025
+6991
+6958
+6924
+6890
+6856
+6821
+6787
+6752
+6718
+6683
+6648
+6613
+6578
+6543
+6508
+6472
+6437
+6401
+6366
+6330
+6294
+6258
+6221
+6185
+6149
+6112
+6075
+6039
+6002
+5965
+5927
+5890
+5853
+5815
+5778
+5740
+5702
+5664
+5626
+5588
+5550
+5511
+5473
+5434
+5396
+5357
+5318
+5279
+5240
+5201
+5161
+5122
+5082
+5042
+5003
+4963
+4923
+4883
+4842
+4802
+4762
+4721
+4680
+4640
+4599
+4558
+4517
+4475
+4434
+4393
+4351
+4310
+4268
+4226
+4184
+4142
+4100
+4058
+4015
+3973
+3930
+3888
+3845
+3802
+3759
+3716
+3673
+3630
+3586
+3543
+3499
+3456
+3412
+3368
+3324
+3280
+3236
+3192
+3148
+3103
+3059
+3014
+2969
+2924
+2880
+2835
+2789
+2744
+2699
+2654
+2608
+2563
+2517
+2471
+2425
+2379
+2333
+2287
+2241
+2195
+2148
+2102
+2055
+2009
+1962
+1915
+1868
+1821
+1774
+1727
+1680
+1632
+1585
+1537
+1490
+1442
+1394
+1346
+1298
+1250
+1202
+1154
+1106
+1057
+1009
+960
+912
+863
+814
+765
+716
+667
+618
+569
+520
+470
+421
+372
+322
+272
+223
+173
+123
+73
+23
+-27
+-77
+-128
+-178
+-228
+-279
+-329
+-380
+-431
+-481
+-532
+-583
+-634
+-685
+-736
+-787
+-839
+-890
+-941
+-993
+-1044
+-1096
+-1148
+-1199
+-1251
+-1303
+-1355
+-1407
+-1459
+-1511
+-1563
+-1615
+-1668
+-1720
+-1772
+-1825
+-1877
+-1930
+-1982
+-2035
+-2088
+-2141
+-2194
+-2247
+-2300
+-2353
+-2406
+-2459
+-2512
+-2565
+-2619
+-2672
+-2726
+-2779
+-2833
+-2886
+-2940
+-2993
+-3047
+-3101
+-3155
+-3209
+-3263
+-3317
+-3371
+-3425
+-3479
+-3533
+-3587
+-3642
+-3696
+-3750
+-3805
+-3859
+-3914
+-3968
+-4023
+-4077
+-4132
+-4187
+-4242
+-4296
+-4351
+-4406
+-4461
+-4516
+-4571
+-4626
+-4681
+-4736
+-4791
+-4846
+-4901
+-4957
+-5012
+-5067
+-5123
+-5178
+-5233
+-5289
+-5344
+-5400
+-5455
+-5511
+-5566
+-5622
+-5678
+-5733
+-5789
+-5845
+-5900
+-5956
+-6012
+-6068
+-6124
+-6179
+-6235
+-6291
+-6347
+-6403
+-6459
+-6515
+-6571
+-6627
+-6683
+-6739
+-6795
+-6851
+-6908
+-6964
+-7020
+-7076
+-7132
+-7188
+-7245
+-7301
+-7357
+-7413
+-7470
+-7526
+-7582
+-7638
+-7695
+-7751
+-7807
+-7864
+-7920
+-7976
+-8033
+-8089
+-8145
+-8202
+-8258
+-8315
+-8371
+-8427
+-8484
+-8540
+-8596
+-8653
+-8709
+-8766
+-8822
+-8878
+-8935
+-8991
+-9047
+-9104
+-9160
+-9217
+-9273
+-9329
+-9386
+-9442
+-9498
+-9555
+-9611
+-9667
+-9723
+-9780
+-9836
+-9892
+-9948
+-10005
+-10061
+-10117
+-10173
+-10229
+-10286
+-10342
+-10398
+-10454
+-10510
+-10566
+-10622
+-10678
+-10734
+-10790
+-10846
+-10902
+-10958
+-11014
+-11070
+-11126
+-11181
+-11237
+-11293
+-11349
+-11405
+-11460
+-11516
+-11571
+-11627
+-11683
+-11738
+-11794
+-11849
+-11905
+-11960
+-12015
+-12071
+-12126
+-12181
+-12237
+-12292
+-12347
+-12402
+-12457
+-12512
+-12567
+-12622
+-12677
+-12732
+-12787
+-12842
+-12897
+-12951
+-13006
+-13061
+-13115
+-13170
+-13224
+-13279
+-13333
+-13387
+-13442
+-13496
+-13550
+-13604
+-13658
+-13712
+-13766
+-13820
+-13874
+-13928
+-13982
+-14036
+-14089
+-14143
+-14196
+-14250
+-14303
+-14357
+-14410
+-14463
+-14516
+-14570
+-14623
+-14676
+-14729
+-14782
+-14834
+-14887
+-14940
+-14992
+-15045
+-15097
+-15150
+-15202
+-15254
+-15307
+-15359
+-15411
+-15463
+-15515
+-15567
+-15618
+-15670
+-15722
+-15773
+-15825
+-15876
+-15927
+-15979
+-16030
+-16081
+-16132
+-16183
+-16234
+-16284
+-16335
+-16386
+-16436
+-16487
+-16537
+-16587
+-16637
+-16687
+-16737
+-16787
+-16837
+-16887
+-16937
+-16986
+-17036
+-17085
+-17134
+-17183
+-17232
+-17281
+-17330
+-17379
+-17428
+-17476
+-17525
+-17573
+-17622
+-17670
+-17718
+-17766
+-17814
+-17862
+-17910
+-17957
+-18005
+-18052
+-18099
+-18147
+-18194
+-18241
+-18287
+-18334
+-18381
+-18427
+-18474
+-18520
+-18566
+-18613
+-18658
+-18704
+-18750
+-18796
+-18841
+-18887
+-18932
+-18977
+-19022
+-19067
+-19112
+-19157
+-19201
+-19246
+-19290
+-19335
+-19379
+-19423
+-19466
+-19510
+-19554
+-19597
+-19641
+-19684
+-19727
+-19770
+-19813
+-19856
+-19898
+-19941
+-19983
+-20025
+-20067
+-20109
+-20151
+-20193
+-20234
+-20276
+-20317
+-20358
+-20399
+-20440
+-20481
+-20521
+-20562
+-20602
+-20642
+-20682
+-20722
+-20762
+-20802
+-20841
+-20880
+-20919
+-20959
+-20997
+-21036
+-21075
+-21113
+-21151
+-21190
+-21228
+-21265
+-21303
+-21341
+-21378
+-21415
+-21452
+-21489
+-21526
+-21563
+-21599
+-21635
+-21672
+-21708
+-21743
+-21779
+-21815
+-21850
+-21885
+-21920
+-21955
+-21990
+-22024
+-22059
+-22093
+-22127
+-22161
+-22195
+-22228
+-22262
+-22295
+-22328
+-22361
+-22393
+-22426
+-22458
+-22491
+-22523
+-22555
+-22586
+-22618
+-22649
+-22680
+-22711
+-22742
+-22773
+-22803
+-22833
+-22864
+-22894
+-22923
+-22953
+-22982
+-23011
+-23041
+-23069
+-23098
+-23127
+-23155
+-23183
+-23211
+-23239
+-23266
+-23294
+-23321
+-23348
+-23375
+-23401
+-23428
+-23454
+-23480
+-23506
+-23531
+-23557
+-23582
+-23607
+-23632
+-23657
+-23681
+-23706
+-23730
+-23754
+-23777
+-23801
+-23824
+-23847
+-23870
+-23893
+-23916
+-23938
+-23960
+-23982
+-24004
+-24025
+-24047
+-24068
+-24089
+-24109
+-24130
+-24150
+-24170
+-24190
+-24210
+-24229
+-24248
+-24267
+-24286
+-24305
+-24323
+-24341
+-24359
+-24377
+-24395
+-24412
+-24429
+-24446
+-24463
+-24479
+-24496
+-24512
+-24527
+-24543
+-24558
+-24574
+-24589
+-24603
+-24618
+-24632
+-24646
+-24660
+-24674
+-24687
+-24700
+-24713
+-24726
+-24738
+-24751
+-24763
+-24774
+-24786
+-24797
+-24809
+-24819
+-24830
+-24841
+-24851
+-24861
+-24871
+-24880
+-24889
+-24898
+-24907
+-24916
+-24924
+-24932
+-24940
+-24948
+-24955
+-24962
+-24969
+-24976
+-24983
+-24989
+-24995
+-25001
+-25006
+-25011
+-25016
+-25021
+-25026
+-25030
+-25034
+-25038
+-25041
+-25045
+-25048
+-25051
+-25053
+-25056
+-25058
+-25060
+-25061
+-25063
+-25064
+-25065
+-25065
+-25066
+-25066
+-25066
+-25065
+-25065
+-25064
+-25063
+-25061
+-25059
+-25058
+-25055
+-25053
+-25050
+-25047
+-25044
+-25041
+-25037
+-25033
+-25029
+-25025
+-25020
+-25015
+-25010
+-25004
+-24998
+-24992
+-24986
+-24980
+-24973
+-24966
+-24958
+-24951
+-24943
+-24935
+-24927
+-24918
+-24909
+-24900
+-24891
+-24881
+-24871
+-24861
+-24850
+-24839
+-24828
+-24817
+-24806
+-24794
+-24782
+-24769
+-24757
+-24744
+-24731
+-24717
+-24703
+-24689
+-24675
+-24661
+-24646
+-24631
+-24615
+-24600
+-24584
+-24568
+-24551
+-24535
+-24518
+-24500
+-24483
+-24465
+-24447
+-24428
+-24410
+-24391
+-24372
+-24352
+-24332
+-24312
+-24292
+-24271
+-24250
+-24229
+-24208
+-24186
+-24164
+-24142
+-24119
+-24096
+-24073
+-24050
+-24026
+-24002
+-23978
+-23953
+-23929
+-23903
+-23878
+-23852
+-23826
+-23800
+-23774
+-23747
+-23720
+-23692
+-23664
+-23637
+-23608
+-23580
+-23551
+-23522
+-23492
+-23463
+-23433
+-23402
+-23372
+-23341
+-23310
+-23278
+-23247
+-23215
+-23182
+-23150
+-23117
+-23083
+-23050
+-23016
+-22982
+-22948
+-22913
+-22878
+-22843
+-22807
+-22772
+-22735
+-22699
+-22662
+-22625
+-22588
+-22550
+-22513
+-22474
+-22436
+-22397
+-22358
+-22319
+-22279
+-22239
+-22199
+-22158
+-22117
+-22076
+-22035
+-21993
+-21951
+-21909
+-21866
+-21823
+-21780
+-21736
+-21692
+-21648
+-21604
+-21559
+-21514
+-21469
+-21423
+-21377
+-21331
+-21284
+-21237
+-21190
+-21143
+-21095
+-21047
+-20999
+-20950
+-20901
+-20852
+-20802
+-20752
+-20702
+-20652
+-20601
+-20550
+-20499
+-20447
+-20395
+-20343
+-20290
+-20237
+-20184
+-20130
+-20077
+-20023
+-19968
+-19913
+-19858
+-19803
+-19747
+-19692
+-19635
+-19579
+-19522
+-19465
+-19407
+-19350
+-19292
+-19233
+-19175
+-19116
+-19056
+-18997
+-18937
+-18877
+-18816
+-18755
+-18694
+-18633
+-18571
+-18509
+-18447
+-18384
+-18321
+-18258
+-18194
+-18130
+-18066
+-18002
+-17937
+-17872
+-17807
+-17741
+-17675
+-17608
+-17542
+-17475
+-17408
+-17340
+-17272
+-17204
+-17136
+-17067
+-16998
+-16929
+-16859
+-16789
+-16719
+-16648
+-16577
+-16506
+-16434
+-16363
+-16290
+-16218
+-16145
+-16072
+-15999
+-15925
+-15851
+-15777
+-15702
+-15628
+-15552
+-15477
+-15401
+-15325
+-15249
+-15172
+-15095
+-15018
+-14940
+-14862
+-14784
+-14705
+-14626
+-14547
+-14468
+-14388
+-14308
+-14228
+-14147
+-14066
+-13985
+-13903
+-13821
+-13739
+-13656
+-13574
+-13491
+-13407
+-13323
+-13239
+-13155
+-13070
+-12986
+-12900
+-12815
+-12729
+-12643
+-12556
+-12470
+-12383
+-12295
+-12208
+-12120
+-12031
+-11943
+-11854
+-11765
+-11675
+-11586
+-11496
+-11405
+-11315
+-11224
+-11132
+-11041
+-10949
+-10857
+-10764
+-10672
+-10579
+-10485
+-10392
+-10298
+-10203
+-10109
+-10014
+-9919
+-9824
+-9728
+-9632
+-9535
+-9439
+-9342
+-9245
+-9147
+-9049
+-8951
+-8853
+-8754
+-8655
+-8556
+-8456
+-8357
+-8256
+-8156
+-8055
+-7954
+-7853
+-7751
+-7649
+-7547
+-7445
+-7342
+-7239
+-7135
+-7032
+-6928
+-6823
+-6719
+-6614
+-6509
+-6404
+-6298
+-6192
+-6086
+-5979
+-5872
+-5765
+-5658
+-5550
+-5442
+-5334
+-5225
+-5116
+-5007
+-4898
+-4788
+-4678
+-4568
+-4457
+-4346
+-4235
+-4124
+-4012
+-3900
+-3788
+-3675
+-3563
+-3450
+-3336
+-3223
+-3109
+-2994
+-2880
+-2765
+-2650
+-2535
+-2419
+-2303
+-2187
+-2071
+-1954
+-1837
+-1720
+-1602
+-1484
+-1366
+-1248
+-1129
+-1010
+-891
+-772
+-652
+-532
+-412
+-291
+-170
+-49
+72
+194
+316
+438
+560
+683
+806
+929
+1053
+1176
+1300
+1425
+1549
+1674
+1799
+1924
+2050
+2176
+2302
+2428
+2555
+2682
+2809
+2937
+3064
+3192
+3321
+3449
+3578
+3707
+3836
+3965
+4095
+4225
+4355
+4486
+4617
+4748
+4879
+5011
+5142
+5274
+5407
+5539
+5672
+5805
+5938
+6072
+6206
+6340
+6474
+6608
+6743
+6878
+7013
+7149
+7285
+7421
+7557
+7693
+7830
+7967
+8104
+8242
+8379
+8517
+8655
+8794
+8932
+9071
+9210
+9350
+9489
+9629
+9769
+9909
+10050
+10191
+10332
+10473
+10614
+10756
+10898
+11040
+11182
+11325
+11468
+11611
+11754
+11897
+12041
+12185
+12329
+12473
+12618
+12763
+12908
+13053
+13199
+13344
+13490
+13636
+13783
+13929
+14076
+14223
+14370
+14518
+14665
+14813
+14961
+15109
+15258
+15407
+15555
+15705
+15854
+16003
+16153
+16303
+16453
+16604
+16754
+16905
+17056
+17207
+17358
+17510
+17662
+17814
+17966
+18118
+18271
+18424
+18577
+18730
+18883
+19037
+19190
+19344
+19498
+19653
+19807
+19962
+20117
+20272
+20427
+20583
+20738
+20894
+21050
+21206
+21363
+21519
+21676
+21833
+21990
+22147
+22305
+22462
+22620
+22778
+22936
+23095
+23253
+23412
+23571
+23730
+23889
+24049
+24208
+24368
+24528
+24688
+24848
+25008
+25169
+25330
+25491
+25652
+25813
+25974
+26136
+26298
+26460
+26622
+26784
+26946
+27109
+27271
+27434
+27597
+27760
+27924
+28087
+28251
+28414
+28578
+28742
+28906
+29071
+29235
+29400
+29565
+29730
+29895
+30060
+30225
+30391
+30556
+30722
+30888
+31054
+31220
+31387
+31553
+31720
+31886
+32053
+32220
+32387
+32555
+32722
+32890
+33057
+33225
+33393
+33561
+33729
+33897
+34066
+34234
+34403
+34572
+34741
+34910
+35079
+35248
+35417
+35587
+35756
+35926
+36096
+36266
+36436
+36606
+36776
+36947
+37117
+37288
+37459
+37629
+37800
+37971
+38142
+38314
+38485
+38656
+38828
+38999
+39171
+39343
+39515
+39687
+39859
+40031
+40203
+40376
+40548
+40721
+40894
+41066
+41239
+41412
+41585
+41758
+41931
+42104
+42278
+42451
+42625
+42798
+42972
+43146
+43319
+43493
+43667
+43841
+44015
+44190
+44364
+44538
+44712
+44887
+45061
+45236
+45411
+45585
+45760
+45935
+46110
+46285
+46460
+46635
+46810
+46985
+47161
+47336
+47511
+47687
+47862
+48038
+48213
+48389
+48565
+48740
+48916
+49092
+49268
+49444
+49620
+49796
+49972
+50148
+50324
+50500
+50676
+50852
+51029
+51205
+51381
+51558
+51734
+51911
+52087
+52263
+52440
+52617
+52793
+52970
+53146
+53323
+53500
+53676
+53853
+54030
+54207
+54383
+54560
+54737
+54914
+55091
+55267
+55444
+55621
+55798
+55975
+56152
+56329
+56506
+56683
+56860
+57036
+57213
+57390
+57567
+57744
+57921
+58098
+58275
+58452
+58629
+58806
+58983
+59160
+59336
+59513
+59690
+59867
+60044
+60221
+60397
+60574
+60751
+60928
+61105
+61281
+61458
+61635
+61811
+61988
+62165
+62341
+62518
+62694
+62871
+63047
+63224
+63400
+63577
+63753
+63929
+64105
+64282
+64458
+64634
+64810
+64986
+65162
+65338
+65514
+65690
+65866
+66042
+66218
+66393
+66569
+66745
+66920
+67096
+67271
+67447
+67622
+67797
+67973
+68148
+68323
+68498
+68673
+68848
+69023
+69198
+69373
+69547
+69722
+69897
+70071
+70245
+70420
+70594
+70768
+70942
+71116
+71290
+71464
+71638
+71812
+71986
+72159
+72333
+72506
+72680
+72853
+73026
+73199
+73372
+73545
+73718
+73891
+74063
+74236
+74408
+74581
+74753
+74925
+75097
+75269
+75441
+75613
+75784
+75956
+76127
+76299
+76470
+76641
+76812
+76983
+77154
+77325
+77495
+77666
+77836
+78007
+78177
+78347
+78517
+78686
+78856
+79026
+79195
+79364
+79534
+79703
+79872
+80040
+80209
+80378
+80546
+80715
+80883
+81051
+81219
+81386
+81554
+81722
+81889
+82056
+82223
+82390
+82557
+82724
+82890
+83057
+83223
+83389
+83555
+83721
+83887
+84052
+84217
+84383
+84548
+84713
+84877
+85042
+85206
+85371
+85535
+85699
+85863
+86026
+86190
+86353
+86516
+86679
+86842
+87005
+87167
+87330
+87492
+87654
+87816
+87977
+88139
+88300
+88461
+88622
+88783
+88943
+89104
+89264
+89424
+89584
+89744
+89903
+90062
+90222
+90381
+90539
+90698
+90856
+91015
+91173
+91330
+91488
+91645
+91803
+91960
+92117
+92273
+92430
+92586
+92742
+92898
+93053
+93209
+93364
+93519
+93674
+93829
+93983
+94137
+94291
+94445
+94599
+94752
+94905
+95058
+95211
+95363
+95515
+95668
+95819
+95971
+96122
+96274
+96425
+96575
+96726
+96876
+97026
+97176
+97326
+97475
+97624
+97773
+97922
+98070
+98218
+98366
+98514
+98662
+98809
+98956
+99103
+99249
+99396
+99542
+99688
+99833
+99979
+100124
+100269
+100413
+100558
+100702
+100846
+100989
+101133
+101276
+101419
+101561
+101704
+101846
+101988
+102129
+102271
+102412
+102553
+102693
+102834
+102974
+103113
+103253
+103392
+103531
+103670
+103808
+103947
+104084
+104222
+104360
+104497
+104633
+104770
+104906
+105042
+105178
+105314
+105449
+105584
+105718
+105853
+105987
+106121
+106254
+106387
+106520
+106653
+106785
+106918
+107049
+107181
+107312
+107443
+107574
+107704
+107834
+107964
+108093
+108223
+108352
+108480
+108609
+108737
+108864
+108992
+109119
+109246
+109372
+109498
+109624
+109750
+109875
+110000
+110125
+110249
+110374
+110497
+110621
+110744
+110867
+110989
+111112
+111234
+111355
+111476
+111597
+111718
+111838
+111959
+112078
+112198
+112317
+112436
+112554
+112672
+112790
+112907
+113025
+113141
+113258
+113374
+113490
+113606
+113721
+113836
+113950
+114064
+114178
+114292
+114405
+114518
+114631
+114743
+114855
+114966
+115077
+115188
+115299
+115409
+115519
+115629
+115738
+115847
+115955
+116063
+116171
+116279
+116386
+116493
+116599
+116705
+116811
+116916
+117022
+117126
+117231
+117335
+117438
+117542
+117645
+117747
+117850
+117951
+118053
+118154
+118255
+118356
+118456
+118556
+118655
+118754
+118853
+118951
+119049
+119147
+119244
+119341
+119438
+119534
+119630
+119725
+119820
+119915
+120009
+120103
+120197
+120290
+120383
+120475
+120568
+120659
+120751
+120842
+120933
+121023
+121113
+121202
+121292
+121380
+121469
+121557
+121644
+121732
+121819
+121905
+121991
+122077
+122163
+122248
+122332
+122417
+122500
+122584
+122667
+122750
+122832
+122914
+122996
+123077
+123158
+123238
+123318
+123398
+123477
+123556
+123635
+123713
+123790
+123868
+123945
+124021
+124097
+124173
+124248
+124323
+124398
+124472
+124546
+124619
+124692
+124765
+124837
+124909
+124980
+125051
+125122
+125192
+125262
+125332
+125401
+125469
+125537
+125605
+125673
+125740
+125806
+125873
+125938
+126004
+126069
+126133
+126198
+126261
+126325
+126388
+126450
+126512
+126574
+126636
+126697
+126757
+126817
+126877
+126936
+126995
+127054
+127112
+127169
+127227
+127284
+127340
+127396
+127452
+127507
+127562
+127616
+127670
+127724
+127777
+127829
+127882
+127934
+127985
+128036
+128087
+128137
+128187
+128236
+128285
+128334
+128382
+128430
+128477
+128524
+128570
+128616
+128662
+128707
+128752
+128796
+128840
+128884
+128927
+128969
+129012
+129053
+129095
+129136
+129176
+129216
+129256
+129295
+129334
+129373
+129411
+129448
+129486
+129522
+129559
+129594
+129630
+129665
+129700
+129734
+129767
+129801
+129834
+129866
+129898
+129930
+129961
+129992
+130022
+130052
+130081
+130110
+130139
+130167
+130195
+130222
+130249
+130276
+130302
+130327
+130352
+130377
+130401
+130425
+130449
+130472
+130494
+130517
+130538
+130560
+130580
+130601
+130621
+130640
+130659
+130678
+130696
+130714
+130732
+130749
+130765
+130781
+130797
+130812
+130827
+130841
+130855
+130869
+130882
+130894
+130906
+130918
+130930
+130940
+130951
+130961
+130970
+130980
+130988
+130997
+131004
+131012
+131019
+131025
+131031
+131037
+131042
+131047
+131051
+131055
+131059
+131062
+131064
+131067
+131068
+131070
+131071
+131071
+131071
+131071
+131070
+131068
+131067
+131064
+131062
+131059
+131055
+131051
+131047
+131042
+131037
+131031
+131025
+131019
+131012
+131004
+130997
+130988
+130980
+130970
+130961
+130951
+130940
+130930
+130918
+130906
+130894
+130882
+130869
+130855
+130841
+130827
+130812
+130797
+130781
+130765
+130749
+130732
+130714
+130696
+130678
+130659
+130640
+130621
+130601
+130580
+130560
+130538
+130517
+130494
+130472
+130449
+130425
+130401
+130377
+130352
+130327
+130302
+130276
+130249
+130222
+130195
+130167
+130139
+130110
+130081
+130052
+130022
+129992
+129961
+129930
+129898
+129866
+129834
+129801
+129767
+129734
+129700
+129665
+129630
+129594
+129559
+129522
+129486
+129448
+129411
+129373
+129334
+129295
+129256
+129216
+129176
+129136
+129095
+129053
+129012
+128969
+128927
+128884
+128840
+128796
+128752
+128707
+128662
+128616
+128570
+128524
+128477
+128430
+128382
+128334
+128285
+128236
+128187
+128137
+128087
+128036
+127985
+127934
+127882
+127829
+127777
+127724
+127670
+127616
+127562
+127507
+127452
+127396
+127340
+127284
+127227
+127169
+127112
+127054
+126995
+126936
+126877
+126817
+126757
+126697
+126636
+126574
+126512
+126450
+126388
+126325
+126261
+126198
+126133
+126069
+126004
+125938
+125873
+125806
+125740
+125673
+125605
+125537
+125469
+125401
+125332
+125262
+125192
+125122
+125051
+124980
+124909
+124837
+124765
+124692
+124619
+124546
+124472
+124398
+124323
+124248
+124173
+124097
+124021
+123945
+123868
+123790
+123713
+123635
+123556
+123477
+123398
+123318
+123238
+123158
+123077
+122996
+122914
+122832
+122750
+122667
+122584
+122500
+122417
+122332
+122248
+122163
+122077
+121991
+121905
+121819
+121732
+121644
+121557
+121469
+121380
+121292
+121202
+121113
+121023
+120933
+120842
+120751
+120659
+120568
+120475
+120383
+120290
+120197
+120103
+120009
+119915
+119820
+119725
+119630
+119534
+119438
+119341
+119244
+119147
+119049
+118951
+118853
+118754
+118655
+118556
+118456
+118356
+118255
+118154
+118053
+117951
+117850
+117747
+117645
+117542
+117438
+117335
+117231
+117126
+117022
+116916
+116811
+116705
+116599
+116493
+116386
+116279
+116171
+116063
+115955
+115847
+115738
+115629
+115519
+115409
+115299
+115188
+115077
+114966
+114855
+114743
+114631
+114518
+114405
+114292
+114178
+114064
+113950
+113836
+113721
+113606
+113490
+113374
+113258
+113141
+113025
+112907
+112790
+112672
+112554
+112436
+112317
+112198
+112078
+111959
+111838
+111718
+111597
+111476
+111355
+111234
+111112
+110989
+110867
+110744
+110621
+110497
+110374
+110249
+110125
+110000
+109875
+109750
+109624
+109498
+109372
+109246
+109119
+108992
+108864
+108737
+108609
+108480
+108352
+108223
+108093
+107964
+107834
+107704
+107574
+107443
+107312
+107181
+107049
+106918
+106785
+106653
+106520
+106387
+106254
+106121
+105987
+105853
+105718
+105584
+105449
+105314
+105178
+105042
+104906
+104770
+104633
+104497
+104360
+104222
+104084
+103947
+103808
+103670
+103531
+103392
+103253
+103113
+102974
+102834
+102693
+102553
+102412
+102271
+102129
+101988
+101846
+101704
+101561
+101419
+101276
+101133
+100989
+100846
+100702
+100558
+100413
+100269
+100124
+99979
+99833
+99688
+99542
+99396
+99249
+99103
+98956
+98809
+98662
+98514
+98366
+98218
+98070
+97922
+97773
+97624
+97475
+97326
+97176
+97026
+96876
+96726
+96575
+96425
+96274
+96122
+95971
+95819
+95668
+95515
+95363
+95211
+95058
+94905
+94752
+94599
+94445
+94291
+94137
+93983
+93829
+93674
+93519
+93364
+93209
+93053
+92898
+92742
+92586
+92430
+92273
+92117
+91960
+91803
+91645
+91488
+91330
+91173
+91015
+90856
+90698
+90539
+90381
+90222
+90062
+89903
+89744
+89584
+89424
+89264
+89104
+88943
+88783
+88622
+88461
+88300
+88139
+87977
+87816
+87654
+87492
+87330
+87167
+87005
+86842
+86679
+86516
+86353
+86190
+86026
+85863
+85699
+85535
+85371
+85206
+85042
+84877
+84713
+84548
+84383
+84217
+84052
+83887
+83721
+83555
+83389
+83223
+83057
+82890
+82724
+82557
+82390
+82223
+82056
+81889
+81722
+81554
+81386
+81219
+81051
+80883
+80715
+80546
+80378
+80209
+80040
+79872
+79703
+79534
+79364
+79195
+79026
+78856
+78686
+78517
+78347
+78177
+78007
+77836
+77666
+77495
+77325
+77154
+76983
+76812
+76641
+76470
+76299
+76127
+75956
+75784
+75613
+75441
+75269
+75097
+74925
+74753
+74581
+74408
+74236
+74063
+73891
+73718
+73545
+73372
+73199
+73026
+72853
+72680
+72506
+72333
+72159
+71986
+71812
+71638
+71464
+71290
+71116
+70942
+70768
+70594
+70420
+70245
+70071
+69897
+69722
+69547
+69373
+69198
+69023
+68848
+68673
+68498
+68323
+68148
+67973
+67797
+67622
+67447
+67271
+67096
+66920
+66745
+66569
+66393
+66218
+66042
+65866
+65690
+65514
+65338
+65162
+64986
+64810
+64634
+64458
+64282
+64105
+63929
+63753
+63577
+63400
+63224
+63047
+62871
+62694
+62518
+62341
+62165
+61988
+61811
+61635
+61458
+61281
+61105
+60928
+60751
+60574
+60397
+60221
+60044
+59867
+59690
+59513
+59336
+59160
+58983
+58806
+58629
+58452
+58275
+58098
+57921
+57744
+57567
+57390
+57213
+57036
+56860
+56683
+56506
+56329
+56152
+55975
+55798
+55621
+55444
+55267
+55091
+54914
+54737
+54560
+54383
+54207
+54030
+53853
+53676
+53500
+53323
+53146
+52970
+52793
+52617
+52440
+52263
+52087
+51911
+51734
+51558
+51381
+51205
+51029
+50852
+50676
+50500
+50324
+50148
+49972
+49796
+49620
+49444
+49268
+49092
+48916
+48740
+48565
+48389
+48213
+48038
+47862
+47687
+47511
+47336
+47161
+46985
+46810
+46635
+46460
+46285
+46110
+45935
+45760
+45585
+45411
+45236
+45061
+44887
+44712
+44538
+44364
+44190
+44015
+43841
+43667
+43493
+43319
+43146
+42972
+42798
+42625
+42451
+42278
+42104
+41931
+41758
+41585
+41412
+41239
+41066
+40894
+40721
+40548
+40376
+40203
+40031
+39859
+39687
+39515
+39343
+39171
+38999
+38828
+38656
+38485
+38314
+38142
+37971
+37800
+37629
+37459
+37288
+37117
+36947
+36776
+36606
+36436
+36266
+36096
+35926
+35756
+35587
+35417
+35248
+35079
+34910
+34741
+34572
+34403
+34234
+34066
+33897
+33729
+33561
+33393
+33225
+33057
+32890
+32722
+32555
+32387
+32220
+32053
+31886
+31720
+31553
+31387
+31220
+31054
+30888
+30722
+30556
+30391
+30225
+30060
+29895
+29730
+29565
+29400
+29235
+29071
+28906
+28742
+28578
+28414
+28251
+28087
+27924
+27760
+27597
+27434
+27271
+27109
+26946
+26784
+26622
+26460
+26298
+26136
+25974
+25813
+25652
+25491
+25330
+25169
+25008
+24848
+24688
+24528
+24368
+24208
+24049
+23889
+23730
+23571
+23412
+23253
+23095
+22936
+22778
+22620
+22462
+22305
+22147
+21990
+21833
+21676
+21519
+21363
+21206
+21050
+20894
+20738
+20583
+20427
+20272
+20117
+19962
+19807
+19653
+19498
+19344
+19190
+19037
+18883
+18730
+18577
+18424
+18271
+18118
+17966
+17814
+17662
+17510
+17358
+17207
+17056
+16905
+16754
+16604
+16453
+16303
+16153
+16003
+15854
+15705
+15555
+15407
+15258
+15109
+14961
+14813
+14665
+14518
+14370
+14223
+14076
+13929
+13783
+13636
+13490
+13344
+13199
+13053
+12908
+12763
+12618
+12473
+12329
+12185
+12041
+11897
+11754
+11611
+11468
+11325
+11182
+11040
+10898
+10756
+10614
+10473
+10332
+10191
+10050
+9909
+9769
+9629
+9489
+9350
+9210
+9071
+8932
+8794
+8655
+8517
+8379
+8242
+8104
+7967
+7830
+7693
+7557
+7421
+7285
+7149
+7013
+6878
+6743
+6608
+6474
+6340
+6206
+6072
+5938
+5805
+5672
+5539
+5407
+5274
+5142
+5011
+4879
+4748
+4617
+4486
+4355
+4225
+4095
+3965
+3836
+3707
+3578
+3449
+3321
+3192
+3064
+2937
+2809
+2682
+2555
+2428
+2302
+2176
+2050
+1924
+1799
+1674
+1549
+1425
+1300
+1176
+1053
+929
+806
+683
+560
+438
+316
+194
+72
+-49
+-170
+-291
+-412
+-532
+-652
+-772
+-891
+-1010
+-1129
+-1248
+-1366
+-1484
+-1602
+-1720
+-1837
+-1954
+-2071
+-2187
+-2303
+-2419
+-2535
+-2650
+-2765
+-2880
+-2994
+-3109
+-3223
+-3336
+-3450
+-3563
+-3675
+-3788
+-3900
+-4012
+-4124
+-4235
+-4346
+-4457
+-4568
+-4678
+-4788
+-4898
+-5007
+-5116
+-5225
+-5334
+-5442
+-5550
+-5658
+-5765
+-5872
+-5979
+-6086
+-6192
+-6298
+-6404
+-6509
+-6614
+-6719
+-6823
+-6928
+-7032
+-7135
+-7239
+-7342
+-7445
+-7547
+-7649
+-7751
+-7853
+-7954
+-8055
+-8156
+-8256
+-8357
+-8456
+-8556
+-8655
+-8754
+-8853
+-8951
+-9049
+-9147
+-9245
+-9342
+-9439
+-9535
+-9632
+-9728
+-9824
+-9919
+-10014
+-10109
+-10203
+-10298
+-10392
+-10485
+-10579
+-10672
+-10764
+-10857
+-10949
+-11041
+-11132
+-11224
+-11315
+-11405
+-11496
+-11586
+-11675
+-11765
+-11854
+-11943
+-12031
+-12120
+-12208
+-12295
+-12383
+-12470
+-12556
+-12643
+-12729
+-12815
+-12900
+-12986
+-13070
+-13155
+-13239
+-13323
+-13407
+-13491
+-13574
+-13656
+-13739
+-13821
+-13903
+-13985
+-14066
+-14147
+-14228
+-14308
+-14388
+-14468
+-14547
+-14626
+-14705
+-14784
+-14862
+-14940
+-15018
+-15095
+-15172
+-15249
+-15325
+-15401
+-15477
+-15552
+-15628
+-15702
+-15777
+-15851
+-15925
+-15999
+-16072
+-16145
+-16218
+-16290
+-16363
+-16434
+-16506
+-16577
+-16648
+-16719
+-16789
+-16859
+-16929
+-16998
+-17067
+-17136
+-17204
+-17272
+-17340
+-17408
+-17475
+-17542
+-17608
+-17675
+-17741
+-17807
+-17872
+-17937
+-18002
+-18066
+-18130
+-18194
+-18258
+-18321
+-18384
+-18447
+-18509
+-18571
+-18633
+-18694
+-18755
+-18816
+-18877
+-18937
+-18997
+-19056
+-19116
+-19175
+-19233
+-19292
+-19350
+-19407
+-19465
+-19522
+-19579
+-19635
+-19692
+-19747
+-19803
+-19858
+-19913
+-19968
+-20023
+-20077
+-20130
+-20184
+-20237
+-20290
+-20343
+-20395
+-20447
+-20499
+-20550
+-20601
+-20652
+-20702
+-20752
+-20802
+-20852
+-20901
+-20950
+-20999
+-21047
+-21095
+-21143
+-21190
+-21237
+-21284
+-21331
+-21377
+-21423
+-21469
+-21514
+-21559
+-21604
+-21648
+-21692
+-21736
+-21780
+-21823
+-21866
+-21909
+-21951
+-21993
+-22035
+-22076
+-22117
+-22158
+-22199
+-22239
+-22279
+-22319
+-22358
+-22397
+-22436
+-22474
+-22513
+-22550
+-22588
+-22625
+-22662
+-22699
+-22735
+-22772
+-22807
+-22843
+-22878
+-22913
+-22948
+-22982
+-23016
+-23050
+-23083
+-23117
+-23150
+-23182
+-23215
+-23247
+-23278
+-23310
+-23341
+-23372
+-23402
+-23433
+-23463
+-23492
+-23522
+-23551
+-23580
+-23608
+-23637
+-23664
+-23692
+-23720
+-23747
+-23774
+-23800
+-23826
+-23852
+-23878
+-23903
+-23929
+-23953
+-23978
+-24002
+-24026
+-24050
+-24073
+-24096
+-24119
+-24142
+-24164
+-24186
+-24208
+-24229
+-24250
+-24271
+-24292
+-24312
+-24332
+-24352
+-24372
+-24391
+-24410
+-24428
+-24447
+-24465
+-24483
+-24500
+-24518
+-24535
+-24551
+-24568
+-24584
+-24600
+-24615
+-24631
+-24646
+-24661
+-24675
+-24689
+-24703
+-24717
+-24731
+-24744
+-24757
+-24769
+-24782
+-24794
+-24806
+-24817
+-24828
+-24839
+-24850
+-24861
+-24871
+-24881
+-24891
+-24900
+-24909
+-24918
+-24927
+-24935
+-24943
+-24951
+-24958
+-24966
+-24973
+-24980
+-24986
+-24992
+-24998
+-25004
+-25010
+-25015
+-25020
+-25025
+-25029
+-25033
+-25037
+-25041
+-25044
+-25047
+-25050
+-25053
+-25055
+-25058
+-25059
+-25061
+-25063
+-25064
+-25065
+-25065
+-25066
+-25066
+-25066
+-25065
+-25065
+-25064
+-25063
+-25061
+-25060
+-25058
+-25056
+-25053
+-25051
+-25048
+-25045
+-25041
+-25038
+-25034
+-25030
+-25026
+-25021
+-25016
+-25011
+-25006
+-25001
+-24995
+-24989
+-24983
+-24976
+-24969
+-24962
+-24955
+-24948
+-24940
+-24932
+-24924
+-24916
+-24907
+-24898
+-24889
+-24880
+-24871
+-24861
+-24851
+-24841
+-24830
+-24819
+-24809
+-24797
+-24786
+-24774
+-24763
+-24751
+-24738
+-24726
+-24713
+-24700
+-24687
+-24674
+-24660
+-24646
+-24632
+-24618
+-24603
+-24589
+-24574
+-24558
+-24543
+-24527
+-24512
+-24496
+-24479
+-24463
+-24446
+-24429
+-24412
+-24395
+-24377
+-24359
+-24341
+-24323
+-24305
+-24286
+-24267
+-24248
+-24229
+-24210
+-24190
+-24170
+-24150
+-24130
+-24109
+-24089
+-24068
+-24047
+-24025
+-24004
+-23982
+-23960
+-23938
+-23916
+-23893
+-23870
+-23847
+-23824
+-23801
+-23777
+-23754
+-23730
+-23706
+-23681
+-23657
+-23632
+-23607
+-23582
+-23557
+-23531
+-23506
+-23480
+-23454
+-23428
+-23401
+-23375
+-23348
+-23321
+-23294
+-23266
+-23239
+-23211
+-23183
+-23155
+-23127
+-23098
+-23069
+-23041
+-23011
+-22982
+-22953
+-22923
+-22894
+-22864
+-22833
+-22803
+-22773
+-22742
+-22711
+-22680
+-22649
+-22618
+-22586
+-22555
+-22523
+-22491
+-22458
+-22426
+-22393
+-22361
+-22328
+-22295
+-22262
+-22228
+-22195
+-22161
+-22127
+-22093
+-22059
+-22024
+-21990
+-21955
+-21920
+-21885
+-21850
+-21815
+-21779
+-21743
+-21708
+-21672
+-21635
+-21599
+-21563
+-21526
+-21489
+-21452
+-21415
+-21378
+-21341
+-21303
+-21265
+-21228
+-21190
+-21151
+-21113
+-21075
+-21036
+-20997
+-20959
+-20919
+-20880
+-20841
+-20802
+-20762
+-20722
+-20682
+-20642
+-20602
+-20562
+-20521
+-20481
+-20440
+-20399
+-20358
+-20317
+-20276
+-20234
+-20193
+-20151
+-20109
+-20067
+-20025
+-19983
+-19941
+-19898
+-19856
+-19813
+-19770
+-19727
+-19684
+-19641
+-19597
+-19554
+-19510
+-19466
+-19423
+-19379
+-19335
+-19290
+-19246
+-19201
+-19157
+-19112
+-19067
+-19022
+-18977
+-18932
+-18887
+-18841
+-18796
+-18750
+-18704
+-18658
+-18613
+-18566
+-18520
+-18474
+-18427
+-18381
+-18334
+-18287
+-18241
+-18194
+-18147
+-18099
+-18052
+-18005
+-17957
+-17910
+-17862
+-17814
+-17766
+-17718
+-17670
+-17622
+-17573
+-17525
+-17476
+-17428
+-17379
+-17330
+-17281
+-17232
+-17183
+-17134
+-17085
+-17036
+-16986
+-16937
+-16887
+-16837
+-16787
+-16737
+-16687
+-16637
+-16587
+-16537
+-16487
+-16436
+-16386
+-16335
+-16284
+-16234
+-16183
+-16132
+-16081
+-16030
+-15979
+-15927
+-15876
+-15825
+-15773
+-15722
+-15670
+-15618
+-15567
+-15515
+-15463
+-15411
+-15359
+-15307
+-15254
+-15202
+-15150
+-15097
+-15045
+-14992
+-14940
+-14887
+-14834
+-14782
+-14729
+-14676
+-14623
+-14570
+-14516
+-14463
+-14410
+-14357
+-14303
+-14250
+-14196
+-14143
+-14089
+-14036
+-13982
+-13928
+-13874
+-13820
+-13766
+-13712
+-13658
+-13604
+-13550
+-13496
+-13442
+-13387
+-13333
+-13279
+-13224
+-13170
+-13115
+-13061
+-13006
+-12951
+-12897
+-12842
+-12787
+-12732
+-12677
+-12622
+-12567
+-12512
+-12457
+-12402
+-12347
+-12292
+-12237
+-12181
+-12126
+-12071
+-12015
+-11960
+-11905
+-11849
+-11794
+-11738
+-11683
+-11627
+-11571
+-11516
+-11460
+-11405
+-11349
+-11293
+-11237
+-11181
+-11126
+-11070
+-11014
+-10958
+-10902
+-10846
+-10790
+-10734
+-10678
+-10622
+-10566
+-10510
+-10454
+-10398
+-10342
+-10286
+-10229
+-10173
+-10117
+-10061
+-10005
+-9948
+-9892
+-9836
+-9780
+-9723
+-9667
+-9611
+-9555
+-9498
+-9442
+-9386
+-9329
+-9273
+-9217
+-9160
+-9104
+-9047
+-8991
+-8935
+-8878
+-8822
+-8766
+-8709
+-8653
+-8596
+-8540
+-8484
+-8427
+-8371
+-8315
+-8258
+-8202
+-8145
+-8089
+-8033
+-7976
+-7920
+-7864
+-7807
+-7751
+-7695
+-7638
+-7582
+-7526
+-7470
+-7413
+-7357
+-7301
+-7245
+-7188
+-7132
+-7076
+-7020
+-6964
+-6908
+-6851
+-6795
+-6739
+-6683
+-6627
+-6571
+-6515
+-6459
+-6403
+-6347
+-6291
+-6235
+-6179
+-6124
+-6068
+-6012
+-5956
+-5900
+-5845
+-5789
+-5733
+-5678
+-5622
+-5566
+-5511
+-5455
+-5400
+-5344
+-5289
+-5233
+-5178
+-5123
+-5067
+-5012
+-4957
+-4901
+-4846
+-4791
+-4736
+-4681
+-4626
+-4571
+-4516
+-4461
+-4406
+-4351
+-4296
+-4242
+-4187
+-4132
+-4077
+-4023
+-3968
+-3914
+-3859
+-3805
+-3750
+-3696
+-3642
+-3587
+-3533
+-3479
+-3425
+-3371
+-3317
+-3263
+-3209
+-3155
+-3101
+-3047
+-2993
+-2940
+-2886
+-2833
+-2779
+-2726
+-2672
+-2619
+-2565
+-2512
+-2459
+-2406
+-2353
+-2300
+-2247
+-2194
+-2141
+-2088
+-2035
+-1982
+-1930
+-1877
+-1825
+-1772
+-1720
+-1668
+-1615
+-1563
+-1511
+-1459
+-1407
+-1355
+-1303
+-1251
+-1199
+-1148
+-1096
+-1044
+-993
+-941
+-890
+-839
+-787
+-736
+-685
+-634
+-583
+-532
+-481
+-431
+-380
+-329
+-279
+-228
+-178
+-128
+-77
+-27
+23
+73
+123
+173
+223
+272
+322
+372
+421
+470
+520
+569
+618
+667
+716
+765
+814
+863
+912
+960
+1009
+1057
+1106
+1154
+1202
+1250
+1298
+1346
+1394
+1442
+1490
+1537
+1585
+1632
+1680
+1727
+1774
+1821
+1868
+1915
+1962
+2009
+2055
+2102
+2148
+2195
+2241
+2287
+2333
+2379
+2425
+2471
+2517
+2563
+2608
+2654
+2699
+2744
+2789
+2835
+2880
+2924
+2969
+3014
+3059
+3103
+3148
+3192
+3236
+3280
+3324
+3368
+3412
+3456
+3499
+3543
+3586
+3630
+3673
+3716
+3759
+3802
+3845
+3888
+3930
+3973
+4015
+4058
+4100
+4142
+4184
+4226
+4268
+4310
+4351
+4393
+4434
+4475
+4517
+4558
+4599
+4640
+4680
+4721
+4762
+4802
+4842
+4883
+4923
+4963
+5003
+5042
+5082
+5122
+5161
+5201
+5240
+5279
+5318
+5357
+5396
+5434
+5473
+5511
+5550
+5588
+5626
+5664
+5702
+5740
+5778
+5815
+5853
+5890
+5927
+5965
+6002
+6039
+6075
+6112
+6149
+6185
+6221
+6258
+6294
+6330
+6366
+6401
+6437
+6472
+6508
+6543
+6578
+6613
+6648
+6683
+6718
+6752
+6787
+6821
+6856
+6890
+6924
+6958
+6991
+7025
+7058
+7092
+7125
+7158
+7191
+7224
+7257
+7290
+7322
+7355
+7387
+7419
+7451
+7483
+7515
+7547
+7579
+7610
+7641
+7673
+7704
+7735
+7766
+7796
+7827
+7858
+7888
+7918
+7948
+7978
+8008
+8038
+8068
+8097
+8127
+8156
+8185
+8214
+8243
+8272
+8300
+8329
+8357
+8386
+8414
+8442
+8470
+8497
+8525
+8553
+8580
+8607
+8634
+8661
+8688
+8715
+8742
+8768
+8795
+8821
+8847
+8873
+8899
+8925
+8950
+8976
+9001
+9027
+9052
+9077
+9102
+9126
+9151
+9176
+9200
+9224
+9248
+9272
+9296
+9320
+9343
+9367
+9390
+9414
+9437
+9460
+9483
+9505
+9528
+9550
+9573
+9595
+9617
+9639
+9661
+9682
+9704
+9725
+9747
+9768
+9789
+9810
+9831
+9851
+9872
+9892
+9913
+9933
+9953
+9973
+9993
+10012
+10032
+10051
+10070
+10090
+10109
+10127
+10146
+10165
+10183
+10202
+10220
+10238
+10256
+10274
+10292
+10309
+10327
+10344
+10361
+10378
+10395
+10412
+10429
+10445
+10462
+10478
+10494
+10510
+10526
+10542
+10558
+10573
+10589
+10604
+10619
+10634
+10649
+10664
+10679
+10693
+10708
+10722
+10736
+10750
+10764
+10778
+10791
+10805
+10818
+10831
+10845
+10858
+10870
+10883
+10896
+10908
+10921
+10933
+10945
+10957
+10969
+10981
+10992
+11004
+11015
+11026
+11037
+11048
+11059
+11070
+11080
+11091
+11101
+11112
+11122
+11132
+11141
+11151
+11161
+11170
+11180
+11189
+11198
+11207
+11216
+11224
+11233
+11241
+11250
+11258
+11266
+11274
+11282
+11290
+11297
+11305
+11312
+11319
+11326
+11333
+11340
+11347
+11354
+11360
+11367
+11373
+11379
+11385
+11391
+11397
+11402
+11408
+11413
+11418
+11424
+11429
+11433
+11438
+11443
+11447
+11452
+11456
+11460
+11464
+11468
+11472
+11476
+11479
+11483
+11486
+11490
+11493
+11496
+11498
+11501
+11504
+11506
+11509
+11511
+11513
+11515
+11517
+11519
+11521
+11522
+11524
+11525
+11526
+11527
+11528
+11529
+11530
+11531
+11531
+11531
+11532
+11532
+11532
+11532
+11532
+11531
+11531
+11531
+11530
+11529
+11528
+11527
+11526
+11525
+11524
+11522
+11521
+11519
+11517
+11515
+11513
+11511
+11509
+11507
+11504
+11502
+11499
+11496
+11493
+11490
+11487
+11484
+11481
+11477
+11474
+11470
+11466
+11463
+11459
+11454
+11450
+11446
+11442
+11437
+11432
+11428
+11423
+11418
+11413
+11408
+11402
+11397
+11392
+11386
+11380
+11374
+11369
+11363
+11356
+11350
+11344
+11337
+11331
+11324
+11317
+11311
+11304
+11297
+11289
+11282
+11275
+11267
+11260
+11252
+11244
+11237
+11229
+11220
+11212
+11204
+11196
+11187
+11179
+11170
+11161
+11152
+11143
+11134
+11125
+11116
+11107
+11097
+11088
+11078
+11068
+11058
+11048
+11038
+11028
+11018
+11008
+10997
+10987
+10976
+10965
+10955
+10944
+10933
+10922
+10910
+10899
+10888
+10876
+10865
+10853
+10841
+10830
+10818
+10806
+10794
+10781
+10769
+10757
+10744
+10732
+10719
+10706
+10694
+10681
+10668
+10655
+10642
+10628
+10615
+10601
+10588
+10574
+10561
+10547
+10533
+10519
+10505
+10491
+10477
+10463
+10448
+10434
+10419
+10405
+10390
+10375
+10360
+10345
+10330
+10315
+10300
+10285
+10269
+10254
+10238
+10223
+10207
+10191
+10175
+10160
+10144
+10127
+10111
+10095
+10079
+10062
+10046
+10029
+10013
+9996
+9979
+9962
+9946
+9929
+9912
+9894
+9877
+9860
+9842
+9825
+9808
+9790
+9772
+9755
+9737
+9719
+9701
+9683
+9665
+9647
+9628
+9610
+9592
+9573
+9555
+9536
+9518
+9499
+9480
+9461
+9442
+9423
+9404
+9385
+9366
+9347
+9327
+9308
+9288
+9269
+9249
+9230
+9210
+9190
+9170
+9150
+9131
+9110
+9090
+9070
+9050
+9030
+9009
+8989
+8969
+8948
+8927
+8907
+8886
+8865
+8845
+8824
+8803
+8782
+8761
+8740
+8718
+8697
+8676
+8654
+8633
+8612
+8590
+8569
+8547
+8525
+8504
+8482
+8460
+8438
+8416
+8394
+8372
+8350
+8328
+8306
+8283
+8261
+8239
+8216
+8194
+8171
+8149
+8126
+8103
+8081
+8058
+8035
+8012
+7989
+7967
+7944
+7920
+7897
+7874
+7851
+7828
+7805
+7781
+7758
+7735
+7711
+7688
+7664
+7640
+7617
+7593
+7569
+7546
+7522
+7498
+7474
+7450
+7426
+7402
+7378
+7354
+7330
+7306
+7282
+7258
+7233
+7209
+7185
+7160
+7136
+7111
+7087
+7062
+7038
+7013
+6989
+6964
+6939
+6915
+6890
+6865
+6840
+6815
+6790
+6765
+6740
+6715
+6690
+6665
+6640
+6615
+6590
+6565
+6540
+6514
+6489
+6464
+6438
+6413
+6388
+6362
+6337
+6311
+6286
+6260
+6235
+6209
+6184
+6158
+6132
+6107
+6081
+6055
+6029
+6004
+5978
+5952
+5926
+5900
+5874
+5848
+5823
+5797
+5771
+5745
+5719
+5693
+5667
+5640
+5614
+5588
+5562
+5536
+5510
+5484
+5457
+5431
+5405
+5379
+5352
+5326
+5300
+5273
+5247
+5221
+5194
+5168
+5142
+5115
+5089
+5062
+5036
+5009
+4983
+4956
+4930
+4903
+4877
+4850
+4824
+4797
+4771
+4744
+4718
+4691
+4664
+4638
+4611
+4584
+4558
+4531
+4505
+4478
+4451
+4425
+4398
+4371
+4344
+4318
+4291
+4264
+4238
+4211
+4184
+4157
+4131
+4104
+4077
+4051
+4024
+3997
+3970
+3944
+3917
+3890
+3863
+3837
+3810
+3783
+3756
+3730
+3703
+3676
+3649
+3623
+3596
+3569
+3542
+3516
+3489
+3462
+3435
+3409
+3382
+3355
+3328
+3302
+3275
+3248
+3222
+3195
+3168
+3142
+3115
+3088
+3062
+3035
+3008
+2982
+2955
+2928
+2902
+2875
+2849
+2822
+2795
+2769
+2742
+2716
+2689
+2663
+2636
+2610
+2583
+2557
+2530
+2504
+2477
+2451
+2425
+2398
+2372
+2345
+2319
+2293
+2266
+2240
+2214
+2188
+2161
+2135
+2109
+2083
+2056
+2030
+2004
+1978
+1952
+1926
+1899
+1873
+1847
+1821
+1795
+1769
+1743
+1717
+1691
+1665
+1639
+1614
+1588
+1562
+1536
+1510
+1484
+1459
+1433
+1407
+1381
+1356
+1330
+1305
+1279
+1253
+1228
+1202
+1177
+1151
+1126
+1100
+1075
+1050
+1024
+999
+974
+948
+923
+898
+873
+847
+822
+797
+772
+747
+722
+697
+672
+647
+622
+597
+572
+547
+523
+498
+473
+448
+424
+399
+375
+350
+325
+301
+276
+252
+227
+203
+179
+154
+130
+106
+82
+57
+33
+9
+-15
+-39
+-63
+-87
+-111
+-135
+-159
+-183
+-207
+-230
+-254
+-278
+-301
+-325
+-349
+-372
+-396
+-419
+-443
+-466
+-490
+-513
+-536
+-559
+-583
+-606
+-629
+-652
+-675
+-698
+-721
+-744
+-767
+-790
+-813
+-835
+-858
+-881
+-904
+-926
+-949
+-971
+-994
+-1016
+-1039
+-1061
+-1083
+-1106
+-1128
+-1150
+-1172
+-1194
+-1216
+-1238
+-1260
+-1282
+-1304
+-1326
+-1348
+-1370
+-1391
+-1413
+-1435
+-1456
+-1478
+-1499
+-1521
+-1542
+-1564
+-1585
+-1606
+-1627
+-1648
+-1670
+-1691
+-1712
+-1733
+-1754
+-1774
+-1795
+-1816
+-1837
+-1858
+-1878
+-1899
+-1919
+-1940
+-1960
+-1981
+-2001
+-2021
+-2042
+-2062
+-2082
+-2102
+-2122
+-2142
+-2162
+-2182
+-2202
+-2222
+-2242
+-2261
+-2281
+-2301
+-2320
+-2340
+-2359
+-2378
+-2398
+-2417
+-2436
+-2456
+-2475
+-2494
+-2513
+-2532
+-2551
+-2570
+-2589
+-2607
+-2626
+-2645
+-2663
+-2682
+-2700
+-2719
+-2737
+-2756
+-2774
+-2792
+-2810
+-2829
+-2847
+-2865
+-2883
+-2901
+-2918
+-2936
+-2954
+-2972
+-2989
+-3007
+-3025
+-3042
+-3059
+-3077
+-3094
+-3111
+-3129
+-3146
+-3163
+-3180
+-3197
+-3214
+-3231
+-3247
+-3264
+-3281
+-3298
+-3314
+-3331
+-3347
+-3364
+-3380
+-3396
+-3413
+-3429
+-3445
+-3461
+-3477
+-3493
+-3509
+-3525
+-3540
+-3556
+-3572
+-3588
+-3603
+-3619
+-3634
+-3649
+-3665
+-3680
+-3695
+-3710
+-3725
+-3740
+-3755
+-3770
+-3785
+-3800
+-3815
+-3829
+-3844
+-3859
+-3873
+-3888
+-3902
+-3916
+-3931
+-3945
+-3959
+-3973
+-3987
+-4001
+-4015
+-4029
+-4042
+-4056
+-4070
+-4083
+-4097
+-4111
+-4124
+-4137
+-4151
+-4164
+-4177
+-4190
+-4203
+-4216
+-4229
+-4242
+-4255
+-4268
+-4280
+-4293
+-4306
+-4318
+-4331
+-4343
+-4355
+-4368
+-4380
+-4392
+-4404
+-4416
+-4428
+-4440
+-4452
+-4464
+-4475
+-4487
+-4499
+-4510
+-4522
+-4533
+-4544
+-4556
+-4567
+-4578
+-4589
+-4600
+-4611
+-4622
+-4633
+-4644
+-4654
+-4665
+-4676
+-4686
+-4697
+-4707
+-4718
+-4728
+-4738
+-4748
+-4758
+-4769
+-4779
+-4788
+-4798
+-4808
+-4818
+-4828
+-4837
+-4847
+-4856
+-4866
+-4875
+-4884
+-4894
+-4903
+-4912
+-4921
+-4930
+-4939
+-4948
+-4957
+-4965
+-4974
+-4983
+-4991
+-5000
+-5008
+-5017
+-5025
+-5033
+-5042
+-5050
+-5058
+-5066
+-5074
+-5082
+-5089
+-5097
+-5105
+-5113
+-5120
+-5128
+-5135
+-5143
+-5150
+-5157
+-5164
+-5172
+-5179
+-5186
+-5193
+-5199
+-5206
+-5213
+-5220
+-5226
+-5233
+-5240
+-5246
+-5253
+-5259
+-5265
+-5271
+-5278
+-5284
+-5290
+-5296
+-5302
+-5307
+-5313
+-5319
+-5325
+-5330
+-5336
+-5341
+-5347
+-5352
+-5357
+-5363
+-5368
+-5373
+-5378
+-5383
+-5388
+-5393
+-5398
+-5403
+-5407
+-5412
+-5416
+-5421
+-5425
+-5430
+-5434
+-5439
+-5443
+-5447
+-5451
+-5455
+-5459
+-5463
+-5467
+-5471
+-5474
+-5478
+-5482
+-5485
+-5489
+-5492
+-5496
+-5499
+-5502
+-5505
+-5509
+-5512
+-5515
+-5518
+-5521
+-5523
+-5526
+-5529
+-5532
+-5534
+-5537
+-5539
+-5542
+-5544
+-5546
+-5549
+-5551
+-5553
+-5555
+-5557
+-5559
+-5561
+-5563
+-5565
+-5566
+-5568
+-5570
+-5571
+-5573
+-5574
+-5576
+-5577
+-5578
+-5580
+-5581
+-5582
+-5583
+-5584
+-5585
+-5586
+-5587
+-5587
+-5588
+-5589
+-5589
+-5590
+-5590
+-5591
+-5591
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5591
+-5591
+-5591
+-5590
+-5590
+-5589
+-5589
+-5588
+-5587
+-5586
+-5586
+-5585
+-5584
+-5583
+-5582
+-5581
+-5579
+-5578
+-5577
+-5576
+-5574
+-5573
+-5571
+-5570
+-5568
+-5567
+-5565
+-5563
+-5561
+-5560
+-5558
+-5556
+-5554
+-5552
+-5550
+-5547
+-5545
+-5543
+-5541
+-5538
+-5536
+-5533
+-5531
+-5528
+-5526
+-5523
+-5520
+-5517
+-5515
+-5512
+-5509
+-5506
+-5503
+-5500
+-5497
+-5494
+-5490
+-5487
+-5484
+-5480
+-5477
+-5473
+-5470
+-5466
+-5463
+-5459
+-5455
+-5452
+-5448
+-5444
+-5440
+-5436
+-5432
+-5428
+-5424
+-5420
+-5416
+-5411
+-5407
+-5403
+-5398
+-5394
+-5389
+-5385
+-5380
+-5376
+-5371
+-5366
+-5362
+-5357
+-5352
+-5347
+-5342
+-5337
+-5332
+-5327
+-5322
+-5317
+-5312
+-5306
+-5301
+-5296
+-5290
+-5285
+-5279
+-5274
+-5268
+-5263
+-5257
+-5251
+-5246
+-5240
+-5234
+-5228
+-5222
+-5216
+-5210
+-5204
+-5198
+-5192
+-5186
+-5180
+-5173
+-5167
+-5161
+-5154
+-5148
+-5141
+-5135
+-5128
+-5122
+-5115
+-5108
+-5102
+-5095
+-5088
+-5081
+-5075
+-5068
+-5061
+-5054
+-5047
+-5040
+-5033
+-5025
+-5018
+-5011
+-5004
+-4996
+-4989
+-4982
+-4974
+-4967
+-4959
+-4952
+-4944
+-4937
+-4929
+-4921
+-4914
+-4906
+-4898
+-4890
+-4882
+-4874
+-4867
+-4859
+-4851
+-4842
+-4834
+-4826
+-4818
+-4810
+-4802
+-4793
+-4785
+-4777
+-4768
+-4760
+-4752
+-4743
+-4735
+-4726
+-4718
+-4709
+-4700
+-4692
+-4683
+-4674
+-4665
+-4657
+-4648
+-4639
+-4630
+-4621
+-4612
+-4603
+-4594
+-4585
+-4576
+-4567
+-4558
+-4548
+-4539
+-4530
+-4521
+-4511
+-4502
+-4493
+-4483
+-4474
+-4464
+-4455
+-4445
+-4436
+-4426
+-4417
+-4407
+-4397
+-4388
+-4378
+-4368
+-4358
+-4349
+-4339
+-4329
+-4319
+-4309
+-4299
+-4289
+-4279
+-4269
+-4259
+-4249
+-4239
+-4229
+-4218
+-4208
+-4198
+-4188
+-4178
+-4167
+-4157
+-4147
+-4136
+-4126
+-4115
+-4105
+-4094
+-4084
+-4073
+-4063
+-4052
+-4042
+-4031
+-4020
+-4010
+-3999
+-3988
+-3978
+-3967
+-3956
+-3945
+-3934
+-3924
+-3913
+-3902
+-3891
+-3880
+-3869
+-3858
+-3847
+-3836
+-3825
+-3814
+-3803
+-3792
+-3780
+-3769
+-3758
+-3747
+-3736
+-3724
+-3713
+-3702
+-3691
+-3679
+-3668
+-3657
+-3645
+-3634
+-3622
+-3611
+-3600
+-3588
+-3577
+-3565
+-3554
+-3542
+-3531
+-3519
+-3507
+-3496
+-3484
+-3473
+-3461
+-3449
+-3438
+-3426
+-3414
+-3402
+-3391
+-3379
+-3367
+-3355
+-3343
+-3332
+-3320
+-3308
+-3296
+-3284
+-3272
+-3260
+-3248
+-3236
+-3225
+-3213
+-3201
+-3189
+-3177
+-3165
+-3153
+-3140
+-3128
+-3116
+-3104
+-3092
+-3080
+-3068
+-3056
+-3044
+-3031
+-3019
+-3007
+-2995
+-2983
+-2971
+-2958
+-2946
+-2934
+-2922
+-2909
+-2897
+-2885
+-2872
+-2860
+-2848
+-2835
+-2823
+-2811
+-2798
+-2786
+-2774
+-2761
+-2749
+-2737
+-2724
+-2712
+-2699
+-2687
+-2674
+-2662
+-2650
+-2637
+-2625
+-2612
+-2600
+-2587
+-2575
+-2562
+-2550
+-2537
+-2525
+-2512
+-2500
+-2487
+-2475
+-2462
+-2450
+-2437
+-2424
+-2412
+-2399
+-2387
+-2374
+-2362
+-2349
+-2336
+-2324
+-2311
+-2299
+-2286
+-2273
+-2261
+-2248
+-2236
+-2223
+-2210
+-2198
+-2185
+-2173
+-2160
+-2147
+-2135
+-2122
+-2109
+-2097
+-2084
+-2072
+-2059
+-2046
+-2034
+-2021
+-2008
+-1996
+-1983
+-1970
+-1958
+-1945
+-1933
+-1920
+-1907
+-1895
+-1882
+-1869
+-1857
+-1844
+-1831
+-1819
+-1806
+-1794
+-1781
+-1768
+-1756
+-1743
+-1730
+-1718
+-1705
+-1693
+-1680
+-1667
+-1655
+-1642
+-1630
+-1617
+-1604
+-1592
+-1579
+-1567
+-1554
+-1542
+-1529
+-1516
+-1504
+-1491
+-1479
+-1466
+-1454
+-1441
+-1429
+-1416
+-1404
+-1391
+-1379
+-1366
+-1354
+-1341
+-1329
+-1316
+-1304
+-1291
+-1279
+-1266
+-1254
+-1241
+-1229
+-1217
+-1204
+-1192
+-1179
+-1167
+-1155
+-1142
+-1130
+-1117
+-1105
+-1093
+-1080
+-1068
+-1056
+-1044
+-1031
+-1019
+-1007
+-994
+-982
+-970
+-958
+-945
+-933
+-921
+-909
+-897
+-884
+-872
+-860
+-848
+-836
+-824
+-811
+-799
+-787
+-775
+-763
+-751
+-739
+-727
+-715
+-703
+-691
+-679
+-667
+-655
+-643
+-631
+-619
+-607
+-595
+-583
+-571
+-560
+-548
+-536
+-524
+-512
+-500
+-489
+-477
+-465
+-453
+-442
+-430
+-418
+-406
+-395
+-383
+-371
+-360
+-348
+-337
+-325
+-313
+-302
+-290
+-279
+-267
+-256
+-244
+-233
+-221
+-210
+-198
+-187
+-176
+-164
+-153
+-142
+-130
+-119
+-108
+-96
+-85
+-74
+-63
+-51
+-40
+-29
+-18
+-7
+4
+15
+27
+38
+49
+60
+71
+82
+93
+104
+115
+126
+136
+147
+158
+169
+180
+191
+201
+212
+223
+234
+244
+255
+266
+277
+287
+298
+308
+319
+330
+340
+351
+361
+372
+382
+392
+403
+413
+424
+434
+444
+455
+465
+475
+486
+496
+506
+516
+526
+537
+547
+557
+567
+577
+587
+597
+607
+617
+627
+637
+647
+657
+667
+676
+686
+696
+706
+716
+725
+735
+745
+754
+764
+774
+783
+793
+802
+812
+821
+831
+840
+850
+859
+869
+878
+887
+897
+906
+915
+924
+934
+943
+952
+961
+970
+979
+989
+998
+1007
+1016
+1025
+1034
+1043
+1051
+1060
+1069
+1078
+1087
+1096
+1104
+1113
+1122
+1131
+1139
+1148
+1157
+1165
+1174
+1182
+1191
+1199
+1208
+1216
+1225
+1233
+1241
+1250
+1258
+1266
+1274
+1283
+1291
+1299
+1307
+1315
+1324
+1332
+1340
+1348
+1356
+1364
+1372
+1380
+1387
+1395
+1403
+1411
+1419
+1427
+1434
+1442
+1450
+1457
+1465
+1473
+1480
+1488
+1495
+1503
+1510
+1518
+1525
+1533
+1540
+1547
+1555
+1562
+1569
+1576
+1584
+1591
+1598
+1605
+1612
+1619
+1626
+1633
+1640
+1647
+1654
+1661
+1668
+1675
+1682
+1688
+1695
+1702
+1709
+1715
+1722
+1729
+1735
+1742
+1748
+1755
+1761
+1768
+1774
+1781
+1787
+1793
+1800
+1806
+1812
+1819
+1825
+1831
+1837
+1843
+1849
+1855
+1861
+1868
+1873
+1879
+1885
+1891
+1897
+1903
+1909
+1915
+1920
+1926
+1932
+1938
+1943
+1949
+1954
+1960
+1966
+1971
+1977
+1982
+1988
+1993
+1998
+2004
+2009
+2014
+2020
+2025
+2030
+2035
+2040
+2045
+2051
+2056
+2061
+2066
+2071
+2076
+2080
+2085
+2090
+2095
+2100
+2105
+2109
+2114
+2119
+2124
+2128
+2133
+2137
+2142
+2146
+2151
+2155
+2160
+2164
+2169
+2173
+2177
+2182
+2186
+2190
+2194
+2199
+2203
+2207
+2211
+2215
+2219
+2223
+2227
+2231
+2235
+2239
+2243
+2247
+2251
+2254
+2258
+2262
+2266
+2269
+2273
+2277
+2280
+2284
+2287
+2291
+2294
+2298
+2301
+2305
+2308
+2311
+2315
+2318
+2321
+2324
+2328
+2331
+2334
+2337
+2340
+2343
+2346
+2349
+2352
+2355
+2358
+2361
+2364
+2367
+2370
+2372
+2375
+2378
+2381
+2383
+2386
+2388
+2391
+2394
+2396
+2399
+2401
+2404
+2406
+2409
+2411
+2413
+2416
+2418
+2420
+2422
+2425
+2427
+2429
+2431
+2433
+2435
+2437
+2439
+2441
+2443
+2445
+2447
+2449
+2451
+2453
+2455
+2456
+2458
+2460
+2462
+2463
+2465
+2466
+2468
+2470
+2471
+2473
+2474
+2476
+2477
+2479
+2480
+2481
+2483
+2484
+2485
+2486
+2488
+2489
+2490
+2491
+2492
+2493
+2494
+2496
+2497
+2498
+2499
+2499
+2500
+2501
+2502
+2503
+2504
+2505
+2505
+2506
+2507
+2507
+2508
+2509
+2509
+2510
+2511
+2511
+2512
+2512
+2512
+2513
+2513
+2514
+2514
+2514
+2515
+2515
+2515
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2517
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2515
+2515
+2515
+2514
+2514
+2514
+2513
+2513
+2513
+2512
+2512
+2511
+2511
+2510
+2510
+2509
+2508
+2508
+2507
+2506
+2506
+2505
+2504
+2504
+2503
+2502
+2501
+2500
+2499
+2498
+2498
+2497
+2496
+2495
+2494
+2493
+2492
+2490
+2489
+2488
+2487
+2486
+2485
+2484
+2482
+2481
+2480
+2478
+2477
+2476
+2474
+2473
+2472
+2470
+2469
+2467
+2466
+2464
+2463
+2461
+2460
+2458
+2456
+2455
+2453
+2451
+2450
+2448
+2446
+2444
+2443
+2441
+2439
+2437
+2435
+2433
+2431
+2430
+2428
+2426
+2424
+2422
+2420
+2418
+2415
+2413
+2411
+2409
+2407
+2405
+2403
+2400
+2398
+2396
+2394
+2391
+2389
+2387
+2384
+2382
+2380
+2377
+2375
+2373
+2370
+2368
+2365
+2363
+2360
+2358
+2355
+2352
+2350
+2347
+2345
+2342
+2339
+2337
+2334
+2331
+2328
+2326
+2323
+2320
+2317
+2314
+2312
+2309
+2306
+2303
+2300
+2297
+2294
+2291
+2288
+2285
+2282
+2279
+2276
+2273
+2270
+2267
+2264
+2261
+2257
+2254
+2251
+2248
+2245
+2241
+2238
+2235
+2232
+2228
+2225
+2222
+2218
+2215
+2212
+2208
+2205
+2201
+2198
+2195
+2191
+2188
+2184
+2181
+2177
+2174
+2170
+2166
+2163
+2159
+2156
+2152
+2148
+2145
+2141
+2137
+2134
+2130
+2126
+2123
+2119
+2115
+2111
+2107
+2104
+2100
+2096
+2092
+2088
+2084
+2081
+2077
+2073
+2069
+2065
+2061
+2057
+2053
+2049
+2045
+2041
+2037
+2033
+2029
+2025
+2021
+2017
+2013
+2008
+2004
+2000
+1996
+1992
+1988
+1984
+1979
+1975
+1971
+1967
+1962
+1958
+1954
+1950
+1945
+1941
+1937
+1932
+1928
+1924
+1919
+1915
+1911
+1906
+1902
+1898
+1893
+1889
+1884
+1880
+1875
+1871
+1866
+1862
+1857
+1853
+1848
+1844
+1839
+1835
+1830
+1826
+1821
+1817
+1812
+1807
+1803
+1798
+1794
+1789
+1784
+1780
+1775
+1770
+1766
+1761
+1756
+1752
+1747
+1742
+1737
+1733
+1728
+1723
+1718
+1714
+1709
+1704
+1699
+1695
+1690
+1685
+1680
+1675
+1670
+1666
+1661
+1656
+1651
+1646
+1641
+1636
+1632
+1627
+1622
+1617
+1612
+1607
+1602
+1597
+1592
+1587
+1582
+1577
+1572
+1567
+1562
+1557
+1552
+1547
+1542
+1537
+1532
+1527
+1522
+1517
+1512
+1507
+1502
+1497
+1492
+1487
+1482
+1477
+1472
+1467
+1462
+1456
+1451
+1446
+1441
+1436
+1431
+1426
+1421
+1416
+1410
+1405
+1400
+1395
+1390
+1385
+1380
+1374
+1369
+1364
+1359
+1354
+1349
+1343
+1338
+1333
+1328
+1323
+1317
+1312
+1307
+1302
+1297
+1291
+1286
+1281
+1276
+1270
+1265
+1260
+1255
+1250
+1244
+1239
+1234
+1229
+1223
+1218
+1213
+1208
+1202
+1197
+1192
+1187
+1181
+1176
+1171
+1166
+1160
+1155
+1150
+1145
+1139
+1134
+1129
+1123
+1118
+1113
+1108
+1102
+1097
+1092
+1086
+1081
+1076
+1071
+1065
+1060
+1055
+1050
+1044
+1039
+1034
+1028
+1023
+1018
+1013
+1007
+1002
+997
+991
+986
+981
+976
+970
+965
+960
+954
+949
+944
+939
+933
+928
+923
+918
+912
+907
+902
+896
+891
+886
+881
+875
+870
+865
+860
+854
+849
+844
+839
+833
+828
+823
+818
+812
+807
+802
+797
+791
+786
+781
+776
+771
+765
+760
+755
+750
+744
+739
+734
+729
+724
+718
+713
+708
+703
+698
+693
+687
+682
+677
+672
+667
+662
+656
+651
+646
+641
+636
+631
+625
+620
+615
+610
+605
+600
+595
+590
+585
+579
+574
+569
+564
+559
+554
+549
+544
+539
+534
+529
+524
+519
+513
+508
+503
+498
+493
+488
+483
+478
+473
+468
+463
+458
+453
+448
+443
+438
+433
+428
+424
+419
+414
+409
+404
+399
+394
+389
+384
+379
+374
+369
+365
+360
+355
+350
+345
+340
+335
+330
+326
+321
+316
+311
+306
+302
+297
+292
+287
+282
+278
+273
+268
+263
+259
+254
+249
+244
+240
+235
+230
+226
+221
+216
+211
+207
+202
+197
+193
+188
+184
+179
+174
+170
+165
+160
+156
+151
+147
+142
+138
+133
+128
+124
+119
+115
+110
+106
+101
+97
+92
+88
+83
+79
+74
+70
+66
+61
+57
+52
+48
+43
+39
+35
+30
+26
+22
+17
+13
+9
+4
+0
+-4
+-9
+-13
+-17
+-22
+-26
+-30
+-34
+-39
+-43
+-47
+-51
+-55
+-60
+-64
+-68
+-72
+-76
+-80
+-85
+-89
+-93
+-97
+-101
+-105
+-109
+-113
+-117
+-122
+-126
+-130
+-134
+-138
+-142
+-146
+-150
+-154
+-158
+-162
+-166
+-170
+-174
+-177
+-181
+-185
+-189
+-193
+-197
+-201
+-205
+-209
+-212
+-216
+-220
+-224
+-228
+-232
+-235
+-239
+-243
+-247
+-250
+-254
+-258
+-262
+-265
+-269
+-273
+-276
+-280
+-284
+-287
+-291
+-295
+-298
+-302
+-305
+-309
+-313
+-316
+-320
+-323
+-327
+-330
+-334
+-337
+-341
+-344
+-348
+-351
+-355
+-358
+-362
+-365
+-369
+-372
+-375
+-379
+-382
+-386
+-389
+-392
+-396
+-399
+-402
+-406
+-409
+-412
+-415
+-419
+-422
+-425
+-428
+-432
+-435
+-438
+-441
+-444
+-448
+-451
+-454
+-457
+-460
+-463
+-466
+-470
+-473
+-476
+-479
+-482
+-485
+-488
+-491
+-494
+-497
+-500
+-503
+-506
+-509
+-512
+-515
+-518
+-521
+-523
+-526
+-529
+-532
+-535
+-538
+-541
+-543
+-546
+-549
+-552
+-555
+-557
+-560
+-563
+-566
+-568
+-571
+-574
+-577
+-579
+-582
+-585
+-587
+-590
+-593
+-595
+-598
+-600
+-603
+-606
+-608
+-611
+-613
+-616
+-618
+-621
+-623
+-626
+-628
+-631
+-633
+-636
+-638
+-640
+-643
+-645
+-648
+-650
+-652
+-655
+-657
+-660
+-662
+-664
+-666
+-669
+-671
+-673
+-676
+-678
+-680
+-682
+-684
+-687
+-689
+-691
+-693
+-695
+-698
+-700
+-702
+-704
+-706
+-708
+-710
+-712
+-714
+-716
+-718
+-721
+-723
+-725
+-727
+-729
+-731
+-732
+-734
+-736
+-738
+-740
+-742
+-744
+-746
+-748
+-750
+-752
+-753
+-755
+-757
+-759
+-761
+-762
+-764
+-766
+-768
+-770
+-771
+-773
+-775
+-776
+-778
+-780
+-781
+-783
+-785
+-786
+-788
+-790
+-791
+-793
+-794
+-796
+-798
+-799
+-801
+-802
+-804
+-805
+-807
+-808
+-810
+-811
+-813
+-814
+-816
+-817
+-818
+-820
+-821
+-823
+-824
+-825
+-827
+-828
+-829
+-831
+-832
+-833
+-835
+-836
+-837
+-838
+-840
+-841
+-842
+-843
+-845
+-846
+-847
+-848
+-849
+-850
+-852
+-853
+-854
+-855
+-856
+-857
+-858
+-859
+-860
+-861
+-862
+-863
+-865
+-866
+-867
+-868
+-868
+-869
+-870
+-871
+-872
+-873
+-874
+-875
+-876
+-877
+-878
+-879
+-879
+-880
+-881
+-882
+-883
+-884
+-884
+-885
+-886
+-887
+-888
+-888
+-889
+-890
+-890
+-891
+-892
+-893
+-893
+-894
+-895
+-895
+-896
+-897
+-897
+-898
+-898
+-899
+-900
+-900
+-901
+-901
+-902
+-902
+-903
+-903
+-904
+-904
+-905
+-905
+-906
+-906
+-907
+-907
+-908
+-908
+-908
+-909
+-909
+-910
+-910
+-910
+-911
+-911
+-911
+-912
+-912
+-912
+-913
+-913
+-913
+-914
+-914
+-914
+-914
+-915
+-915
+-915
+-915
+-915
+-916
+-916
+-916
+-916
+-916
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-916
+-916
+-916
+-916
+-916
+-916
+-915
+-915
+-915
+-915
+-915
+-914
+-914
+-914
+-914
+-913
+-913
+-913
+-913
+-912
+-912
+-912
+-911
+-911
+-911
+-910
+-910
+-910
+-909
+-909
+-909
+-908
+-908
+-907
+-907
+-907
+-906
+-906
+-905
+-905
+-904
+-904
+-903
+-903
+-902
+-902
+-901
+-901
+-900
+-900
+-899
+-899
+-898
+-898
+-897
+-897
+-896
+-896
+-895
+-894
+-894
+-893
+-893
+-892
+-891
+-891
+-890
+-889
+-889
+-888
+-887
+-887
+-886
+-885
+-885
+-884
+-883
+-883
+-882
+-881
+-880
+-880
+-879
+-878
+-877
+-877
+-876
+-875
+-874
+-874
+-873
+-872
+-871
+-870
+-870
+-869
+-868
+-867
+-866
+-865
+-865
+-864
+-863
+-862
+-861
+-860
+-859
+-858
+-858
+-857
+-856
+-855
+-854
+-853
+-852
+-851
+-850
+-849
+-848
+-847
+-846
+-845
+-844
+-843
+-842
+-841
+-840
+-839
+-838
+-837
+-836
+-835
+-834
+-833
+-832
+-831
+-830
+-829
+-828
+-827
+-826
+-825
+-824
+-823
+-822
+-820
+-819
+-818
+-817
+-816
+-815
+-814
+-813
+-811
+-810
+-809
+-808
+-807
+-806
+-805
+-803
+-802
+-801
+-800
+-799
+-797
+-796
+-795
+-794
+-793
+-791
+-790
+-789
+-788
+-787
+-785
+-784
+-783
+-782
+-780
+-779
+-778
+-777
+-775
+-774
+-773
+-771
+-770
+-769
+-768
+-766
+-765
+-764
+-762
+-761
+-760
+-758
+-757
+-756
+-754
+-753
+-752
+-750
+-749
+-748
+-746
+-745
+-744
+-742
+-741
+-740
+-738
+-737
+-735
+-734
+-733
+-731
+-730
+-728
+-727
+-726
+-724
+-723
+-721
+-720
+-719
+-717
+-716
+-714
+-713
+-711
+-710
+-709
+-707
+-706
+-704
+-703
+-701
+-700
+-698
+-697
+-695
+-694
+-692
+-691
+-690
+-688
+-687
+-685
+-684
+-682
+-681
+-679
+-678
+-676
+-675
+-673
+-672
+-670
+-669
+-667
+-665
+-664
+-662
+-661
+-659
+-658
+-656
+-655
+-653
+-652
+-650
+-649
+-647
+-646
+-644
+-642
+-641
+-639
+-638
+-636
+-635
+-633
+-631
+-630
+-628
+-627
+-625
+-624
+-622
+-620
+-619
+-617
+-616
+-614
+-613
+-611
+-609
+-608
+-606
+-605
+-603
+-601
+-600
+-598
+-597
+-595
+-593
+-592
+-590
+-589
+-587
+-585
+-584
+-582
+-580
+-579
+-577
+-576
+-574
+-572
+-571
+-569
+-567
+-566
+-564
+-563
+-561
+-559
+-558
+-556
+-554
+-553
+-551
+-550
+-548
+-546
+-545
+-543
+-541
+-540
+-538
+-536
+-535
+-533
+-531
+-530
+-528
+-527
+-525
+-523
+-522
+-520
+-518
+-517
+-515
+-513
+-512
+-510
+-508
+-507
+-505
+-503
+-502
+-500
+-498
+-497
+-495
+-493
+-492
+-490
+-488
+-487
+-485
+-483
+-482
+-480
+-479
+-477
+-475
+-474
+-472
+-470
+-469
+-467
+-465
+-464
+-462
+-460
+-459
+-457
+-455
+-454
+-452
+-450
+-449
+-447
+-445
+-444
+-442
+-440
+-439
+-437
+-435
+-434
+-432
+-430
+-429
+-427
+-425
+-424
+-422
+-421
+-419
+-417
+-416
+-414
+-412
+-411
+-409
+-407
+-406
+-404
+-402
+-401
+-399
+-397
+-396
+-394
+-393
+-391
+-389
+-388
+-386
+-384
+-383
+-381
+-379
+-378
+-376
+-374
+-373
+-371
+-370
+-368
+-366
+-365
+-363
+-361
+-360
+-358
+-357
+-355
+-353
+-352
+-350
+-348
+-347
+-345
+-344
+-342
+-340
+-339
+-337
+-336
+-334
+-332
+-331
+-329
+-328
+-326
+-324
+-323
+-321
+-320
+-318
+-316
+-315
+-313
+-312
+-310
+-308
+-307
+-305
+-304
+-302
+-301
+-299
+-297
+-296
+-294
+-293
+-291
+-290
+-288
+-286
+-285
+-283
+-282
+-280
+-279
+-277
+-276
+-274
+-272
+-271
+-269
+-268
+-266
+-265
+-263
+-262
+-260
+-259
+-257
+-256
+-254
+-252
+-251
+-249
+-248
+-246
+-245
+-243
+-242
+-240
+-239
+-237
+-236
+-234
+-233
+-231
+-230
+-228
+-227
+-225
+-224
+-222
+-221
+-219
+-218
+-216
+-215
+-213
+-212
+-211
+-209
+-208
+-206
+-205
+-203
+-202
+-200
+-199
+-197
+-196
+-195
+-193
+-192
+-190
+-189
+-187
+-186
+-184
+-183
+-182
+-180
+-179
+-177
+-176
+-175
+-173
+-172
+-170
+-169
+-167
+-166
+-165
+-163
+-162
+-161
+-159
+-158
+-156
+-155
+-154
+-152
+-151
+-149
+-148
+-147
+-145
+-144
+-143
+-141
+-140
+-139
+-137
+-136
+-135
+-133
+-132
+-131
+-129
+-128
+-127
+-125
+-124
+-123
+-121
+-120
+-119
+-117
+-116
+-115
+-113
+-112
+-111
+-110
+-108
+-107
+-106
+-104
+-103
+-102
+-101
+-99
+-98
+-97
+-96
+-94
+-93
+-92
+-91
+-89
+-88
+-87
+-86
+-84
+-83
+-82
+-81
+-79
+-78
+-77
+-76
+-75
+-73
+-72
+-71
+-70
+-69
+-67
+-66
+-65
+-64
+-63
+-61
+-60
+-59
+-58
+-57
+-56
+-54
+-53
+-52
+-51
+-50
+-49
+-47
+-46
+-45
+-44
+-43
+-42
+-41
+-39
+-38
+-37
+-36
+-35
+-34
+-33
+-32
+-31
+-29
+-28
+-27
+-26
+-25
+-24
+-23
+-22
+-21
+-20
+-19
+-18
+-16
+-15
+-14
+-13
+-12
+-11
+-10
+-9
+-8
+-7
+-6
+-5
+-4
+-3
+-2
+-1
+0
+1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+37
+38
+39
+40
+41
+42
+43
+44
+44
+45
+46
+47
+48
+49
+50
+50
+51
+52
+53
+54
+55
+56
+56
+57
+58
+59
+60
+60
+61
+62
+63
+64
+64
+65
+66
+67
+68
+68
+69
+70
+71
+71
+72
+73
+74
+75
+75
+76
+77
+78
+78
+79
+80
+80
+81
+82
+83
+83
+84
+85
+86
+86
+87
+88
+88
+89
+90
+90
+91
+92
+93
+93
+94
+95
+95
+96
+97
+97
+98
+99
+99
+100
+100
+101
+102
+102
+103
+104
+104
+105
+106
+106
+107
+107
+108
+109
+109
+110
+110
+111
+112
+112
+113
+113
+114
+114
+115
+116
+116
+117
+117
+118
+118
+119
+120
+120
+121
+121
+122
+122
+123
+123
+124
+124
+125
+125
+126
+126
+127
+127
+128
+128
+129
+129
+130
+130
+131
+131
+132
+132
+133
+133
+134
+134
+135
+135
+136
+136
+137
+137
+137
+138
+138
+139
+139
+140
+140
+141
+141
+141
+142
+142
+143
+143
+143
+144
+144
+145
+145
+145
+146
+146
+147
+147
+147
+148
+148
+149
+149
+149
+150
+150
+150
+151
+151
+151
+152
+152
+153
+153
+153
+154
+154
+154
+155
+155
+155
+156
+156
+156
+156
+157
+157
+157
+158
+158
+158
+159
+159
+159
+160
+160
+160
+160
+161
+161
+161
+161
+162
+162
+162
+163
+163
+163
+163
+164
+164
+164
+164
+165
+165
+165
+165
+166
+166
+166
+166
+166
+167
+167
+167
+167
+168
+168
+168
+168
+168
+169
+169
+169
+169
+169
+170
+170
+170
+170
+170
+170
+171
+171
+171
+171
+171
+171
+172
+172
+172
+172
+172
+172
+173
+173
+173
+173
+173
+173
+173
+174
+174
+174
+174
+174
+174
+174
+174
+175
+175
+175
+175
+175
+175
+175
+175
+175
+175
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+175
+175
+175
+175
+175
+175
+175
+175
+175
+175
+175
+174
+174
+174
+174
+174
+174
+174
+174
+174
+173
+173
+173
+173
+173
+173
+173
+173
+173
+172
+172
+172
+172
+172
+172
+172
+171
+171
+171
+171
+171
+171
+171
+171
+170
+170
+170
+170
+170
+170
+170
+169
+169
+169
+169
+169
+169
+168
+168
+168
+168
+168
+168
+167
+167
+167
+167
+167
+167
+166
+166
+166
+166
+166
+166
+165
+165
+165
+165
+165
+165
+164
+164
+164
+164
+164
+163
+163
+163
+163
+163
+163
+162
+162
+162
+162
+162
+161
+161
+161
+161
+161
+160
+160
+160
+160
+160
+159
+159
+159
+159
+159
+158
+158
+158
+158
+158
+157
+157
+157
+157
+156
+156
+156
+156
+156
+155
+155
+155
+155
+154
+154
+154
+154
+154
+153
+153
+153
+153
+152
+152
+152
+152
+152
+151
+151
+151
+151
+150
+150
+150
+150
+149
+149
+149
+149
+149
+148
+148
+148
+148
+147
+147
+147
+147
+146
+146
+146
+146
+145
+145
+145
+145
+144
+144
+144
+144
+143
+143
+143
+143
+142
+142
+142
+142
+141
+141
+141
+141
+140
+140
+140
+140
+139
+139
+139
+139
+138
+138
+138
+138
+137
+137
+137
+137
+136
+136
+136
+136
+135
+135
+135
+135
+134
+134
+134
+134
+133
+133
+133
+133
+132
+132
+132
+131
+131
+131
+131
+130
+130
+130
+130
+129
+129
+129
+129
+128
+128
+128
+127
+127
+127
+127
+126
+126
+126
+126
+125
+125
+125
+125
+124
+124
+124
+123
+123
+123
+123
+122
+122
+122
+122
+121
+121
+121
+121
+120
+120
+120
+119
+119
+119
+119
+118
+118
+118
+118
+117
+117
+117
+116
+116
+116
+116
+115
+115
+115
+115
+114
+114
+114
+113
+113
+113
+113
+112
+112
+112
+112
+111
+111
+111
+111
+110
+110
+110
+109
+109
+109
+109
+108
+108
+108
+108
+107
+107
+107
+106
+106
+106
+106
+105
+105
+105
+105
+104
+104
+104
+103
+103
+103
+103
+102
+102
+102
+102
+101
+101
+101
+101
+100
+100
+100
+99
+99
+99
+99
+98
+98
+98
+98
+97
+97
+97
+97
+96
+96
+96
+95
+95
+95
+95
+94
+94
+94
+94
+93
+93
+93
+93
+92
+92
+92
+92
+91
+91
+91
+91
+90
+90
+90
+89
+89
+89
+89
+88
+88
+88
+88
+87
+87
+87
+87
+86
+86
+86
+86
+85
+85
+85
+85
+84
+84
+84
+84
+83
+83
+83
+83
+82
+82
+82
+82
+81
+81
+81
+81
+80
+80
+80
+80
+79
+79
+79
+79
+78
+78
+78
+78
+77
+77
+77
+77
+76
+76
+76
+76
+75
+75
+75
+75
+74
+74
+74
+74
+73
+73
+73
+73
+73
+72
+72
+72
+72
+71
+71
+71
+71
+70
+70
+70
+70
+69
+69
+69
+69
+69
+68
+68
+68
+68
+67
+67
+67
+67
+66
+66
+66
+66
+66
+65
+65
+65
+65
+64
+64
+64
+64
+64
+63
+63
+63
+63
+62
+62
+62
+62
+62
+61
+61
+61
+61
+60
+60
+60
+60
+60
+59
+59
+59
+59
+58
+58
+58
+58
+58
+57
+57
+57
+57
+57
+56
+56
+56
+56
+56
+55
+55
+55
+55
+55
+54
+54
+54
+54
+53
+53
+53
+53
+53
+52
+52
+52
+52
+52
+51
+51
+51
+51
+51
+50
+50
+50
+50
+50
+50
+49
+49
+49
+49
+49
+48
+48
+48
+48
+48
+47
+47
+47
+47
+47
+46
+46
+46
+46
+46
+46
+45
+45
+45
+45
+45
+44
+44
+44
+44
+44
+44
+43
+43
+43
+43
+43
+42
+42
+42
+42
+42
+42
+41
+41
+41
+41
+41
+41
+40
+40
+40
+40
+40
+40
+39
+39
+39
+39
+39
+39
+38
+38
+38
+38
+38
+38
+37
+37
+37
+37
+37
+37
+36
+36
+36
+36
+36
+36
+35
+35
+35
+35
+35
+35
+35
+34
+34
+34
+34
+34
+34
+33
+33
+33
+33
+33
+33
+33
+32
+32
+32
+32
+32
+32
+32
+31
+31
+31
+31
+31
+31
+30
+30
+30
+30
+30
+30
+30
+30
+29
+29
+29
+29
+29
+29
+29
+28
+28
+28
+28
+28
+28
+28
+27
+27
+27
+27
+27
+27
+27
+27
+26
+26
+26
+26
+26
+26
+26
+26
+25
+25
+25
+25
+25
+25
+25
+25
+24
+24
+24
+24
+24
+24
+24
+24
+23
+23
+23
+23
+23
+23
+23
+23
+22
+22
+22
+22
+22
+22
+22
+22
+22
+21
+21
+21
+21
+21
+21
+21
+21
+21
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+19
+19
+19
+19
+19
+19
+19
+19
+19
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
diff --git a/applications/lofar2/model/data/Coefficient_16KKaiser_16b.dat b/applications/lofar2/model/data/Coefficient_16KKaiser_16b.dat
new file mode 100644
index 0000000000000000000000000000000000000000..a7d31bdfc7cd89b2588db0f2afe4f2604718a5a1
--- /dev/null
+++ b/applications/lofar2/model/data/Coefficient_16KKaiser_16b.dat
@@ -0,0 +1,16384 @@
+40
+40
+40
+39
+38
+37
+36
+34
+33
+31
+30
+28
+26
+24
+22
+21
+19
+17
+16
+14
+13
+12
+11
+10
+9
+9
+9
+8
+8
+8
+8
+8
+9
+9
+9
+10
+10
+10
+11
+11
+11
+12
+12
+12
+12
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+14
+14
+14
+14
+14
+15
+15
+15
+15
+15
+15
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+17
+17
+17
+17
+17
+17
+17
+17
+17
+18
+18
+18
+18
+18
+18
+19
+19
+19
+19
+19
+19
+19
+20
+20
+20
+20
+20
+20
+20
+20
+20
+21
+21
+21
+21
+21
+21
+21
+22
+22
+22
+22
+22
+22
+22
+23
+23
+23
+23
+23
+23
+24
+24
+24
+24
+24
+24
+24
+25
+25
+25
+25
+25
+25
+25
+26
+26
+26
+26
+26
+26
+27
+27
+27
+27
+27
+27
+28
+28
+28
+28
+28
+28
+29
+29
+29
+29
+29
+30
+30
+30
+30
+30
+30
+30
+31
+31
+31
+31
+31
+32
+32
+32
+32
+32
+32
+33
+33
+33
+33
+33
+34
+34
+34
+34
+34
+35
+35
+35
+35
+35
+36
+36
+36
+36
+36
+37
+37
+37
+37
+37
+38
+38
+38
+38
+38
+39
+39
+39
+39
+39
+40
+40
+40
+40
+40
+41
+41
+41
+41
+42
+42
+42
+42
+42
+43
+43
+43
+43
+43
+44
+44
+44
+44
+45
+45
+45
+45
+46
+46
+46
+46
+46
+47
+47
+47
+47
+48
+48
+48
+48
+49
+49
+49
+49
+50
+50
+50
+50
+51
+51
+51
+51
+52
+52
+52
+52
+53
+53
+53
+53
+54
+54
+54
+54
+55
+55
+55
+55
+56
+56
+56
+56
+57
+57
+57
+58
+58
+58
+58
+59
+59
+59
+59
+60
+60
+60
+61
+61
+61
+61
+62
+62
+62
+62
+63
+63
+63
+64
+64
+64
+65
+65
+65
+65
+66
+66
+66
+67
+67
+67
+67
+68
+68
+68
+69
+69
+69
+70
+70
+70
+70
+71
+71
+71
+72
+72
+72
+73
+73
+73
+74
+74
+74
+74
+75
+75
+75
+76
+76
+76
+77
+77
+77
+78
+78
+78
+79
+79
+79
+80
+80
+80
+81
+81
+81
+82
+82
+82
+83
+83
+83
+84
+84
+84
+85
+85
+85
+86
+86
+86
+87
+87
+87
+88
+88
+89
+89
+89
+90
+90
+90
+91
+91
+91
+92
+92
+92
+93
+93
+94
+94
+94
+95
+95
+95
+96
+96
+97
+97
+97
+98
+98
+98
+99
+99
+100
+100
+100
+101
+101
+101
+102
+102
+103
+103
+103
+104
+104
+105
+105
+105
+106
+106
+106
+107
+107
+108
+108
+108
+109
+109
+110
+110
+110
+111
+111
+112
+112
+113
+113
+113
+114
+114
+115
+115
+115
+116
+116
+117
+117
+117
+118
+118
+119
+119
+120
+120
+120
+121
+121
+122
+122
+123
+123
+123
+124
+124
+125
+125
+126
+126
+126
+127
+127
+128
+128
+129
+129
+129
+130
+130
+131
+131
+132
+132
+133
+133
+134
+134
+134
+135
+135
+136
+136
+137
+137
+138
+138
+139
+139
+139
+140
+140
+141
+141
+142
+142
+143
+143
+144
+144
+145
+145
+145
+146
+146
+147
+147
+148
+148
+149
+149
+150
+150
+151
+151
+152
+152
+153
+153
+154
+154
+155
+155
+156
+156
+157
+157
+158
+158
+159
+159
+159
+160
+160
+161
+161
+162
+162
+163
+163
+164
+164
+165
+165
+166
+167
+167
+168
+168
+169
+169
+170
+170
+171
+171
+172
+172
+173
+173
+174
+174
+175
+175
+176
+176
+177
+177
+178
+178
+179
+179
+180
+180
+181
+181
+182
+183
+183
+184
+184
+185
+185
+186
+186
+187
+187
+188
+188
+189
+189
+190
+191
+191
+192
+192
+193
+193
+194
+194
+195
+195
+196
+197
+197
+198
+198
+199
+199
+200
+200
+201
+201
+202
+203
+203
+204
+204
+205
+205
+206
+206
+207
+208
+208
+209
+209
+210
+210
+211
+212
+212
+213
+213
+214
+214
+215
+215
+216
+217
+217
+218
+218
+219
+219
+220
+221
+221
+222
+222
+223
+223
+224
+225
+225
+226
+226
+227
+228
+228
+229
+229
+230
+230
+231
+232
+232
+233
+233
+234
+235
+235
+236
+236
+237
+237
+238
+239
+239
+240
+240
+241
+242
+242
+243
+243
+244
+245
+245
+246
+246
+247
+248
+248
+249
+249
+250
+251
+251
+252
+252
+253
+254
+254
+255
+255
+256
+257
+257
+258
+258
+259
+260
+260
+261
+261
+262
+263
+263
+264
+264
+265
+266
+266
+267
+267
+268
+269
+269
+270
+271
+271
+272
+272
+273
+274
+274
+275
+275
+276
+277
+277
+278
+278
+279
+280
+280
+281
+282
+282
+283
+283
+284
+285
+285
+286
+287
+287
+288
+288
+289
+290
+290
+291
+291
+292
+293
+293
+294
+295
+295
+296
+296
+297
+298
+298
+299
+300
+300
+301
+301
+302
+303
+303
+304
+304
+305
+306
+306
+307
+308
+308
+309
+309
+310
+311
+311
+312
+313
+313
+314
+314
+315
+316
+316
+317
+318
+318
+319
+319
+320
+321
+321
+322
+323
+323
+324
+324
+325
+326
+326
+327
+328
+328
+329
+329
+330
+331
+331
+332
+333
+333
+334
+334
+335
+336
+336
+337
+338
+338
+339
+339
+340
+341
+341
+342
+342
+343
+344
+344
+345
+346
+346
+347
+347
+348
+349
+349
+350
+351
+351
+352
+352
+353
+354
+354
+355
+355
+356
+357
+357
+358
+359
+359
+360
+360
+361
+362
+362
+363
+363
+364
+365
+365
+366
+366
+367
+368
+368
+369
+370
+370
+371
+371
+372
+373
+373
+374
+374
+375
+376
+376
+377
+377
+378
+379
+379
+380
+380
+381
+382
+382
+383
+383
+384
+385
+385
+386
+386
+387
+388
+388
+389
+389
+390
+390
+391
+392
+392
+393
+393
+394
+395
+395
+396
+396
+397
+398
+398
+399
+399
+400
+400
+401
+402
+402
+403
+403
+404
+404
+405
+406
+406
+407
+407
+408
+408
+409
+410
+410
+411
+411
+412
+412
+413
+414
+414
+415
+415
+416
+416
+417
+417
+418
+419
+419
+420
+420
+421
+421
+422
+422
+423
+424
+424
+425
+425
+426
+426
+427
+427
+428
+428
+429
+429
+430
+431
+431
+432
+432
+433
+433
+434
+434
+435
+435
+436
+436
+437
+437
+438
+438
+439
+439
+440
+440
+441
+441
+442
+443
+443
+444
+444
+445
+445
+446
+446
+447
+447
+448
+448
+449
+449
+450
+450
+450
+451
+451
+452
+452
+453
+453
+454
+454
+455
+455
+456
+456
+457
+457
+458
+458
+459
+459
+460
+460
+460
+461
+461
+462
+462
+463
+463
+464
+464
+465
+465
+465
+466
+466
+467
+467
+468
+468
+469
+469
+469
+470
+470
+471
+471
+472
+472
+472
+473
+473
+474
+474
+475
+475
+475
+476
+476
+477
+477
+477
+478
+478
+479
+479
+479
+480
+480
+481
+481
+481
+482
+482
+482
+483
+483
+484
+484
+484
+485
+485
+485
+486
+486
+487
+487
+487
+488
+488
+488
+489
+489
+489
+490
+490
+490
+491
+491
+491
+492
+492
+492
+493
+493
+493
+494
+494
+494
+495
+495
+495
+496
+496
+496
+497
+497
+497
+497
+498
+498
+498
+499
+499
+499
+500
+500
+500
+500
+501
+501
+501
+501
+502
+502
+502
+503
+503
+503
+503
+504
+504
+504
+504
+505
+505
+505
+505
+506
+506
+506
+506
+506
+507
+507
+507
+507
+508
+508
+508
+508
+508
+509
+509
+509
+509
+509
+510
+510
+510
+510
+510
+511
+511
+511
+511
+511
+512
+512
+512
+512
+512
+512
+512
+513
+513
+513
+513
+513
+513
+514
+514
+514
+514
+514
+514
+514
+514
+515
+515
+515
+515
+515
+515
+515
+515
+515
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+515
+515
+515
+515
+515
+515
+515
+515
+514
+514
+514
+514
+514
+514
+514
+513
+513
+513
+513
+513
+513
+513
+512
+512
+512
+512
+512
+511
+511
+511
+511
+511
+510
+510
+510
+510
+510
+509
+509
+509
+509
+508
+508
+508
+508
+508
+507
+507
+507
+507
+506
+506
+506
+505
+505
+505
+505
+504
+504
+504
+504
+503
+503
+503
+502
+502
+502
+501
+501
+501
+500
+500
+500
+499
+499
+499
+498
+498
+498
+497
+497
+497
+496
+496
+496
+495
+495
+494
+494
+494
+493
+493
+493
+492
+492
+491
+491
+491
+490
+490
+489
+489
+488
+488
+488
+487
+487
+486
+486
+485
+485
+484
+484
+484
+483
+483
+482
+482
+481
+481
+480
+480
+479
+479
+478
+478
+477
+477
+476
+476
+475
+475
+474
+474
+473
+473
+472
+471
+471
+470
+470
+469
+469
+468
+468
+467
+466
+466
+465
+465
+464
+463
+463
+462
+462
+461
+460
+460
+459
+459
+458
+457
+457
+456
+455
+455
+454
+454
+453
+452
+452
+451
+450
+450
+449
+448
+448
+447
+446
+446
+445
+444
+443
+443
+442
+441
+441
+440
+439
+438
+438
+437
+436
+436
+435
+434
+433
+433
+432
+431
+430
+430
+429
+428
+427
+426
+426
+425
+424
+423
+423
+422
+421
+420
+419
+418
+418
+417
+416
+415
+414
+414
+413
+412
+411
+410
+409
+408
+408
+407
+406
+405
+404
+403
+402
+401
+401
+400
+399
+398
+397
+396
+395
+394
+393
+392
+392
+391
+390
+389
+388
+387
+386
+385
+384
+383
+382
+381
+380
+379
+378
+377
+376
+375
+374
+373
+372
+371
+370
+369
+368
+367
+366
+365
+364
+363
+362
+361
+360
+359
+358
+357
+356
+355
+354
+353
+352
+351
+350
+349
+348
+347
+346
+344
+343
+342
+341
+340
+339
+338
+337
+336
+335
+333
+332
+331
+330
+329
+328
+327
+326
+324
+323
+322
+321
+320
+319
+317
+316
+315
+314
+313
+312
+310
+309
+308
+307
+306
+304
+303
+302
+301
+300
+298
+297
+296
+295
+294
+292
+291
+290
+289
+287
+286
+285
+284
+282
+281
+280
+279
+277
+276
+275
+273
+272
+271
+270
+268
+267
+266
+264
+263
+262
+260
+259
+258
+256
+255
+254
+253
+251
+250
+248
+247
+246
+244
+243
+242
+240
+239
+238
+236
+235
+234
+232
+231
+229
+228
+227
+225
+224
+222
+221
+220
+218
+217
+215
+214
+213
+211
+210
+208
+207
+205
+204
+203
+201
+200
+198
+197
+195
+194
+192
+191
+189
+188
+187
+185
+184
+182
+181
+179
+178
+176
+175
+173
+172
+170
+169
+167
+166
+164
+163
+161
+160
+158
+157
+155
+153
+152
+150
+149
+147
+146
+144
+143
+141
+140
+138
+136
+135
+133
+132
+130
+129
+127
+125
+124
+122
+121
+119
+118
+116
+114
+113
+111
+110
+108
+106
+105
+103
+101
+100
+98
+97
+95
+93
+92
+90
+88
+87
+85
+84
+82
+80
+79
+77
+75
+74
+72
+70
+69
+67
+65
+64
+62
+60
+59
+57
+55
+54
+52
+50
+48
+47
+45
+43
+42
+40
+38
+37
+35
+33
+31
+30
+28
+26
+25
+23
+21
+19
+18
+16
+14
+12
+11
+9
+7
+6
+4
+2
+0
+-1
+-3
+-5
+-7
+-8
+-10
+-12
+-14
+-16
+-17
+-19
+-21
+-23
+-24
+-26
+-28
+-30
+-32
+-33
+-35
+-37
+-39
+-40
+-42
+-44
+-46
+-48
+-49
+-51
+-53
+-55
+-57
+-58
+-60
+-62
+-64
+-66
+-67
+-69
+-71
+-73
+-75
+-77
+-78
+-80
+-82
+-84
+-86
+-87
+-89
+-91
+-93
+-95
+-97
+-98
+-100
+-102
+-104
+-106
+-108
+-110
+-111
+-113
+-115
+-117
+-119
+-121
+-122
+-124
+-126
+-128
+-130
+-132
+-134
+-135
+-137
+-139
+-141
+-143
+-145
+-147
+-148
+-150
+-152
+-154
+-156
+-158
+-160
+-162
+-163
+-165
+-167
+-169
+-171
+-173
+-175
+-177
+-178
+-180
+-182
+-184
+-186
+-188
+-190
+-192
+-194
+-195
+-197
+-199
+-201
+-203
+-205
+-207
+-209
+-211
+-212
+-214
+-216
+-218
+-220
+-222
+-224
+-226
+-228
+-229
+-231
+-233
+-235
+-237
+-239
+-241
+-243
+-245
+-247
+-248
+-250
+-252
+-254
+-256
+-258
+-260
+-262
+-264
+-265
+-267
+-269
+-271
+-273
+-275
+-277
+-279
+-281
+-283
+-284
+-286
+-288
+-290
+-292
+-294
+-296
+-298
+-300
+-302
+-303
+-305
+-307
+-309
+-311
+-313
+-315
+-317
+-319
+-321
+-322
+-324
+-326
+-328
+-330
+-332
+-334
+-336
+-338
+-340
+-341
+-343
+-345
+-347
+-349
+-351
+-353
+-355
+-357
+-358
+-360
+-362
+-364
+-366
+-368
+-370
+-372
+-373
+-375
+-377
+-379
+-381
+-383
+-385
+-387
+-389
+-390
+-392
+-394
+-396
+-398
+-400
+-402
+-404
+-405
+-407
+-409
+-411
+-413
+-415
+-417
+-418
+-420
+-422
+-424
+-426
+-428
+-430
+-431
+-433
+-435
+-437
+-439
+-441
+-443
+-444
+-446
+-448
+-450
+-452
+-454
+-455
+-457
+-459
+-461
+-463
+-465
+-466
+-468
+-470
+-472
+-474
+-475
+-477
+-479
+-481
+-483
+-485
+-486
+-488
+-490
+-492
+-494
+-495
+-497
+-499
+-501
+-503
+-504
+-506
+-508
+-510
+-512
+-513
+-515
+-517
+-519
+-520
+-522
+-524
+-526
+-528
+-529
+-531
+-533
+-535
+-536
+-538
+-540
+-542
+-543
+-545
+-547
+-549
+-550
+-552
+-554
+-555
+-557
+-559
+-561
+-562
+-564
+-566
+-568
+-569
+-571
+-573
+-574
+-576
+-578
+-579
+-581
+-583
+-585
+-586
+-588
+-590
+-591
+-593
+-595
+-596
+-598
+-600
+-601
+-603
+-605
+-606
+-608
+-610
+-611
+-613
+-615
+-616
+-618
+-619
+-621
+-623
+-624
+-626
+-628
+-629
+-631
+-632
+-634
+-636
+-637
+-639
+-640
+-642
+-644
+-645
+-647
+-648
+-650
+-651
+-653
+-655
+-656
+-658
+-659
+-661
+-662
+-664
+-665
+-667
+-669
+-670
+-672
+-673
+-675
+-676
+-678
+-679
+-681
+-682
+-684
+-685
+-687
+-688
+-690
+-691
+-693
+-694
+-696
+-697
+-698
+-700
+-701
+-703
+-704
+-706
+-707
+-709
+-710
+-711
+-713
+-714
+-716
+-717
+-719
+-720
+-721
+-723
+-724
+-726
+-727
+-728
+-730
+-731
+-732
+-734
+-735
+-737
+-738
+-739
+-741
+-742
+-743
+-745
+-746
+-747
+-749
+-750
+-751
+-752
+-754
+-755
+-756
+-758
+-759
+-760
+-761
+-763
+-764
+-765
+-767
+-768
+-769
+-770
+-772
+-773
+-774
+-775
+-776
+-778
+-779
+-780
+-781
+-782
+-784
+-785
+-786
+-787
+-788
+-790
+-791
+-792
+-793
+-794
+-795
+-796
+-798
+-799
+-800
+-801
+-802
+-803
+-804
+-805
+-806
+-808
+-809
+-810
+-811
+-812
+-813
+-814
+-815
+-816
+-817
+-818
+-819
+-820
+-821
+-822
+-823
+-824
+-825
+-826
+-827
+-828
+-829
+-830
+-831
+-832
+-833
+-834
+-835
+-836
+-837
+-838
+-839
+-840
+-841
+-842
+-842
+-843
+-844
+-845
+-846
+-847
+-848
+-849
+-849
+-850
+-851
+-852
+-853
+-854
+-854
+-855
+-856
+-857
+-858
+-859
+-859
+-860
+-861
+-862
+-862
+-863
+-864
+-865
+-865
+-866
+-867
+-868
+-868
+-869
+-870
+-870
+-871
+-872
+-873
+-873
+-874
+-875
+-875
+-876
+-877
+-877
+-878
+-878
+-879
+-880
+-880
+-881
+-882
+-882
+-883
+-883
+-884
+-884
+-885
+-886
+-886
+-887
+-887
+-888
+-888
+-889
+-889
+-890
+-890
+-891
+-891
+-892
+-892
+-893
+-893
+-894
+-894
+-894
+-895
+-895
+-896
+-896
+-897
+-897
+-897
+-898
+-898
+-898
+-899
+-899
+-900
+-900
+-900
+-901
+-901
+-901
+-902
+-902
+-902
+-902
+-903
+-903
+-903
+-904
+-904
+-904
+-904
+-905
+-905
+-905
+-905
+-906
+-906
+-906
+-906
+-906
+-906
+-907
+-907
+-907
+-907
+-907
+-907
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-907
+-907
+-907
+-907
+-907
+-907
+-906
+-906
+-906
+-906
+-906
+-905
+-905
+-905
+-905
+-904
+-904
+-904
+-904
+-903
+-903
+-903
+-903
+-902
+-902
+-902
+-901
+-901
+-901
+-900
+-900
+-900
+-899
+-899
+-898
+-898
+-898
+-897
+-897
+-896
+-896
+-896
+-895
+-895
+-894
+-894
+-893
+-893
+-892
+-892
+-891
+-891
+-890
+-890
+-889
+-889
+-888
+-888
+-887
+-886
+-886
+-885
+-885
+-884
+-883
+-883
+-882
+-882
+-881
+-880
+-880
+-879
+-878
+-878
+-877
+-876
+-876
+-875
+-874
+-873
+-873
+-872
+-871
+-870
+-870
+-869
+-868
+-867
+-867
+-866
+-865
+-864
+-863
+-862
+-862
+-861
+-860
+-859
+-858
+-857
+-856
+-856
+-855
+-854
+-853
+-852
+-851
+-850
+-849
+-848
+-847
+-846
+-845
+-844
+-843
+-842
+-841
+-840
+-839
+-838
+-837
+-836
+-835
+-834
+-833
+-832
+-831
+-830
+-829
+-828
+-826
+-825
+-824
+-823
+-822
+-821
+-820
+-818
+-817
+-816
+-815
+-814
+-813
+-811
+-810
+-809
+-808
+-806
+-805
+-804
+-803
+-801
+-800
+-799
+-798
+-796
+-795
+-794
+-792
+-791
+-790
+-788
+-787
+-786
+-784
+-783
+-781
+-780
+-779
+-777
+-776
+-774
+-773
+-772
+-770
+-769
+-767
+-766
+-764
+-763
+-761
+-760
+-758
+-757
+-755
+-754
+-752
+-751
+-749
+-748
+-746
+-744
+-743
+-741
+-740
+-738
+-736
+-735
+-733
+-732
+-730
+-728
+-727
+-725
+-723
+-722
+-720
+-718
+-717
+-715
+-713
+-711
+-710
+-708
+-706
+-705
+-703
+-701
+-699
+-697
+-696
+-694
+-692
+-690
+-689
+-687
+-685
+-683
+-681
+-679
+-677
+-676
+-674
+-672
+-670
+-668
+-666
+-664
+-662
+-660
+-659
+-657
+-655
+-653
+-651
+-649
+-647
+-645
+-643
+-641
+-639
+-637
+-635
+-633
+-631
+-629
+-627
+-625
+-623
+-621
+-619
+-617
+-614
+-612
+-610
+-608
+-606
+-604
+-602
+-600
+-598
+-595
+-593
+-591
+-589
+-587
+-585
+-582
+-580
+-578
+-576
+-574
+-571
+-569
+-567
+-565
+-563
+-560
+-558
+-556
+-554
+-551
+-549
+-547
+-544
+-542
+-540
+-537
+-535
+-533
+-531
+-528
+-526
+-523
+-521
+-519
+-516
+-514
+-512
+-509
+-507
+-504
+-502
+-500
+-497
+-495
+-492
+-490
+-487
+-485
+-483
+-480
+-478
+-475
+-473
+-470
+-468
+-465
+-463
+-460
+-458
+-455
+-453
+-450
+-448
+-445
+-442
+-440
+-437
+-435
+-432
+-430
+-427
+-424
+-422
+-419
+-417
+-414
+-411
+-409
+-406
+-404
+-401
+-398
+-396
+-393
+-390
+-388
+-385
+-382
+-380
+-377
+-374
+-371
+-369
+-366
+-363
+-361
+-358
+-355
+-352
+-350
+-347
+-344
+-341
+-339
+-336
+-333
+-330
+-327
+-325
+-322
+-319
+-316
+-313
+-311
+-308
+-305
+-302
+-299
+-296
+-294
+-291
+-288
+-285
+-282
+-279
+-276
+-273
+-271
+-268
+-265
+-262
+-259
+-256
+-253
+-250
+-247
+-244
+-241
+-238
+-236
+-233
+-230
+-227
+-224
+-221
+-218
+-215
+-212
+-209
+-206
+-203
+-200
+-197
+-194
+-191
+-188
+-185
+-182
+-179
+-176
+-173
+-170
+-167
+-164
+-160
+-157
+-154
+-151
+-148
+-145
+-142
+-139
+-136
+-133
+-130
+-127
+-124
+-120
+-117
+-114
+-111
+-108
+-105
+-102
+-99
+-95
+-92
+-89
+-86
+-83
+-80
+-77
+-73
+-70
+-67
+-64
+-61
+-58
+-54
+-51
+-48
+-45
+-42
+-39
+-35
+-32
+-29
+-26
+-23
+-19
+-16
+-13
+-10
+-6
+-3
+0
+3
+6
+10
+13
+16
+19
+23
+26
+29
+32
+36
+39
+42
+45
+49
+52
+55
+58
+62
+65
+68
+72
+75
+78
+81
+85
+88
+91
+95
+98
+101
+105
+108
+111
+114
+118
+121
+124
+128
+131
+134
+138
+141
+144
+148
+151
+154
+158
+161
+164
+168
+171
+174
+178
+181
+184
+188
+191
+194
+198
+201
+204
+208
+211
+214
+218
+221
+224
+228
+231
+235
+238
+241
+245
+248
+251
+255
+258
+261
+265
+268
+272
+275
+278
+282
+285
+288
+292
+295
+299
+302
+305
+309
+312
+315
+319
+322
+326
+329
+332
+336
+339
+342
+346
+349
+352
+356
+359
+363
+366
+369
+373
+376
+379
+383
+386
+390
+393
+396
+400
+403
+406
+410
+413
+417
+420
+423
+427
+430
+433
+437
+440
+443
+447
+450
+454
+457
+460
+464
+467
+470
+474
+477
+480
+484
+487
+490
+494
+497
+500
+504
+507
+510
+514
+517
+520
+524
+527
+530
+534
+537
+540
+544
+547
+550
+554
+557
+560
+564
+567
+570
+573
+577
+580
+583
+587
+590
+593
+597
+600
+603
+606
+610
+613
+616
+619
+623
+626
+629
+632
+636
+639
+642
+646
+649
+652
+655
+658
+662
+665
+668
+671
+675
+678
+681
+684
+687
+691
+694
+697
+700
+703
+707
+710
+713
+716
+719
+723
+726
+729
+732
+735
+738
+742
+745
+748
+751
+754
+757
+760
+763
+767
+770
+773
+776
+779
+782
+785
+788
+791
+795
+798
+801
+804
+807
+810
+813
+816
+819
+822
+825
+828
+831
+834
+837
+840
+843
+846
+849
+852
+855
+858
+861
+864
+867
+870
+873
+876
+879
+882
+885
+888
+891
+894
+897
+900
+902
+905
+908
+911
+914
+917
+920
+923
+926
+928
+931
+934
+937
+940
+943
+945
+948
+951
+954
+957
+960
+962
+965
+968
+971
+973
+976
+979
+982
+984
+987
+990
+993
+995
+998
+1001
+1003
+1006
+1009
+1012
+1014
+1017
+1020
+1022
+1025
+1027
+1030
+1033
+1035
+1038
+1041
+1043
+1046
+1048
+1051
+1053
+1056
+1059
+1061
+1064
+1066
+1069
+1071
+1074
+1076
+1079
+1081
+1084
+1086
+1089
+1091
+1094
+1096
+1099
+1101
+1103
+1106
+1108
+1111
+1113
+1115
+1118
+1120
+1123
+1125
+1127
+1130
+1132
+1134
+1137
+1139
+1141
+1143
+1146
+1148
+1150
+1153
+1155
+1157
+1159
+1161
+1164
+1166
+1168
+1170
+1173
+1175
+1177
+1179
+1181
+1183
+1185
+1188
+1190
+1192
+1194
+1196
+1198
+1200
+1202
+1204
+1206
+1208
+1210
+1212
+1214
+1216
+1218
+1220
+1222
+1224
+1226
+1228
+1230
+1232
+1234
+1236
+1238
+1240
+1242
+1243
+1245
+1247
+1249
+1251
+1253
+1255
+1256
+1258
+1260
+1262
+1263
+1265
+1267
+1269
+1270
+1272
+1274
+1276
+1277
+1279
+1281
+1282
+1284
+1286
+1287
+1289
+1290
+1292
+1294
+1295
+1297
+1298
+1300
+1301
+1303
+1305
+1306
+1308
+1309
+1311
+1312
+1313
+1315
+1316
+1318
+1319
+1321
+1322
+1323
+1325
+1326
+1327
+1329
+1330
+1331
+1333
+1334
+1335
+1337
+1338
+1339
+1340
+1342
+1343
+1344
+1345
+1346
+1348
+1349
+1350
+1351
+1352
+1353
+1355
+1356
+1357
+1358
+1359
+1360
+1361
+1362
+1363
+1364
+1365
+1366
+1367
+1368
+1369
+1370
+1371
+1372
+1373
+1374
+1375
+1375
+1376
+1377
+1378
+1379
+1380
+1380
+1381
+1382
+1383
+1384
+1384
+1385
+1386
+1387
+1387
+1388
+1389
+1389
+1390
+1391
+1391
+1392
+1392
+1393
+1394
+1394
+1395
+1395
+1396
+1396
+1397
+1397
+1398
+1398
+1399
+1399
+1400
+1400
+1401
+1401
+1401
+1402
+1402
+1403
+1403
+1403
+1404
+1404
+1404
+1404
+1405
+1405
+1405
+1405
+1406
+1406
+1406
+1406
+1406
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1408
+1408
+1408
+1408
+1408
+1408
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1406
+1406
+1406
+1406
+1406
+1405
+1405
+1405
+1405
+1404
+1404
+1404
+1403
+1403
+1403
+1402
+1402
+1402
+1401
+1401
+1400
+1400
+1400
+1399
+1399
+1398
+1398
+1397
+1397
+1396
+1395
+1395
+1394
+1394
+1393
+1393
+1392
+1391
+1391
+1390
+1389
+1389
+1388
+1387
+1386
+1386
+1385
+1384
+1383
+1383
+1382
+1381
+1380
+1379
+1378
+1377
+1377
+1376
+1375
+1374
+1373
+1372
+1371
+1370
+1369
+1368
+1367
+1366
+1365
+1364
+1363
+1362
+1361
+1359
+1358
+1357
+1356
+1355
+1354
+1352
+1351
+1350
+1349
+1348
+1346
+1345
+1344
+1342
+1341
+1340
+1338
+1337
+1336
+1334
+1333
+1332
+1330
+1329
+1327
+1326
+1324
+1323
+1321
+1320
+1318
+1317
+1315
+1314
+1312
+1310
+1309
+1307
+1306
+1304
+1302
+1301
+1299
+1297
+1296
+1294
+1292
+1290
+1289
+1287
+1285
+1283
+1281
+1280
+1278
+1276
+1274
+1272
+1270
+1268
+1266
+1264
+1263
+1261
+1259
+1257
+1255
+1253
+1251
+1249
+1247
+1244
+1242
+1240
+1238
+1236
+1234
+1232
+1230
+1227
+1225
+1223
+1221
+1219
+1216
+1214
+1212
+1210
+1207
+1205
+1203
+1200
+1198
+1196
+1193
+1191
+1189
+1186
+1184
+1181
+1179
+1177
+1174
+1172
+1169
+1167
+1164
+1162
+1159
+1156
+1154
+1151
+1149
+1146
+1144
+1141
+1138
+1136
+1133
+1130
+1128
+1125
+1122
+1119
+1117
+1114
+1111
+1108
+1106
+1103
+1100
+1097
+1094
+1091
+1089
+1086
+1083
+1080
+1077
+1074
+1071
+1068
+1065
+1062
+1059
+1056
+1053
+1050
+1047
+1044
+1041
+1038
+1035
+1032
+1029
+1026
+1022
+1019
+1016
+1013
+1010
+1007
+1003
+1000
+997
+994
+990
+987
+984
+981
+977
+974
+971
+967
+964
+961
+957
+954
+951
+947
+944
+940
+937
+933
+930
+926
+923
+919
+916
+912
+909
+905
+902
+898
+895
+891
+887
+884
+880
+877
+873
+869
+866
+862
+858
+855
+851
+847
+843
+840
+836
+832
+828
+825
+821
+817
+813
+809
+806
+802
+798
+794
+790
+786
+782
+778
+774
+771
+767
+763
+759
+755
+751
+747
+743
+739
+735
+731
+727
+723
+718
+714
+710
+706
+702
+698
+694
+690
+686
+681
+677
+673
+669
+665
+660
+656
+652
+648
+644
+639
+635
+631
+626
+622
+618
+614
+609
+605
+601
+596
+592
+588
+583
+579
+574
+570
+566
+561
+557
+552
+548
+543
+539
+534
+530
+525
+521
+516
+512
+507
+503
+498
+494
+489
+485
+480
+475
+471
+466
+462
+457
+452
+448
+443
+438
+434
+429
+424
+420
+415
+410
+406
+401
+396
+392
+387
+382
+377
+373
+368
+363
+358
+353
+349
+344
+339
+334
+329
+325
+320
+315
+310
+305
+300
+295
+291
+286
+281
+276
+271
+266
+261
+256
+251
+246
+241
+236
+231
+226
+221
+216
+211
+206
+201
+196
+191
+186
+181
+176
+171
+166
+161
+156
+151
+146
+141
+136
+131
+126
+121
+116
+110
+105
+100
+95
+90
+85
+80
+75
+69
+64
+59
+54
+49
+44
+38
+33
+28
+23
+18
+12
+7
+2
+-3
+-8
+-14
+-19
+-24
+-29
+-35
+-40
+-45
+-50
+-56
+-61
+-66
+-71
+-77
+-82
+-87
+-93
+-98
+-103
+-108
+-114
+-119
+-124
+-130
+-135
+-140
+-146
+-151
+-156
+-162
+-167
+-172
+-178
+-183
+-188
+-194
+-199
+-204
+-210
+-215
+-220
+-226
+-231
+-236
+-242
+-247
+-253
+-258
+-263
+-269
+-274
+-280
+-285
+-290
+-296
+-301
+-307
+-312
+-317
+-323
+-328
+-334
+-339
+-344
+-350
+-355
+-361
+-366
+-371
+-377
+-382
+-388
+-393
+-399
+-404
+-409
+-415
+-420
+-426
+-431
+-437
+-442
+-447
+-453
+-458
+-464
+-469
+-475
+-480
+-485
+-491
+-496
+-502
+-507
+-513
+-518
+-523
+-529
+-534
+-540
+-545
+-551
+-556
+-562
+-567
+-572
+-578
+-583
+-589
+-594
+-600
+-605
+-610
+-616
+-621
+-627
+-632
+-637
+-643
+-648
+-654
+-659
+-665
+-670
+-675
+-681
+-686
+-692
+-697
+-702
+-708
+-713
+-719
+-724
+-729
+-735
+-740
+-746
+-751
+-756
+-762
+-767
+-773
+-778
+-783
+-789
+-794
+-799
+-805
+-810
+-815
+-821
+-826
+-831
+-837
+-842
+-847
+-853
+-858
+-863
+-869
+-874
+-879
+-885
+-890
+-895
+-901
+-906
+-911
+-917
+-922
+-927
+-932
+-938
+-943
+-948
+-953
+-959
+-964
+-969
+-974
+-980
+-985
+-990
+-995
+-1001
+-1006
+-1011
+-1016
+-1021
+-1027
+-1032
+-1037
+-1042
+-1047
+-1053
+-1058
+-1063
+-1068
+-1073
+-1078
+-1084
+-1089
+-1094
+-1099
+-1104
+-1109
+-1114
+-1119
+-1124
+-1130
+-1135
+-1140
+-1145
+-1150
+-1155
+-1160
+-1165
+-1170
+-1175
+-1180
+-1185
+-1190
+-1195
+-1200
+-1205
+-1210
+-1215
+-1220
+-1225
+-1230
+-1235
+-1240
+-1245
+-1250
+-1255
+-1259
+-1264
+-1269
+-1274
+-1279
+-1284
+-1289
+-1294
+-1299
+-1303
+-1308
+-1313
+-1318
+-1323
+-1327
+-1332
+-1337
+-1342
+-1347
+-1351
+-1356
+-1361
+-1366
+-1370
+-1375
+-1380
+-1384
+-1389
+-1394
+-1398
+-1403
+-1408
+-1412
+-1417
+-1422
+-1426
+-1431
+-1436
+-1440
+-1445
+-1449
+-1454
+-1458
+-1463
+-1467
+-1472
+-1477
+-1481
+-1486
+-1490
+-1494
+-1499
+-1503
+-1508
+-1512
+-1517
+-1521
+-1526
+-1530
+-1534
+-1539
+-1543
+-1547
+-1552
+-1556
+-1560
+-1565
+-1569
+-1573
+-1578
+-1582
+-1586
+-1590
+-1595
+-1599
+-1603
+-1607
+-1611
+-1616
+-1620
+-1624
+-1628
+-1632
+-1636
+-1640
+-1644
+-1649
+-1653
+-1657
+-1661
+-1665
+-1669
+-1673
+-1677
+-1681
+-1685
+-1689
+-1693
+-1697
+-1701
+-1704
+-1708
+-1712
+-1716
+-1720
+-1724
+-1728
+-1731
+-1735
+-1739
+-1743
+-1747
+-1750
+-1754
+-1758
+-1762
+-1765
+-1769
+-1773
+-1776
+-1780
+-1784
+-1787
+-1791
+-1794
+-1798
+-1802
+-1805
+-1809
+-1812
+-1816
+-1819
+-1823
+-1826
+-1830
+-1833
+-1837
+-1840
+-1843
+-1847
+-1850
+-1853
+-1857
+-1860
+-1863
+-1867
+-1870
+-1873
+-1877
+-1880
+-1883
+-1886
+-1889
+-1893
+-1896
+-1899
+-1902
+-1905
+-1908
+-1911
+-1915
+-1918
+-1921
+-1924
+-1927
+-1930
+-1933
+-1936
+-1939
+-1942
+-1944
+-1947
+-1950
+-1953
+-1956
+-1959
+-1962
+-1964
+-1967
+-1970
+-1973
+-1976
+-1978
+-1981
+-1984
+-1986
+-1989
+-1992
+-1994
+-1997
+-2000
+-2002
+-2005
+-2007
+-2010
+-2012
+-2015
+-2017
+-2020
+-2022
+-2025
+-2027
+-2029
+-2032
+-2034
+-2037
+-2039
+-2041
+-2044
+-2046
+-2048
+-2050
+-2053
+-2055
+-2057
+-2059
+-2061
+-2063
+-2066
+-2068
+-2070
+-2072
+-2074
+-2076
+-2078
+-2080
+-2082
+-2084
+-2086
+-2088
+-2090
+-2092
+-2093
+-2095
+-2097
+-2099
+-2101
+-2103
+-2104
+-2106
+-2108
+-2109
+-2111
+-2113
+-2115
+-2116
+-2118
+-2119
+-2121
+-2123
+-2124
+-2126
+-2127
+-2129
+-2130
+-2131
+-2133
+-2134
+-2136
+-2137
+-2138
+-2140
+-2141
+-2142
+-2144
+-2145
+-2146
+-2147
+-2149
+-2150
+-2151
+-2152
+-2153
+-2154
+-2155
+-2157
+-2158
+-2159
+-2160
+-2161
+-2162
+-2163
+-2163
+-2164
+-2165
+-2166
+-2167
+-2168
+-2169
+-2169
+-2170
+-2171
+-2172
+-2172
+-2173
+-2174
+-2174
+-2175
+-2176
+-2176
+-2177
+-2177
+-2178
+-2178
+-2179
+-2179
+-2180
+-2180
+-2181
+-2181
+-2182
+-2182
+-2182
+-2183
+-2183
+-2183
+-2183
+-2184
+-2184
+-2184
+-2184
+-2184
+-2184
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2184
+-2184
+-2184
+-2184
+-2184
+-2184
+-2183
+-2183
+-2183
+-2183
+-2182
+-2182
+-2182
+-2181
+-2181
+-2180
+-2180
+-2180
+-2179
+-2179
+-2178
+-2178
+-2177
+-2176
+-2176
+-2175
+-2175
+-2174
+-2173
+-2173
+-2172
+-2171
+-2170
+-2169
+-2169
+-2168
+-2167
+-2166
+-2165
+-2164
+-2163
+-2162
+-2161
+-2160
+-2159
+-2158
+-2157
+-2156
+-2155
+-2154
+-2153
+-2152
+-2150
+-2149
+-2148
+-2147
+-2145
+-2144
+-2143
+-2141
+-2140
+-2139
+-2137
+-2136
+-2134
+-2133
+-2131
+-2130
+-2128
+-2127
+-2125
+-2123
+-2122
+-2120
+-2119
+-2117
+-2115
+-2113
+-2112
+-2110
+-2108
+-2106
+-2104
+-2102
+-2101
+-2099
+-2097
+-2095
+-2093
+-2091
+-2089
+-2087
+-2085
+-2083
+-2081
+-2078
+-2076
+-2074
+-2072
+-2070
+-2067
+-2065
+-2063
+-2061
+-2058
+-2056
+-2054
+-2051
+-2049
+-2046
+-2044
+-2041
+-2039
+-2036
+-2034
+-2031
+-2029
+-2026
+-2023
+-2021
+-2018
+-2015
+-2013
+-2010
+-2007
+-2004
+-2002
+-1999
+-1996
+-1993
+-1990
+-1987
+-1984
+-1981
+-1978
+-1975
+-1972
+-1969
+-1966
+-1963
+-1960
+-1957
+-1954
+-1951
+-1948
+-1944
+-1941
+-1938
+-1935
+-1931
+-1928
+-1925
+-1921
+-1918
+-1915
+-1911
+-1908
+-1904
+-1901
+-1897
+-1894
+-1890
+-1887
+-1883
+-1879
+-1876
+-1872
+-1868
+-1865
+-1861
+-1857
+-1853
+-1850
+-1846
+-1842
+-1838
+-1834
+-1830
+-1827
+-1823
+-1819
+-1815
+-1811
+-1807
+-1803
+-1799
+-1794
+-1790
+-1786
+-1782
+-1778
+-1774
+-1770
+-1765
+-1761
+-1757
+-1753
+-1748
+-1744
+-1740
+-1735
+-1731
+-1726
+-1722
+-1718
+-1713
+-1709
+-1704
+-1700
+-1695
+-1690
+-1686
+-1681
+-1677
+-1672
+-1667
+-1663
+-1658
+-1653
+-1648
+-1644
+-1639
+-1634
+-1629
+-1624
+-1619
+-1615
+-1610
+-1605
+-1600
+-1595
+-1590
+-1585
+-1580
+-1575
+-1570
+-1564
+-1559
+-1554
+-1549
+-1544
+-1539
+-1534
+-1528
+-1523
+-1518
+-1512
+-1507
+-1502
+-1496
+-1491
+-1486
+-1480
+-1475
+-1469
+-1464
+-1459
+-1453
+-1448
+-1442
+-1436
+-1431
+-1425
+-1420
+-1414
+-1408
+-1403
+-1397
+-1391
+-1386
+-1380
+-1374
+-1368
+-1362
+-1357
+-1351
+-1345
+-1339
+-1333
+-1327
+-1321
+-1315
+-1309
+-1304
+-1298
+-1291
+-1285
+-1279
+-1273
+-1267
+-1261
+-1255
+-1249
+-1243
+-1237
+-1230
+-1224
+-1218
+-1212
+-1205
+-1199
+-1193
+-1186
+-1180
+-1174
+-1167
+-1161
+-1155
+-1148
+-1142
+-1135
+-1129
+-1122
+-1116
+-1109
+-1103
+-1096
+-1090
+-1083
+-1076
+-1070
+-1063
+-1057
+-1050
+-1043
+-1037
+-1030
+-1023
+-1016
+-1010
+-1003
+-996
+-989
+-982
+-975
+-969
+-962
+-955
+-948
+-941
+-934
+-927
+-920
+-913
+-906
+-899
+-892
+-885
+-878
+-871
+-864
+-857
+-850
+-842
+-835
+-828
+-821
+-814
+-807
+-799
+-792
+-785
+-778
+-770
+-763
+-756
+-748
+-741
+-734
+-726
+-719
+-712
+-704
+-697
+-689
+-682
+-674
+-667
+-659
+-652
+-644
+-637
+-629
+-622
+-614
+-607
+-599
+-591
+-584
+-576
+-568
+-561
+-553
+-545
+-538
+-530
+-522
+-515
+-507
+-499
+-491
+-484
+-476
+-468
+-460
+-452
+-444
+-437
+-429
+-421
+-413
+-405
+-397
+-389
+-381
+-373
+-365
+-357
+-349
+-341
+-333
+-325
+-317
+-309
+-301
+-293
+-285
+-277
+-269
+-261
+-253
+-245
+-237
+-228
+-220
+-212
+-204
+-196
+-188
+-179
+-171
+-163
+-155
+-147
+-138
+-130
+-122
+-114
+-105
+-97
+-89
+-80
+-72
+-64
+-55
+-47
+-39
+-30
+-22
+-14
+-5
+3
+12
+20
+28
+37
+45
+54
+62
+71
+79
+87
+96
+104
+113
+121
+130
+138
+147
+155
+164
+173
+181
+190
+198
+207
+215
+224
+232
+241
+250
+258
+267
+275
+284
+293
+301
+310
+319
+327
+336
+344
+353
+362
+370
+379
+388
+396
+405
+414
+423
+431
+440
+449
+457
+466
+475
+484
+492
+501
+510
+518
+527
+536
+545
+553
+562
+571
+580
+588
+597
+606
+615
+624
+632
+641
+650
+659
+667
+676
+685
+694
+703
+711
+720
+729
+738
+747
+755
+764
+773
+782
+791
+799
+808
+817
+826
+835
+843
+852
+861
+870
+879
+888
+896
+905
+914
+923
+932
+940
+949
+958
+967
+976
+984
+993
+1002
+1011
+1020
+1028
+1037
+1046
+1055
+1064
+1072
+1081
+1090
+1099
+1108
+1116
+1125
+1134
+1143
+1152
+1160
+1169
+1178
+1187
+1195
+1204
+1213
+1222
+1230
+1239
+1248
+1257
+1265
+1274
+1283
+1292
+1300
+1309
+1318
+1326
+1335
+1344
+1353
+1361
+1370
+1379
+1387
+1396
+1405
+1413
+1422
+1431
+1439
+1448
+1457
+1465
+1474
+1482
+1491
+1500
+1508
+1517
+1525
+1534
+1543
+1551
+1560
+1568
+1577
+1585
+1594
+1602
+1611
+1620
+1628
+1637
+1645
+1654
+1662
+1670
+1679
+1687
+1696
+1704
+1713
+1721
+1730
+1738
+1746
+1755
+1763
+1772
+1780
+1788
+1797
+1805
+1813
+1822
+1830
+1838
+1846
+1855
+1863
+1871
+1880
+1888
+1896
+1904
+1912
+1921
+1929
+1937
+1945
+1953
+1962
+1970
+1978
+1986
+1994
+2002
+2010
+2018
+2026
+2034
+2042
+2050
+2058
+2066
+2074
+2082
+2090
+2098
+2106
+2114
+2122
+2130
+2138
+2146
+2154
+2162
+2169
+2177
+2185
+2193
+2201
+2208
+2216
+2224
+2232
+2239
+2247
+2255
+2262
+2270
+2278
+2285
+2293
+2301
+2308
+2316
+2323
+2331
+2338
+2346
+2353
+2361
+2368
+2376
+2383
+2391
+2398
+2406
+2413
+2420
+2428
+2435
+2442
+2450
+2457
+2464
+2471
+2479
+2486
+2493
+2500
+2507
+2514
+2522
+2529
+2536
+2543
+2550
+2557
+2564
+2571
+2578
+2585
+2592
+2599
+2606
+2613
+2620
+2626
+2633
+2640
+2647
+2654
+2661
+2667
+2674
+2681
+2687
+2694
+2701
+2707
+2714
+2721
+2727
+2734
+2740
+2747
+2753
+2760
+2766
+2773
+2779
+2786
+2792
+2798
+2805
+2811
+2817
+2823
+2830
+2836
+2842
+2848
+2855
+2861
+2867
+2873
+2879
+2885
+2891
+2897
+2903
+2909
+2915
+2921
+2927
+2933
+2939
+2945
+2950
+2956
+2962
+2968
+2973
+2979
+2985
+2990
+2996
+3002
+3007
+3013
+3018
+3024
+3029
+3035
+3040
+3046
+3051
+3057
+3062
+3067
+3073
+3078
+3083
+3088
+3094
+3099
+3104
+3109
+3114
+3119
+3124
+3129
+3134
+3139
+3144
+3149
+3154
+3159
+3164
+3169
+3173
+3178
+3183
+3188
+3192
+3197
+3202
+3206
+3211
+3216
+3220
+3225
+3229
+3234
+3238
+3242
+3247
+3251
+3256
+3260
+3264
+3268
+3273
+3277
+3281
+3285
+3289
+3293
+3297
+3301
+3305
+3309
+3313
+3317
+3321
+3325
+3329
+3333
+3336
+3340
+3344
+3348
+3351
+3355
+3358
+3362
+3366
+3369
+3373
+3376
+3380
+3383
+3386
+3390
+3393
+3396
+3400
+3403
+3406
+3409
+3412
+3415
+3418
+3422
+3425
+3428
+3430
+3433
+3436
+3439
+3442
+3445
+3448
+3450
+3453
+3456
+3458
+3461
+3464
+3466
+3469
+3471
+3474
+3476
+3479
+3481
+3483
+3486
+3488
+3490
+3492
+3495
+3497
+3499
+3501
+3503
+3505
+3507
+3509
+3511
+3513
+3515
+3517
+3518
+3520
+3522
+3524
+3525
+3527
+3529
+3530
+3532
+3533
+3535
+3536
+3538
+3539
+3540
+3542
+3543
+3544
+3545
+3547
+3548
+3549
+3550
+3551
+3552
+3553
+3554
+3555
+3556
+3557
+3558
+3558
+3559
+3560
+3561
+3561
+3562
+3562
+3563
+3563
+3564
+3564
+3565
+3565
+3566
+3566
+3566
+3566
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3566
+3566
+3566
+3565
+3565
+3565
+3564
+3564
+3563
+3563
+3562
+3561
+3561
+3560
+3559
+3559
+3558
+3557
+3556
+3555
+3554
+3553
+3552
+3551
+3550
+3549
+3548
+3547
+3545
+3544
+3543
+3542
+3540
+3539
+3537
+3536
+3534
+3533
+3531
+3530
+3528
+3526
+3525
+3523
+3521
+3519
+3517
+3515
+3514
+3512
+3510
+3508
+3505
+3503
+3501
+3499
+3497
+3494
+3492
+3490
+3487
+3485
+3483
+3480
+3478
+3475
+3472
+3470
+3467
+3464
+3462
+3459
+3456
+3453
+3450
+3447
+3445
+3442
+3438
+3435
+3432
+3429
+3426
+3423
+3420
+3416
+3413
+3410
+3406
+3403
+3399
+3396
+3392
+3389
+3385
+3381
+3378
+3374
+3370
+3366
+3363
+3359
+3355
+3351
+3347
+3343
+3339
+3335
+3331
+3326
+3322
+3318
+3314
+3309
+3305
+3301
+3296
+3292
+3287
+3283
+3278
+3273
+3269
+3264
+3259
+3255
+3250
+3245
+3240
+3235
+3230
+3225
+3220
+3215
+3210
+3205
+3200
+3195
+3189
+3184
+3179
+3174
+3168
+3163
+3157
+3152
+3146
+3141
+3135
+3129
+3124
+3118
+3112
+3107
+3101
+3095
+3089
+3083
+3077
+3071
+3065
+3059
+3053
+3047
+3040
+3034
+3028
+3022
+3015
+3009
+3003
+2996
+2990
+2983
+2977
+2970
+2963
+2957
+2950
+2943
+2937
+2930
+2923
+2916
+2909
+2902
+2895
+2888
+2881
+2874
+2867
+2860
+2853
+2845
+2838
+2831
+2823
+2816
+2809
+2801
+2794
+2786
+2779
+2771
+2763
+2756
+2748
+2740
+2733
+2725
+2717
+2709
+2701
+2693
+2685
+2677
+2669
+2661
+2653
+2645
+2637
+2629
+2620
+2612
+2604
+2595
+2587
+2579
+2570
+2562
+2553
+2544
+2536
+2527
+2519
+2510
+2501
+2492
+2484
+2475
+2466
+2457
+2448
+2439
+2430
+2421
+2412
+2403
+2394
+2384
+2375
+2366
+2357
+2347
+2338
+2329
+2319
+2310
+2300
+2291
+2281
+2272
+2262
+2253
+2243
+2233
+2223
+2214
+2204
+2194
+2184
+2174
+2164
+2154
+2144
+2134
+2124
+2114
+2104
+2094
+2084
+2073
+2063
+2053
+2043
+2032
+2022
+2011
+2001
+1991
+1980
+1970
+1959
+1948
+1938
+1927
+1916
+1906
+1895
+1884
+1873
+1862
+1852
+1841
+1830
+1819
+1808
+1797
+1786
+1775
+1763
+1752
+1741
+1730
+1719
+1707
+1696
+1685
+1673
+1662
+1651
+1639
+1628
+1616
+1605
+1593
+1582
+1570
+1558
+1547
+1535
+1523
+1511
+1500
+1488
+1476
+1464
+1452
+1440
+1428
+1416
+1404
+1392
+1380
+1368
+1356
+1344
+1332
+1319
+1307
+1295
+1283
+1270
+1258
+1246
+1233
+1221
+1208
+1196
+1183
+1171
+1158
+1146
+1133
+1121
+1108
+1095
+1083
+1070
+1057
+1044
+1031
+1019
+1006
+993
+980
+967
+954
+941
+928
+915
+902
+889
+876
+863
+850
+836
+823
+810
+797
+784
+770
+757
+744
+730
+717
+703
+690
+677
+663
+650
+636
+623
+609
+595
+582
+568
+555
+541
+527
+514
+500
+486
+472
+458
+445
+431
+417
+403
+389
+375
+361
+347
+333
+319
+305
+291
+277
+263
+249
+235
+221
+207
+193
+178
+164
+150
+136
+121
+107
+93
+78
+64
+50
+35
+21
+7
+-8
+-22
+-37
+-51
+-66
+-80
+-95
+-109
+-124
+-138
+-153
+-168
+-182
+-197
+-212
+-226
+-241
+-256
+-270
+-285
+-300
+-315
+-329
+-344
+-359
+-374
+-389
+-403
+-418
+-433
+-448
+-463
+-478
+-493
+-508
+-523
+-538
+-553
+-568
+-583
+-598
+-613
+-628
+-643
+-658
+-673
+-688
+-703
+-718
+-734
+-749
+-764
+-779
+-794
+-809
+-825
+-840
+-855
+-870
+-885
+-901
+-916
+-931
+-946
+-962
+-977
+-992
+-1008
+-1023
+-1038
+-1054
+-1069
+-1084
+-1100
+-1115
+-1131
+-1146
+-1161
+-1177
+-1192
+-1208
+-1223
+-1238
+-1254
+-1269
+-1285
+-1300
+-1316
+-1331
+-1347
+-1362
+-1378
+-1393
+-1409
+-1424
+-1440
+-1455
+-1471
+-1486
+-1502
+-1517
+-1533
+-1548
+-1564
+-1580
+-1595
+-1611
+-1626
+-1642
+-1657
+-1673
+-1689
+-1704
+-1720
+-1735
+-1751
+-1767
+-1782
+-1798
+-1813
+-1829
+-1845
+-1860
+-1876
+-1891
+-1907
+-1923
+-1938
+-1954
+-1969
+-1985
+-2001
+-2016
+-2032
+-2048
+-2063
+-2079
+-2094
+-2110
+-2126
+-2141
+-2157
+-2172
+-2188
+-2204
+-2219
+-2235
+-2251
+-2266
+-2282
+-2297
+-2313
+-2328
+-2344
+-2360
+-2375
+-2391
+-2406
+-2422
+-2438
+-2453
+-2469
+-2484
+-2500
+-2515
+-2531
+-2546
+-2562
+-2577
+-2593
+-2608
+-2624
+-2639
+-2655
+-2670
+-2686
+-2701
+-2717
+-2732
+-2748
+-2763
+-2779
+-2794
+-2810
+-2825
+-2840
+-2856
+-2871
+-2887
+-2902
+-2917
+-2933
+-2948
+-2963
+-2979
+-2994
+-3009
+-3025
+-3040
+-3055
+-3071
+-3086
+-3101
+-3116
+-3132
+-3147
+-3162
+-3177
+-3192
+-3208
+-3223
+-3238
+-3253
+-3268
+-3283
+-3298
+-3314
+-3329
+-3344
+-3359
+-3374
+-3389
+-3404
+-3419
+-3434
+-3449
+-3464
+-3479
+-3494
+-3509
+-3524
+-3538
+-3553
+-3568
+-3583
+-3598
+-3613
+-3627
+-3642
+-3657
+-3672
+-3686
+-3701
+-3716
+-3731
+-3745
+-3760
+-3775
+-3789
+-3804
+-3818
+-3833
+-3847
+-3862
+-3876
+-3891
+-3905
+-3920
+-3934
+-3949
+-3963
+-3977
+-3992
+-4006
+-4020
+-4035
+-4049
+-4063
+-4077
+-4092
+-4106
+-4120
+-4134
+-4148
+-4162
+-4176
+-4191
+-4205
+-4219
+-4233
+-4247
+-4261
+-4274
+-4288
+-4302
+-4316
+-4330
+-4344
+-4357
+-4371
+-4385
+-4399
+-4412
+-4426
+-4440
+-4453
+-4467
+-4480
+-4494
+-4507
+-4521
+-4534
+-4548
+-4561
+-4575
+-4588
+-4601
+-4615
+-4628
+-4641
+-4654
+-4668
+-4681
+-4694
+-4707
+-4720
+-4733
+-4746
+-4759
+-4772
+-4785
+-4798
+-4811
+-4824
+-4836
+-4849
+-4862
+-4875
+-4887
+-4900
+-4913
+-4925
+-4938
+-4950
+-4963
+-4975
+-4988
+-5000
+-5013
+-5025
+-5037
+-5050
+-5062
+-5074
+-5086
+-5098
+-5111
+-5123
+-5135
+-5147
+-5159
+-5171
+-5183
+-5195
+-5206
+-5218
+-5230
+-5242
+-5253
+-5265
+-5277
+-5288
+-5300
+-5312
+-5323
+-5334
+-5346
+-5357
+-5369
+-5380
+-5391
+-5403
+-5414
+-5425
+-5436
+-5447
+-5458
+-5469
+-5480
+-5491
+-5502
+-5513
+-5524
+-5535
+-5545
+-5556
+-5567
+-5577
+-5588
+-5599
+-5609
+-5620
+-5630
+-5640
+-5651
+-5661
+-5671
+-5682
+-5692
+-5702
+-5712
+-5722
+-5732
+-5742
+-5752
+-5762
+-5772
+-5782
+-5791
+-5801
+-5811
+-5820
+-5830
+-5840
+-5849
+-5859
+-5868
+-5877
+-5887
+-5896
+-5905
+-5915
+-5924
+-5933
+-5942
+-5951
+-5960
+-5969
+-5978
+-5987
+-5995
+-6004
+-6013
+-6022
+-6030
+-6039
+-6047
+-6056
+-6064
+-6073
+-6081
+-6089
+-6097
+-6106
+-6114
+-6122
+-6130
+-6138
+-6146
+-6154
+-6162
+-6169
+-6177
+-6185
+-6193
+-6200
+-6208
+-6215
+-6223
+-6230
+-6238
+-6245
+-6252
+-6259
+-6266
+-6274
+-6281
+-6288
+-6295
+-6302
+-6308
+-6315
+-6322
+-6329
+-6335
+-6342
+-6348
+-6355
+-6361
+-6368
+-6374
+-6380
+-6387
+-6393
+-6399
+-6405
+-6411
+-6417
+-6423
+-6429
+-6434
+-6440
+-6446
+-6452
+-6457
+-6463
+-6468
+-6474
+-6479
+-6484
+-6489
+-6495
+-6500
+-6505
+-6510
+-6515
+-6520
+-6525
+-6529
+-6534
+-6539
+-6543
+-6548
+-6553
+-6557
+-6561
+-6566
+-6570
+-6574
+-6578
+-6583
+-6587
+-6591
+-6595
+-6598
+-6602
+-6606
+-6610
+-6613
+-6617
+-6621
+-6624
+-6627
+-6631
+-6634
+-6637
+-6640
+-6644
+-6647
+-6650
+-6652
+-6655
+-6658
+-6661
+-6664
+-6666
+-6669
+-6671
+-6674
+-6676
+-6678
+-6681
+-6683
+-6685
+-6687
+-6689
+-6691
+-6693
+-6695
+-6697
+-6698
+-6700
+-6701
+-6703
+-6704
+-6706
+-6707
+-6708
+-6710
+-6711
+-6712
+-6713
+-6714
+-6715
+-6715
+-6716
+-6717
+-6717
+-6718
+-6718
+-6719
+-6719
+-6720
+-6720
+-6720
+-6720
+-6720
+-6720
+-6720
+-6720
+-6719
+-6719
+-6719
+-6718
+-6718
+-6717
+-6717
+-6716
+-6715
+-6714
+-6714
+-6713
+-6712
+-6710
+-6709
+-6708
+-6707
+-6705
+-6704
+-6702
+-6701
+-6699
+-6698
+-6696
+-6694
+-6692
+-6690
+-6688
+-6686
+-6684
+-6681
+-6679
+-6677
+-6674
+-6672
+-6669
+-6666
+-6664
+-6661
+-6658
+-6655
+-6652
+-6649
+-6646
+-6643
+-6639
+-6636
+-6633
+-6629
+-6626
+-6622
+-6618
+-6614
+-6611
+-6607
+-6603
+-6599
+-6594
+-6590
+-6586
+-6582
+-6577
+-6573
+-6568
+-6563
+-6559
+-6554
+-6549
+-6544
+-6539
+-6534
+-6529
+-6524
+-6518
+-6513
+-6508
+-6502
+-6497
+-6491
+-6485
+-6479
+-6474
+-6468
+-6462
+-6456
+-6449
+-6443
+-6437
+-6431
+-6424
+-6418
+-6411
+-6404
+-6398
+-6391
+-6384
+-6377
+-6370
+-6363
+-6356
+-6348
+-6341
+-6334
+-6326
+-6319
+-6311
+-6303
+-6296
+-6288
+-6280
+-6272
+-6264
+-6256
+-6247
+-6239
+-6231
+-6222
+-6214
+-6205
+-6196
+-6188
+-6179
+-6170
+-6161
+-6152
+-6143
+-6134
+-6124
+-6115
+-6106
+-6096
+-6087
+-6077
+-6067
+-6057
+-6048
+-6038
+-6028
+-6018
+-6007
+-5997
+-5987
+-5976
+-5966
+-5955
+-5945
+-5934
+-5923
+-5912
+-5901
+-5890
+-5879
+-5868
+-5857
+-5846
+-5834
+-5823
+-5811
+-5800
+-5788
+-5776
+-5764
+-5752
+-5740
+-5728
+-5716
+-5704
+-5692
+-5679
+-5667
+-5654
+-5642
+-5629
+-5616
+-5603
+-5590
+-5577
+-5564
+-5551
+-5538
+-5525
+-5511
+-5498
+-5484
+-5471
+-5457
+-5443
+-5429
+-5415
+-5401
+-5387
+-5373
+-5359
+-5345
+-5330
+-5316
+-5301
+-5287
+-5272
+-5257
+-5242
+-5227
+-5212
+-5197
+-5182
+-5167
+-5152
+-5136
+-5121
+-5105
+-5090
+-5074
+-5058
+-5042
+-5026
+-5010
+-4994
+-4978
+-4962
+-4946
+-4929
+-4913
+-4896
+-4880
+-4863
+-4846
+-4829
+-4812
+-4795
+-4778
+-4761
+-4744
+-4727
+-4709
+-4692
+-4674
+-4656
+-4639
+-4621
+-4603
+-4585
+-4567
+-4549
+-4531
+-4513
+-4494
+-4476
+-4458
+-4439
+-4420
+-4402
+-4383
+-4364
+-4345
+-4326
+-4307
+-4288
+-4269
+-4249
+-4230
+-4210
+-4191
+-4171
+-4151
+-4132
+-4112
+-4092
+-4072
+-4052
+-4032
+-4011
+-3991
+-3971
+-3950
+-3930
+-3909
+-3888
+-3868
+-3847
+-3826
+-3805
+-3784
+-3763
+-3741
+-3720
+-3699
+-3677
+-3656
+-3634
+-3612
+-3591
+-3569
+-3547
+-3525
+-3503
+-3481
+-3458
+-3436
+-3414
+-3391
+-3369
+-3346
+-3324
+-3301
+-3278
+-3255
+-3232
+-3209
+-3186
+-3163
+-3139
+-3116
+-3093
+-3069
+-3046
+-3022
+-2998
+-2975
+-2951
+-2927
+-2903
+-2879
+-2854
+-2830
+-2806
+-2781
+-2757
+-2732
+-2708
+-2683
+-2658
+-2634
+-2609
+-2584
+-2559
+-2534
+-2508
+-2483
+-2458
+-2432
+-2407
+-2381
+-2356
+-2330
+-2304
+-2278
+-2252
+-2226
+-2200
+-2174
+-2148
+-2122
+-2095
+-2069
+-2042
+-2016
+-1989
+-1962
+-1936
+-1909
+-1882
+-1855
+-1828
+-1801
+-1773
+-1746
+-1719
+-1691
+-1664
+-1636
+-1609
+-1581
+-1553
+-1525
+-1497
+-1469
+-1441
+-1413
+-1385
+-1357
+-1328
+-1300
+-1271
+-1243
+-1214
+-1185
+-1157
+-1128
+-1099
+-1070
+-1041
+-1012
+-982
+-953
+-924
+-895
+-865
+-836
+-806
+-776
+-747
+-717
+-687
+-657
+-627
+-597
+-567
+-536
+-506
+-476
+-445
+-415
+-384
+-354
+-323
+-292
+-262
+-231
+-200
+-169
+-138
+-107
+-75
+-44
+-13
+19
+50
+82
+113
+145
+177
+208
+240
+272
+304
+336
+368
+401
+433
+465
+497
+530
+562
+595
+628
+660
+693
+726
+759
+792
+825
+858
+891
+924
+957
+991
+1024
+1057
+1091
+1124
+1158
+1192
+1226
+1259
+1293
+1327
+1361
+1395
+1429
+1463
+1498
+1532
+1566
+1601
+1635
+1670
+1704
+1739
+1774
+1808
+1843
+1878
+1913
+1948
+1983
+2018
+2053
+2089
+2124
+2159
+2195
+2230
+2266
+2301
+2337
+2372
+2408
+2444
+2480
+2516
+2552
+2588
+2624
+2660
+2696
+2732
+2769
+2805
+2841
+2878
+2914
+2951
+2987
+3024
+3061
+3098
+3134
+3171
+3208
+3245
+3282
+3319
+3356
+3394
+3431
+3468
+3506
+3543
+3580
+3618
+3655
+3693
+3731
+3768
+3806
+3844
+3882
+3920
+3958
+3996
+4034
+4072
+4110
+4148
+4187
+4225
+4263
+4302
+4340
+4379
+4417
+4456
+4494
+4533
+4572
+4611
+4649
+4688
+4727
+4766
+4805
+4844
+4883
+4922
+4962
+5001
+5040
+5079
+5119
+5158
+5198
+5237
+5277
+5316
+5356
+5396
+5435
+5475
+5515
+5555
+5595
+5635
+5675
+5715
+5755
+5795
+5835
+5875
+5915
+5956
+5996
+6036
+6077
+6117
+6157
+6198
+6239
+6279
+6320
+6360
+6401
+6442
+6483
+6523
+6564
+6605
+6646
+6687
+6728
+6769
+6810
+6851
+6892
+6934
+6975
+7016
+7057
+7099
+7140
+7181
+7223
+7264
+7306
+7347
+7389
+7431
+7472
+7514
+7556
+7597
+7639
+7681
+7723
+7765
+7806
+7848
+7890
+7932
+7974
+8016
+8058
+8101
+8143
+8185
+8227
+8269
+8312
+8354
+8396
+8439
+8481
+8523
+8566
+8608
+8651
+8693
+8736
+8778
+8821
+8864
+8906
+8949
+8992
+9034
+9077
+9120
+9163
+9206
+9248
+9291
+9334
+9377
+9420
+9463
+9506
+9549
+9592
+9635
+9678
+9721
+9765
+9808
+9851
+9894
+9937
+9981
+10024
+10067
+10110
+10154
+10197
+10240
+10284
+10327
+10371
+10414
+10458
+10501
+10545
+10588
+10632
+10675
+10719
+10762
+10806
+10850
+10893
+10937
+10981
+11024
+11068
+11112
+11155
+11199
+11243
+11287
+11331
+11374
+11418
+11462
+11506
+11550
+11594
+11638
+11681
+11725
+11769
+11813
+11857
+11901
+11945
+11989
+12033
+12077
+12121
+12165
+12209
+12253
+12297
+12341
+12385
+12429
+12474
+12518
+12562
+12606
+12650
+12694
+12738
+12782
+12827
+12871
+12915
+12959
+13003
+13047
+13092
+13136
+13180
+13224
+13268
+13313
+13357
+13401
+13445
+13489
+13534
+13578
+13622
+13666
+13711
+13755
+13799
+13843
+13888
+13932
+13976
+14020
+14065
+14109
+14153
+14197
+14242
+14286
+14330
+14374
+14418
+14463
+14507
+14551
+14595
+14640
+14684
+14728
+14772
+14816
+14861
+14905
+14949
+14993
+15037
+15082
+15126
+15170
+15214
+15258
+15302
+15347
+15391
+15435
+15479
+15523
+15567
+15611
+15655
+15699
+15744
+15788
+15832
+15876
+15920
+15964
+16008
+16052
+16096
+16140
+16184
+16228
+16272
+16316
+16359
+16403
+16447
+16491
+16535
+16579
+16623
+16667
+16710
+16754
+16798
+16842
+16886
+16929
+16973
+17017
+17060
+17104
+17148
+17191
+17235
+17279
+17322
+17366
+17409
+17453
+17496
+17540
+17583
+17627
+17670
+17714
+17757
+17801
+17844
+17887
+17931
+17974
+18017
+18060
+18104
+18147
+18190
+18233
+18276
+18320
+18363
+18406
+18449
+18492
+18535
+18578
+18621
+18664
+18707
+18750
+18792
+18835
+18878
+18921
+18964
+19006
+19049
+19092
+19134
+19177
+19220
+19262
+19305
+19347
+19390
+19432
+19475
+19517
+19559
+19602
+19644
+19686
+19728
+19771
+19813
+19855
+19897
+19939
+19981
+20023
+20065
+20107
+20149
+20191
+20233
+20275
+20316
+20358
+20400
+20442
+20483
+20525
+20566
+20608
+20649
+20691
+20732
+20774
+20815
+20856
+20898
+20939
+20980
+21021
+21062
+21104
+21145
+21186
+21227
+21268
+21308
+21349
+21390
+21431
+21472
+21512
+21553
+21594
+21634
+21675
+21715
+21756
+21796
+21836
+21877
+21917
+21957
+21997
+22038
+22078
+22118
+22158
+22198
+22238
+22278
+22317
+22357
+22397
+22437
+22476
+22516
+22555
+22595
+22634
+22674
+22713
+22752
+22792
+22831
+22870
+22909
+22948
+22987
+23026
+23065
+23104
+23143
+23182
+23221
+23259
+23298
+23336
+23375
+23413
+23452
+23490
+23529
+23567
+23605
+23643
+23681
+23719
+23757
+23795
+23833
+23871
+23909
+23947
+23984
+24022
+24059
+24097
+24134
+24172
+24209
+24246
+24284
+24321
+24358
+24395
+24432
+24469
+24506
+24543
+24579
+24616
+24653
+24689
+24726
+24762
+24799
+24835
+24871
+24908
+24944
+24980
+25016
+25052
+25088
+25124
+25160
+25195
+25231
+25267
+25302
+25338
+25373
+25408
+25444
+25479
+25514
+25549
+25584
+25619
+25654
+25689
+25724
+25759
+25793
+25828
+25862
+25897
+25931
+25966
+26000
+26034
+26068
+26102
+26136
+26170
+26204
+26238
+26272
+26305
+26339
+26372
+26406
+26439
+26472
+26506
+26539
+26572
+26605
+26638
+26671
+26704
+26736
+26769
+26802
+26834
+26867
+26899
+26931
+26964
+26996
+27028
+27060
+27092
+27124
+27156
+27187
+27219
+27251
+27282
+27314
+27345
+27376
+27408
+27439
+27470
+27501
+27532
+27563
+27593
+27624
+27655
+27685
+27716
+27746
+27776
+27807
+27837
+27867
+27897
+27927
+27957
+27986
+28016
+28046
+28075
+28105
+28134
+28163
+28193
+28222
+28251
+28280
+28309
+28337
+28366
+28395
+28423
+28452
+28480
+28509
+28537
+28565
+28593
+28621
+28649
+28677
+28705
+28732
+28760
+28787
+28815
+28842
+28869
+28897
+28924
+28951
+28978
+29005
+29031
+29058
+29085
+29111
+29137
+29164
+29190
+29216
+29242
+29268
+29294
+29320
+29346
+29371
+29397
+29422
+29448
+29473
+29498
+29523
+29549
+29573
+29598
+29623
+29648
+29672
+29697
+29721
+29746
+29770
+29794
+29818
+29842
+29866
+29890
+29914
+29937
+29961
+29984
+30007
+30031
+30054
+30077
+30100
+30123
+30146
+30168
+30191
+30214
+30236
+30258
+30281
+30303
+30325
+30347
+30369
+30391
+30412
+30434
+30455
+30477
+30498
+30519
+30541
+30562
+30583
+30603
+30624
+30645
+30666
+30686
+30706
+30727
+30747
+30767
+30787
+30807
+30827
+30847
+30866
+30886
+30905
+30925
+30944
+30963
+30982
+31001
+31020
+31039
+31057
+31076
+31094
+31113
+31131
+31149
+31167
+31185
+31203
+31221
+31239
+31256
+31274
+31291
+31309
+31326
+31343
+31360
+31377
+31394
+31411
+31427
+31444
+31460
+31477
+31493
+31509
+31525
+31541
+31557
+31573
+31588
+31604
+31619
+31635
+31650
+31665
+31680
+31695
+31710
+31724
+31739
+31754
+31768
+31782
+31797
+31811
+31825
+31839
+31853
+31866
+31880
+31894
+31907
+31920
+31934
+31947
+31960
+31973
+31985
+31998
+32011
+32023
+32036
+32048
+32060
+32072
+32084
+32096
+32108
+32120
+32131
+32143
+32154
+32165
+32177
+32188
+32199
+32210
+32220
+32231
+32242
+32252
+32262
+32273
+32283
+32293
+32303
+32313
+32322
+32332
+32341
+32351
+32360
+32369
+32379
+32388
+32396
+32405
+32414
+32422
+32431
+32439
+32448
+32456
+32464
+32472
+32480
+32487
+32495
+32503
+32510
+32517
+32525
+32532
+32539
+32546
+32552
+32559
+32566
+32572
+32579
+32585
+32591
+32597
+32603
+32609
+32615
+32620
+32626
+32631
+32636
+32642
+32647
+32652
+32657
+32661
+32666
+32671
+32675
+32680
+32684
+32688
+32692
+32696
+32700
+32704
+32707
+32711
+32714
+32717
+32721
+32724
+32727
+32730
+32732
+32735
+32738
+32740
+32742
+32745
+32747
+32749
+32751
+32752
+32754
+32756
+32757
+32759
+32760
+32761
+32762
+32763
+32764
+32765
+32765
+32766
+32766
+32767
+32767
+32767
+32767
+32767
+32767
+32766
+32766
+32765
+32765
+32764
+32763
+32762
+32761
+32760
+32759
+32757
+32756
+32754
+32752
+32751
+32749
+32747
+32745
+32742
+32740
+32738
+32735
+32732
+32730
+32727
+32724
+32721
+32717
+32714
+32711
+32707
+32704
+32700
+32696
+32692
+32688
+32684
+32680
+32675
+32671
+32666
+32661
+32657
+32652
+32647
+32642
+32636
+32631
+32626
+32620
+32615
+32609
+32603
+32597
+32591
+32585
+32579
+32572
+32566
+32559
+32552
+32546
+32539
+32532
+32525
+32517
+32510
+32503
+32495
+32487
+32480
+32472
+32464
+32456
+32448
+32439
+32431
+32422
+32414
+32405
+32396
+32388
+32379
+32369
+32360
+32351
+32341
+32332
+32322
+32313
+32303
+32293
+32283
+32273
+32262
+32252
+32242
+32231
+32220
+32210
+32199
+32188
+32177
+32165
+32154
+32143
+32131
+32120
+32108
+32096
+32084
+32072
+32060
+32048
+32036
+32023
+32011
+31998
+31985
+31973
+31960
+31947
+31934
+31920
+31907
+31894
+31880
+31866
+31853
+31839
+31825
+31811
+31797
+31782
+31768
+31754
+31739
+31724
+31710
+31695
+31680
+31665
+31650
+31635
+31619
+31604
+31588
+31573
+31557
+31541
+31525
+31509
+31493
+31477
+31460
+31444
+31427
+31411
+31394
+31377
+31360
+31343
+31326
+31309
+31291
+31274
+31256
+31239
+31221
+31203
+31185
+31167
+31149
+31131
+31113
+31094
+31076
+31057
+31039
+31020
+31001
+30982
+30963
+30944
+30925
+30905
+30886
+30866
+30847
+30827
+30807
+30787
+30767
+30747
+30727
+30706
+30686
+30666
+30645
+30624
+30603
+30583
+30562
+30541
+30519
+30498
+30477
+30455
+30434
+30412
+30391
+30369
+30347
+30325
+30303
+30281
+30258
+30236
+30214
+30191
+30168
+30146
+30123
+30100
+30077
+30054
+30031
+30007
+29984
+29961
+29937
+29914
+29890
+29866
+29842
+29818
+29794
+29770
+29746
+29721
+29697
+29672
+29648
+29623
+29598
+29573
+29549
+29523
+29498
+29473
+29448
+29422
+29397
+29371
+29346
+29320
+29294
+29268
+29242
+29216
+29190
+29164
+29137
+29111
+29085
+29058
+29031
+29005
+28978
+28951
+28924
+28897
+28869
+28842
+28815
+28787
+28760
+28732
+28705
+28677
+28649
+28621
+28593
+28565
+28537
+28509
+28480
+28452
+28423
+28395
+28366
+28337
+28309
+28280
+28251
+28222
+28193
+28163
+28134
+28105
+28075
+28046
+28016
+27986
+27957
+27927
+27897
+27867
+27837
+27807
+27776
+27746
+27716
+27685
+27655
+27624
+27593
+27563
+27532
+27501
+27470
+27439
+27408
+27376
+27345
+27314
+27282
+27251
+27219
+27187
+27156
+27124
+27092
+27060
+27028
+26996
+26964
+26931
+26899
+26867
+26834
+26802
+26769
+26736
+26704
+26671
+26638
+26605
+26572
+26539
+26506
+26472
+26439
+26406
+26372
+26339
+26305
+26272
+26238
+26204
+26170
+26136
+26102
+26068
+26034
+26000
+25966
+25931
+25897
+25862
+25828
+25793
+25759
+25724
+25689
+25654
+25619
+25584
+25549
+25514
+25479
+25444
+25408
+25373
+25338
+25302
+25267
+25231
+25195
+25160
+25124
+25088
+25052
+25016
+24980
+24944
+24908
+24871
+24835
+24799
+24762
+24726
+24689
+24653
+24616
+24579
+24543
+24506
+24469
+24432
+24395
+24358
+24321
+24284
+24246
+24209
+24172
+24134
+24097
+24059
+24022
+23984
+23947
+23909
+23871
+23833
+23795
+23757
+23719
+23681
+23643
+23605
+23567
+23529
+23490
+23452
+23413
+23375
+23336
+23298
+23259
+23221
+23182
+23143
+23104
+23065
+23026
+22987
+22948
+22909
+22870
+22831
+22792
+22752
+22713
+22674
+22634
+22595
+22555
+22516
+22476
+22437
+22397
+22357
+22317
+22278
+22238
+22198
+22158
+22118
+22078
+22038
+21997
+21957
+21917
+21877
+21836
+21796
+21756
+21715
+21675
+21634
+21594
+21553
+21512
+21472
+21431
+21390
+21349
+21308
+21268
+21227
+21186
+21145
+21104
+21062
+21021
+20980
+20939
+20898
+20856
+20815
+20774
+20732
+20691
+20649
+20608
+20566
+20525
+20483
+20442
+20400
+20358
+20316
+20275
+20233
+20191
+20149
+20107
+20065
+20023
+19981
+19939
+19897
+19855
+19813
+19771
+19728
+19686
+19644
+19602
+19559
+19517
+19475
+19432
+19390
+19347
+19305
+19262
+19220
+19177
+19134
+19092
+19049
+19006
+18964
+18921
+18878
+18835
+18792
+18750
+18707
+18664
+18621
+18578
+18535
+18492
+18449
+18406
+18363
+18320
+18276
+18233
+18190
+18147
+18104
+18060
+18017
+17974
+17931
+17887
+17844
+17801
+17757
+17714
+17670
+17627
+17583
+17540
+17496
+17453
+17409
+17366
+17322
+17279
+17235
+17191
+17148
+17104
+17060
+17017
+16973
+16929
+16886
+16842
+16798
+16754
+16710
+16667
+16623
+16579
+16535
+16491
+16447
+16403
+16359
+16316
+16272
+16228
+16184
+16140
+16096
+16052
+16008
+15964
+15920
+15876
+15832
+15788
+15744
+15699
+15655
+15611
+15567
+15523
+15479
+15435
+15391
+15347
+15302
+15258
+15214
+15170
+15126
+15082
+15037
+14993
+14949
+14905
+14861
+14816
+14772
+14728
+14684
+14640
+14595
+14551
+14507
+14463
+14418
+14374
+14330
+14286
+14242
+14197
+14153
+14109
+14065
+14020
+13976
+13932
+13888
+13843
+13799
+13755
+13711
+13666
+13622
+13578
+13534
+13489
+13445
+13401
+13357
+13313
+13268
+13224
+13180
+13136
+13092
+13047
+13003
+12959
+12915
+12871
+12827
+12782
+12738
+12694
+12650
+12606
+12562
+12518
+12474
+12429
+12385
+12341
+12297
+12253
+12209
+12165
+12121
+12077
+12033
+11989
+11945
+11901
+11857
+11813
+11769
+11725
+11681
+11638
+11594
+11550
+11506
+11462
+11418
+11374
+11331
+11287
+11243
+11199
+11155
+11112
+11068
+11024
+10981
+10937
+10893
+10850
+10806
+10762
+10719
+10675
+10632
+10588
+10545
+10501
+10458
+10414
+10371
+10327
+10284
+10240
+10197
+10154
+10110
+10067
+10024
+9981
+9937
+9894
+9851
+9808
+9765
+9721
+9678
+9635
+9592
+9549
+9506
+9463
+9420
+9377
+9334
+9291
+9248
+9206
+9163
+9120
+9077
+9034
+8992
+8949
+8906
+8864
+8821
+8778
+8736
+8693
+8651
+8608
+8566
+8523
+8481
+8439
+8396
+8354
+8312
+8269
+8227
+8185
+8143
+8101
+8058
+8016
+7974
+7932
+7890
+7848
+7806
+7765
+7723
+7681
+7639
+7597
+7556
+7514
+7472
+7431
+7389
+7347
+7306
+7264
+7223
+7181
+7140
+7099
+7057
+7016
+6975
+6934
+6892
+6851
+6810
+6769
+6728
+6687
+6646
+6605
+6564
+6523
+6483
+6442
+6401
+6360
+6320
+6279
+6239
+6198
+6157
+6117
+6077
+6036
+5996
+5956
+5915
+5875
+5835
+5795
+5755
+5715
+5675
+5635
+5595
+5555
+5515
+5475
+5435
+5396
+5356
+5316
+5277
+5237
+5198
+5158
+5119
+5079
+5040
+5001
+4962
+4922
+4883
+4844
+4805
+4766
+4727
+4688
+4649
+4611
+4572
+4533
+4494
+4456
+4417
+4379
+4340
+4302
+4263
+4225
+4187
+4148
+4110
+4072
+4034
+3996
+3958
+3920
+3882
+3844
+3806
+3768
+3731
+3693
+3655
+3618
+3580
+3543
+3506
+3468
+3431
+3394
+3356
+3319
+3282
+3245
+3208
+3171
+3134
+3098
+3061
+3024
+2987
+2951
+2914
+2878
+2841
+2805
+2769
+2732
+2696
+2660
+2624
+2588
+2552
+2516
+2480
+2444
+2408
+2372
+2337
+2301
+2266
+2230
+2195
+2159
+2124
+2089
+2053
+2018
+1983
+1948
+1913
+1878
+1843
+1808
+1774
+1739
+1704
+1670
+1635
+1601
+1566
+1532
+1498
+1463
+1429
+1395
+1361
+1327
+1293
+1259
+1226
+1192
+1158
+1124
+1091
+1057
+1024
+991
+957
+924
+891
+858
+825
+792
+759
+726
+693
+660
+628
+595
+562
+530
+497
+465
+433
+401
+368
+336
+304
+272
+240
+208
+177
+145
+113
+82
+50
+19
+-13
+-44
+-75
+-107
+-138
+-169
+-200
+-231
+-262
+-292
+-323
+-354
+-384
+-415
+-445
+-476
+-506
+-536
+-567
+-597
+-627
+-657
+-687
+-717
+-747
+-776
+-806
+-836
+-865
+-895
+-924
+-953
+-982
+-1012
+-1041
+-1070
+-1099
+-1128
+-1157
+-1185
+-1214
+-1243
+-1271
+-1300
+-1328
+-1357
+-1385
+-1413
+-1441
+-1469
+-1497
+-1525
+-1553
+-1581
+-1609
+-1636
+-1664
+-1691
+-1719
+-1746
+-1773
+-1801
+-1828
+-1855
+-1882
+-1909
+-1936
+-1962
+-1989
+-2016
+-2042
+-2069
+-2095
+-2122
+-2148
+-2174
+-2200
+-2226
+-2252
+-2278
+-2304
+-2330
+-2356
+-2381
+-2407
+-2432
+-2458
+-2483
+-2508
+-2534
+-2559
+-2584
+-2609
+-2634
+-2658
+-2683
+-2708
+-2732
+-2757
+-2781
+-2806
+-2830
+-2854
+-2879
+-2903
+-2927
+-2951
+-2975
+-2998
+-3022
+-3046
+-3069
+-3093
+-3116
+-3139
+-3163
+-3186
+-3209
+-3232
+-3255
+-3278
+-3301
+-3324
+-3346
+-3369
+-3391
+-3414
+-3436
+-3458
+-3481
+-3503
+-3525
+-3547
+-3569
+-3591
+-3612
+-3634
+-3656
+-3677
+-3699
+-3720
+-3741
+-3763
+-3784
+-3805
+-3826
+-3847
+-3868
+-3888
+-3909
+-3930
+-3950
+-3971
+-3991
+-4011
+-4032
+-4052
+-4072
+-4092
+-4112
+-4132
+-4151
+-4171
+-4191
+-4210
+-4230
+-4249
+-4269
+-4288
+-4307
+-4326
+-4345
+-4364
+-4383
+-4402
+-4420
+-4439
+-4458
+-4476
+-4494
+-4513
+-4531
+-4549
+-4567
+-4585
+-4603
+-4621
+-4639
+-4656
+-4674
+-4692
+-4709
+-4727
+-4744
+-4761
+-4778
+-4795
+-4812
+-4829
+-4846
+-4863
+-4880
+-4896
+-4913
+-4929
+-4946
+-4962
+-4978
+-4994
+-5010
+-5026
+-5042
+-5058
+-5074
+-5090
+-5105
+-5121
+-5136
+-5152
+-5167
+-5182
+-5197
+-5212
+-5227
+-5242
+-5257
+-5272
+-5287
+-5301
+-5316
+-5330
+-5345
+-5359
+-5373
+-5387
+-5401
+-5415
+-5429
+-5443
+-5457
+-5471
+-5484
+-5498
+-5511
+-5525
+-5538
+-5551
+-5564
+-5577
+-5590
+-5603
+-5616
+-5629
+-5642
+-5654
+-5667
+-5679
+-5692
+-5704
+-5716
+-5728
+-5740
+-5752
+-5764
+-5776
+-5788
+-5800
+-5811
+-5823
+-5834
+-5846
+-5857
+-5868
+-5879
+-5890
+-5901
+-5912
+-5923
+-5934
+-5945
+-5955
+-5966
+-5976
+-5987
+-5997
+-6007
+-6018
+-6028
+-6038
+-6048
+-6057
+-6067
+-6077
+-6087
+-6096
+-6106
+-6115
+-6124
+-6134
+-6143
+-6152
+-6161
+-6170
+-6179
+-6188
+-6196
+-6205
+-6214
+-6222
+-6231
+-6239
+-6247
+-6256
+-6264
+-6272
+-6280
+-6288
+-6296
+-6303
+-6311
+-6319
+-6326
+-6334
+-6341
+-6348
+-6356
+-6363
+-6370
+-6377
+-6384
+-6391
+-6398
+-6404
+-6411
+-6418
+-6424
+-6431
+-6437
+-6443
+-6449
+-6456
+-6462
+-6468
+-6474
+-6479
+-6485
+-6491
+-6497
+-6502
+-6508
+-6513
+-6518
+-6524
+-6529
+-6534
+-6539
+-6544
+-6549
+-6554
+-6559
+-6563
+-6568
+-6573
+-6577
+-6582
+-6586
+-6590
+-6594
+-6599
+-6603
+-6607
+-6611
+-6614
+-6618
+-6622
+-6626
+-6629
+-6633
+-6636
+-6639
+-6643
+-6646
+-6649
+-6652
+-6655
+-6658
+-6661
+-6664
+-6666
+-6669
+-6672
+-6674
+-6677
+-6679
+-6681
+-6684
+-6686
+-6688
+-6690
+-6692
+-6694
+-6696
+-6698
+-6699
+-6701
+-6702
+-6704
+-6705
+-6707
+-6708
+-6709
+-6710
+-6712
+-6713
+-6714
+-6714
+-6715
+-6716
+-6717
+-6717
+-6718
+-6718
+-6719
+-6719
+-6719
+-6720
+-6720
+-6720
+-6720
+-6720
+-6720
+-6720
+-6720
+-6719
+-6719
+-6718
+-6718
+-6717
+-6717
+-6716
+-6715
+-6715
+-6714
+-6713
+-6712
+-6711
+-6710
+-6708
+-6707
+-6706
+-6704
+-6703
+-6701
+-6700
+-6698
+-6697
+-6695
+-6693
+-6691
+-6689
+-6687
+-6685
+-6683
+-6681
+-6678
+-6676
+-6674
+-6671
+-6669
+-6666
+-6664
+-6661
+-6658
+-6655
+-6652
+-6650
+-6647
+-6644
+-6640
+-6637
+-6634
+-6631
+-6627
+-6624
+-6621
+-6617
+-6613
+-6610
+-6606
+-6602
+-6598
+-6595
+-6591
+-6587
+-6583
+-6578
+-6574
+-6570
+-6566
+-6561
+-6557
+-6553
+-6548
+-6543
+-6539
+-6534
+-6529
+-6525
+-6520
+-6515
+-6510
+-6505
+-6500
+-6495
+-6489
+-6484
+-6479
+-6474
+-6468
+-6463
+-6457
+-6452
+-6446
+-6440
+-6434
+-6429
+-6423
+-6417
+-6411
+-6405
+-6399
+-6393
+-6387
+-6380
+-6374
+-6368
+-6361
+-6355
+-6348
+-6342
+-6335
+-6329
+-6322
+-6315
+-6308
+-6302
+-6295
+-6288
+-6281
+-6274
+-6266
+-6259
+-6252
+-6245
+-6238
+-6230
+-6223
+-6215
+-6208
+-6200
+-6193
+-6185
+-6177
+-6169
+-6162
+-6154
+-6146
+-6138
+-6130
+-6122
+-6114
+-6106
+-6097
+-6089
+-6081
+-6073
+-6064
+-6056
+-6047
+-6039
+-6030
+-6022
+-6013
+-6004
+-5995
+-5987
+-5978
+-5969
+-5960
+-5951
+-5942
+-5933
+-5924
+-5915
+-5905
+-5896
+-5887
+-5877
+-5868
+-5859
+-5849
+-5840
+-5830
+-5820
+-5811
+-5801
+-5791
+-5782
+-5772
+-5762
+-5752
+-5742
+-5732
+-5722
+-5712
+-5702
+-5692
+-5682
+-5671
+-5661
+-5651
+-5640
+-5630
+-5620
+-5609
+-5599
+-5588
+-5577
+-5567
+-5556
+-5545
+-5535
+-5524
+-5513
+-5502
+-5491
+-5480
+-5469
+-5458
+-5447
+-5436
+-5425
+-5414
+-5403
+-5391
+-5380
+-5369
+-5357
+-5346
+-5334
+-5323
+-5312
+-5300
+-5288
+-5277
+-5265
+-5253
+-5242
+-5230
+-5218
+-5206
+-5195
+-5183
+-5171
+-5159
+-5147
+-5135
+-5123
+-5111
+-5098
+-5086
+-5074
+-5062
+-5050
+-5037
+-5025
+-5013
+-5000
+-4988
+-4975
+-4963
+-4950
+-4938
+-4925
+-4913
+-4900
+-4887
+-4875
+-4862
+-4849
+-4836
+-4824
+-4811
+-4798
+-4785
+-4772
+-4759
+-4746
+-4733
+-4720
+-4707
+-4694
+-4681
+-4668
+-4654
+-4641
+-4628
+-4615
+-4601
+-4588
+-4575
+-4561
+-4548
+-4534
+-4521
+-4507
+-4494
+-4480
+-4467
+-4453
+-4440
+-4426
+-4412
+-4399
+-4385
+-4371
+-4357
+-4344
+-4330
+-4316
+-4302
+-4288
+-4274
+-4261
+-4247
+-4233
+-4219
+-4205
+-4191
+-4176
+-4162
+-4148
+-4134
+-4120
+-4106
+-4092
+-4077
+-4063
+-4049
+-4035
+-4020
+-4006
+-3992
+-3977
+-3963
+-3949
+-3934
+-3920
+-3905
+-3891
+-3876
+-3862
+-3847
+-3833
+-3818
+-3804
+-3789
+-3775
+-3760
+-3745
+-3731
+-3716
+-3701
+-3686
+-3672
+-3657
+-3642
+-3627
+-3613
+-3598
+-3583
+-3568
+-3553
+-3538
+-3524
+-3509
+-3494
+-3479
+-3464
+-3449
+-3434
+-3419
+-3404
+-3389
+-3374
+-3359
+-3344
+-3329
+-3314
+-3298
+-3283
+-3268
+-3253
+-3238
+-3223
+-3208
+-3192
+-3177
+-3162
+-3147
+-3132
+-3116
+-3101
+-3086
+-3071
+-3055
+-3040
+-3025
+-3009
+-2994
+-2979
+-2963
+-2948
+-2933
+-2917
+-2902
+-2887
+-2871
+-2856
+-2840
+-2825
+-2810
+-2794
+-2779
+-2763
+-2748
+-2732
+-2717
+-2701
+-2686
+-2670
+-2655
+-2639
+-2624
+-2608
+-2593
+-2577
+-2562
+-2546
+-2531
+-2515
+-2500
+-2484
+-2469
+-2453
+-2438
+-2422
+-2406
+-2391
+-2375
+-2360
+-2344
+-2328
+-2313
+-2297
+-2282
+-2266
+-2251
+-2235
+-2219
+-2204
+-2188
+-2172
+-2157
+-2141
+-2126
+-2110
+-2094
+-2079
+-2063
+-2048
+-2032
+-2016
+-2001
+-1985
+-1969
+-1954
+-1938
+-1923
+-1907
+-1891
+-1876
+-1860
+-1845
+-1829
+-1813
+-1798
+-1782
+-1767
+-1751
+-1735
+-1720
+-1704
+-1689
+-1673
+-1657
+-1642
+-1626
+-1611
+-1595
+-1580
+-1564
+-1548
+-1533
+-1517
+-1502
+-1486
+-1471
+-1455
+-1440
+-1424
+-1409
+-1393
+-1378
+-1362
+-1347
+-1331
+-1316
+-1300
+-1285
+-1269
+-1254
+-1238
+-1223
+-1208
+-1192
+-1177
+-1161
+-1146
+-1131
+-1115
+-1100
+-1084
+-1069
+-1054
+-1038
+-1023
+-1008
+-992
+-977
+-962
+-946
+-931
+-916
+-901
+-885
+-870
+-855
+-840
+-825
+-809
+-794
+-779
+-764
+-749
+-734
+-718
+-703
+-688
+-673
+-658
+-643
+-628
+-613
+-598
+-583
+-568
+-553
+-538
+-523
+-508
+-493
+-478
+-463
+-448
+-433
+-418
+-403
+-389
+-374
+-359
+-344
+-329
+-315
+-300
+-285
+-270
+-256
+-241
+-226
+-212
+-197
+-182
+-168
+-153
+-138
+-124
+-109
+-95
+-80
+-66
+-51
+-37
+-22
+-8
+7
+21
+35
+50
+64
+78
+93
+107
+121
+136
+150
+164
+178
+193
+207
+221
+235
+249
+263
+277
+291
+305
+319
+333
+347
+361
+375
+389
+403
+417
+431
+445
+458
+472
+486
+500
+514
+527
+541
+555
+568
+582
+595
+609
+623
+636
+650
+663
+677
+690
+703
+717
+730
+744
+757
+770
+784
+797
+810
+823
+836
+850
+863
+876
+889
+902
+915
+928
+941
+954
+967
+980
+993
+1006
+1019
+1031
+1044
+1057
+1070
+1083
+1095
+1108
+1121
+1133
+1146
+1158
+1171
+1183
+1196
+1208
+1221
+1233
+1246
+1258
+1270
+1283
+1295
+1307
+1319
+1332
+1344
+1356
+1368
+1380
+1392
+1404
+1416
+1428
+1440
+1452
+1464
+1476
+1488
+1500
+1511
+1523
+1535
+1547
+1558
+1570
+1582
+1593
+1605
+1616
+1628
+1639
+1651
+1662
+1673
+1685
+1696
+1707
+1719
+1730
+1741
+1752
+1763
+1775
+1786
+1797
+1808
+1819
+1830
+1841
+1852
+1862
+1873
+1884
+1895
+1906
+1916
+1927
+1938
+1948
+1959
+1970
+1980
+1991
+2001
+2011
+2022
+2032
+2043
+2053
+2063
+2073
+2084
+2094
+2104
+2114
+2124
+2134
+2144
+2154
+2164
+2174
+2184
+2194
+2204
+2214
+2223
+2233
+2243
+2253
+2262
+2272
+2281
+2291
+2300
+2310
+2319
+2329
+2338
+2347
+2357
+2366
+2375
+2384
+2394
+2403
+2412
+2421
+2430
+2439
+2448
+2457
+2466
+2475
+2484
+2492
+2501
+2510
+2519
+2527
+2536
+2544
+2553
+2562
+2570
+2579
+2587
+2595
+2604
+2612
+2620
+2629
+2637
+2645
+2653
+2661
+2669
+2677
+2685
+2693
+2701
+2709
+2717
+2725
+2733
+2740
+2748
+2756
+2763
+2771
+2779
+2786
+2794
+2801
+2809
+2816
+2823
+2831
+2838
+2845
+2853
+2860
+2867
+2874
+2881
+2888
+2895
+2902
+2909
+2916
+2923
+2930
+2937
+2943
+2950
+2957
+2963
+2970
+2977
+2983
+2990
+2996
+3003
+3009
+3015
+3022
+3028
+3034
+3040
+3047
+3053
+3059
+3065
+3071
+3077
+3083
+3089
+3095
+3101
+3107
+3112
+3118
+3124
+3129
+3135
+3141
+3146
+3152
+3157
+3163
+3168
+3174
+3179
+3184
+3189
+3195
+3200
+3205
+3210
+3215
+3220
+3225
+3230
+3235
+3240
+3245
+3250
+3255
+3259
+3264
+3269
+3273
+3278
+3283
+3287
+3292
+3296
+3301
+3305
+3309
+3314
+3318
+3322
+3326
+3331
+3335
+3339
+3343
+3347
+3351
+3355
+3359
+3363
+3366
+3370
+3374
+3378
+3381
+3385
+3389
+3392
+3396
+3399
+3403
+3406
+3410
+3413
+3416
+3420
+3423
+3426
+3429
+3432
+3435
+3438
+3442
+3445
+3447
+3450
+3453
+3456
+3459
+3462
+3464
+3467
+3470
+3472
+3475
+3478
+3480
+3483
+3485
+3487
+3490
+3492
+3494
+3497
+3499
+3501
+3503
+3505
+3508
+3510
+3512
+3514
+3515
+3517
+3519
+3521
+3523
+3525
+3526
+3528
+3530
+3531
+3533
+3534
+3536
+3537
+3539
+3540
+3542
+3543
+3544
+3545
+3547
+3548
+3549
+3550
+3551
+3552
+3553
+3554
+3555
+3556
+3557
+3558
+3559
+3559
+3560
+3561
+3561
+3562
+3563
+3563
+3564
+3564
+3565
+3565
+3565
+3566
+3566
+3566
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3566
+3566
+3566
+3566
+3565
+3565
+3564
+3564
+3563
+3563
+3562
+3562
+3561
+3561
+3560
+3559
+3558
+3558
+3557
+3556
+3555
+3554
+3553
+3552
+3551
+3550
+3549
+3548
+3547
+3545
+3544
+3543
+3542
+3540
+3539
+3538
+3536
+3535
+3533
+3532
+3530
+3529
+3527
+3525
+3524
+3522
+3520
+3518
+3517
+3515
+3513
+3511
+3509
+3507
+3505
+3503
+3501
+3499
+3497
+3495
+3492
+3490
+3488
+3486
+3483
+3481
+3479
+3476
+3474
+3471
+3469
+3466
+3464
+3461
+3458
+3456
+3453
+3450
+3448
+3445
+3442
+3439
+3436
+3433
+3430
+3428
+3425
+3422
+3418
+3415
+3412
+3409
+3406
+3403
+3400
+3396
+3393
+3390
+3386
+3383
+3380
+3376
+3373
+3369
+3366
+3362
+3358
+3355
+3351
+3348
+3344
+3340
+3336
+3333
+3329
+3325
+3321
+3317
+3313
+3309
+3305
+3301
+3297
+3293
+3289
+3285
+3281
+3277
+3273
+3268
+3264
+3260
+3256
+3251
+3247
+3242
+3238
+3234
+3229
+3225
+3220
+3216
+3211
+3206
+3202
+3197
+3192
+3188
+3183
+3178
+3173
+3169
+3164
+3159
+3154
+3149
+3144
+3139
+3134
+3129
+3124
+3119
+3114
+3109
+3104
+3099
+3094
+3088
+3083
+3078
+3073
+3067
+3062
+3057
+3051
+3046
+3040
+3035
+3029
+3024
+3018
+3013
+3007
+3002
+2996
+2990
+2985
+2979
+2973
+2968
+2962
+2956
+2950
+2945
+2939
+2933
+2927
+2921
+2915
+2909
+2903
+2897
+2891
+2885
+2879
+2873
+2867
+2861
+2855
+2848
+2842
+2836
+2830
+2823
+2817
+2811
+2805
+2798
+2792
+2786
+2779
+2773
+2766
+2760
+2753
+2747
+2740
+2734
+2727
+2721
+2714
+2707
+2701
+2694
+2687
+2681
+2674
+2667
+2661
+2654
+2647
+2640
+2633
+2626
+2620
+2613
+2606
+2599
+2592
+2585
+2578
+2571
+2564
+2557
+2550
+2543
+2536
+2529
+2522
+2514
+2507
+2500
+2493
+2486
+2479
+2471
+2464
+2457
+2450
+2442
+2435
+2428
+2420
+2413
+2406
+2398
+2391
+2383
+2376
+2368
+2361
+2353
+2346
+2338
+2331
+2323
+2316
+2308
+2301
+2293
+2285
+2278
+2270
+2262
+2255
+2247
+2239
+2232
+2224
+2216
+2208
+2201
+2193
+2185
+2177
+2169
+2162
+2154
+2146
+2138
+2130
+2122
+2114
+2106
+2098
+2090
+2082
+2074
+2066
+2058
+2050
+2042
+2034
+2026
+2018
+2010
+2002
+1994
+1986
+1978
+1970
+1962
+1953
+1945
+1937
+1929
+1921
+1912
+1904
+1896
+1888
+1880
+1871
+1863
+1855
+1846
+1838
+1830
+1822
+1813
+1805
+1797
+1788
+1780
+1772
+1763
+1755
+1746
+1738
+1730
+1721
+1713
+1704
+1696
+1687
+1679
+1670
+1662
+1654
+1645
+1637
+1628
+1620
+1611
+1602
+1594
+1585
+1577
+1568
+1560
+1551
+1543
+1534
+1525
+1517
+1508
+1500
+1491
+1482
+1474
+1465
+1457
+1448
+1439
+1431
+1422
+1413
+1405
+1396
+1387
+1379
+1370
+1361
+1353
+1344
+1335
+1326
+1318
+1309
+1300
+1292
+1283
+1274
+1265
+1257
+1248
+1239
+1230
+1222
+1213
+1204
+1195
+1187
+1178
+1169
+1160
+1152
+1143
+1134
+1125
+1116
+1108
+1099
+1090
+1081
+1072
+1064
+1055
+1046
+1037
+1028
+1020
+1011
+1002
+993
+984
+976
+967
+958
+949
+940
+932
+923
+914
+905
+896
+888
+879
+870
+861
+852
+843
+835
+826
+817
+808
+799
+791
+782
+773
+764
+755
+747
+738
+729
+720
+711
+703
+694
+685
+676
+667
+659
+650
+641
+632
+624
+615
+606
+597
+588
+580
+571
+562
+553
+545
+536
+527
+518
+510
+501
+492
+484
+475
+466
+457
+449
+440
+431
+423
+414
+405
+396
+388
+379
+370
+362
+353
+344
+336
+327
+319
+310
+301
+293
+284
+275
+267
+258
+250
+241
+232
+224
+215
+207
+198
+190
+181
+173
+164
+155
+147
+138
+130
+121
+113
+104
+96
+87
+79
+71
+62
+54
+45
+37
+28
+20
+12
+3
+-5
+-14
+-22
+-30
+-39
+-47
+-55
+-64
+-72
+-80
+-89
+-97
+-105
+-114
+-122
+-130
+-138
+-147
+-155
+-163
+-171
+-179
+-188
+-196
+-204
+-212
+-220
+-228
+-237
+-245
+-253
+-261
+-269
+-277
+-285
+-293
+-301
+-309
+-317
+-325
+-333
+-341
+-349
+-357
+-365
+-373
+-381
+-389
+-397
+-405
+-413
+-421
+-429
+-437
+-444
+-452
+-460
+-468
+-476
+-484
+-491
+-499
+-507
+-515
+-522
+-530
+-538
+-545
+-553
+-561
+-568
+-576
+-584
+-591
+-599
+-607
+-614
+-622
+-629
+-637
+-644
+-652
+-659
+-667
+-674
+-682
+-689
+-697
+-704
+-712
+-719
+-726
+-734
+-741
+-748
+-756
+-763
+-770
+-778
+-785
+-792
+-799
+-807
+-814
+-821
+-828
+-835
+-842
+-850
+-857
+-864
+-871
+-878
+-885
+-892
+-899
+-906
+-913
+-920
+-927
+-934
+-941
+-948
+-955
+-962
+-969
+-975
+-982
+-989
+-996
+-1003
+-1010
+-1016
+-1023
+-1030
+-1037
+-1043
+-1050
+-1057
+-1063
+-1070
+-1076
+-1083
+-1090
+-1096
+-1103
+-1109
+-1116
+-1122
+-1129
+-1135
+-1142
+-1148
+-1155
+-1161
+-1167
+-1174
+-1180
+-1186
+-1193
+-1199
+-1205
+-1212
+-1218
+-1224
+-1230
+-1237
+-1243
+-1249
+-1255
+-1261
+-1267
+-1273
+-1279
+-1285
+-1291
+-1298
+-1304
+-1309
+-1315
+-1321
+-1327
+-1333
+-1339
+-1345
+-1351
+-1357
+-1362
+-1368
+-1374
+-1380
+-1386
+-1391
+-1397
+-1403
+-1408
+-1414
+-1420
+-1425
+-1431
+-1436
+-1442
+-1448
+-1453
+-1459
+-1464
+-1469
+-1475
+-1480
+-1486
+-1491
+-1496
+-1502
+-1507
+-1512
+-1518
+-1523
+-1528
+-1534
+-1539
+-1544
+-1549
+-1554
+-1559
+-1564
+-1570
+-1575
+-1580
+-1585
+-1590
+-1595
+-1600
+-1605
+-1610
+-1615
+-1619
+-1624
+-1629
+-1634
+-1639
+-1644
+-1648
+-1653
+-1658
+-1663
+-1667
+-1672
+-1677
+-1681
+-1686
+-1690
+-1695
+-1700
+-1704
+-1709
+-1713
+-1718
+-1722
+-1726
+-1731
+-1735
+-1740
+-1744
+-1748
+-1753
+-1757
+-1761
+-1765
+-1770
+-1774
+-1778
+-1782
+-1786
+-1790
+-1794
+-1799
+-1803
+-1807
+-1811
+-1815
+-1819
+-1823
+-1827
+-1830
+-1834
+-1838
+-1842
+-1846
+-1850
+-1853
+-1857
+-1861
+-1865
+-1868
+-1872
+-1876
+-1879
+-1883
+-1887
+-1890
+-1894
+-1897
+-1901
+-1904
+-1908
+-1911
+-1915
+-1918
+-1921
+-1925
+-1928
+-1931
+-1935
+-1938
+-1941
+-1944
+-1948
+-1951
+-1954
+-1957
+-1960
+-1963
+-1966
+-1969
+-1972
+-1975
+-1978
+-1981
+-1984
+-1987
+-1990
+-1993
+-1996
+-1999
+-2002
+-2004
+-2007
+-2010
+-2013
+-2015
+-2018
+-2021
+-2023
+-2026
+-2029
+-2031
+-2034
+-2036
+-2039
+-2041
+-2044
+-2046
+-2049
+-2051
+-2054
+-2056
+-2058
+-2061
+-2063
+-2065
+-2067
+-2070
+-2072
+-2074
+-2076
+-2078
+-2081
+-2083
+-2085
+-2087
+-2089
+-2091
+-2093
+-2095
+-2097
+-2099
+-2101
+-2102
+-2104
+-2106
+-2108
+-2110
+-2112
+-2113
+-2115
+-2117
+-2119
+-2120
+-2122
+-2123
+-2125
+-2127
+-2128
+-2130
+-2131
+-2133
+-2134
+-2136
+-2137
+-2139
+-2140
+-2141
+-2143
+-2144
+-2145
+-2147
+-2148
+-2149
+-2150
+-2152
+-2153
+-2154
+-2155
+-2156
+-2157
+-2158
+-2159
+-2160
+-2161
+-2162
+-2163
+-2164
+-2165
+-2166
+-2167
+-2168
+-2169
+-2169
+-2170
+-2171
+-2172
+-2173
+-2173
+-2174
+-2175
+-2175
+-2176
+-2176
+-2177
+-2178
+-2178
+-2179
+-2179
+-2180
+-2180
+-2180
+-2181
+-2181
+-2182
+-2182
+-2182
+-2183
+-2183
+-2183
+-2183
+-2184
+-2184
+-2184
+-2184
+-2184
+-2184
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2184
+-2184
+-2184
+-2184
+-2184
+-2184
+-2183
+-2183
+-2183
+-2183
+-2182
+-2182
+-2182
+-2181
+-2181
+-2180
+-2180
+-2179
+-2179
+-2178
+-2178
+-2177
+-2177
+-2176
+-2176
+-2175
+-2174
+-2174
+-2173
+-2172
+-2172
+-2171
+-2170
+-2169
+-2169
+-2168
+-2167
+-2166
+-2165
+-2164
+-2163
+-2163
+-2162
+-2161
+-2160
+-2159
+-2158
+-2157
+-2155
+-2154
+-2153
+-2152
+-2151
+-2150
+-2149
+-2147
+-2146
+-2145
+-2144
+-2142
+-2141
+-2140
+-2138
+-2137
+-2136
+-2134
+-2133
+-2131
+-2130
+-2129
+-2127
+-2126
+-2124
+-2123
+-2121
+-2119
+-2118
+-2116
+-2115
+-2113
+-2111
+-2109
+-2108
+-2106
+-2104
+-2103
+-2101
+-2099
+-2097
+-2095
+-2093
+-2092
+-2090
+-2088
+-2086
+-2084
+-2082
+-2080
+-2078
+-2076
+-2074
+-2072
+-2070
+-2068
+-2066
+-2063
+-2061
+-2059
+-2057
+-2055
+-2053
+-2050
+-2048
+-2046
+-2044
+-2041
+-2039
+-2037
+-2034
+-2032
+-2029
+-2027
+-2025
+-2022
+-2020
+-2017
+-2015
+-2012
+-2010
+-2007
+-2005
+-2002
+-2000
+-1997
+-1994
+-1992
+-1989
+-1986
+-1984
+-1981
+-1978
+-1976
+-1973
+-1970
+-1967
+-1964
+-1962
+-1959
+-1956
+-1953
+-1950
+-1947
+-1944
+-1942
+-1939
+-1936
+-1933
+-1930
+-1927
+-1924
+-1921
+-1918
+-1915
+-1911
+-1908
+-1905
+-1902
+-1899
+-1896
+-1893
+-1889
+-1886
+-1883
+-1880
+-1877
+-1873
+-1870
+-1867
+-1863
+-1860
+-1857
+-1853
+-1850
+-1847
+-1843
+-1840
+-1837
+-1833
+-1830
+-1826
+-1823
+-1819
+-1816
+-1812
+-1809
+-1805
+-1802
+-1798
+-1794
+-1791
+-1787
+-1784
+-1780
+-1776
+-1773
+-1769
+-1765
+-1762
+-1758
+-1754
+-1750
+-1747
+-1743
+-1739
+-1735
+-1731
+-1728
+-1724
+-1720
+-1716
+-1712
+-1708
+-1704
+-1701
+-1697
+-1693
+-1689
+-1685
+-1681
+-1677
+-1673
+-1669
+-1665
+-1661
+-1657
+-1653
+-1649
+-1644
+-1640
+-1636
+-1632
+-1628
+-1624
+-1620
+-1616
+-1611
+-1607
+-1603
+-1599
+-1595
+-1590
+-1586
+-1582
+-1578
+-1573
+-1569
+-1565
+-1560
+-1556
+-1552
+-1547
+-1543
+-1539
+-1534
+-1530
+-1526
+-1521
+-1517
+-1512
+-1508
+-1503
+-1499
+-1494
+-1490
+-1486
+-1481
+-1477
+-1472
+-1467
+-1463
+-1458
+-1454
+-1449
+-1445
+-1440
+-1436
+-1431
+-1426
+-1422
+-1417
+-1412
+-1408
+-1403
+-1398
+-1394
+-1389
+-1384
+-1380
+-1375
+-1370
+-1366
+-1361
+-1356
+-1351
+-1347
+-1342
+-1337
+-1332
+-1327
+-1323
+-1318
+-1313
+-1308
+-1303
+-1299
+-1294
+-1289
+-1284
+-1279
+-1274
+-1269
+-1264
+-1259
+-1255
+-1250
+-1245
+-1240
+-1235
+-1230
+-1225
+-1220
+-1215
+-1210
+-1205
+-1200
+-1195
+-1190
+-1185
+-1180
+-1175
+-1170
+-1165
+-1160
+-1155
+-1150
+-1145
+-1140
+-1135
+-1130
+-1124
+-1119
+-1114
+-1109
+-1104
+-1099
+-1094
+-1089
+-1084
+-1078
+-1073
+-1068
+-1063
+-1058
+-1053
+-1047
+-1042
+-1037
+-1032
+-1027
+-1021
+-1016
+-1011
+-1006
+-1001
+-995
+-990
+-985
+-980
+-974
+-969
+-964
+-959
+-953
+-948
+-943
+-938
+-932
+-927
+-922
+-917
+-911
+-906
+-901
+-895
+-890
+-885
+-879
+-874
+-869
+-863
+-858
+-853
+-847
+-842
+-837
+-831
+-826
+-821
+-815
+-810
+-805
+-799
+-794
+-789
+-783
+-778
+-773
+-767
+-762
+-756
+-751
+-746
+-740
+-735
+-729
+-724
+-719
+-713
+-708
+-702
+-697
+-692
+-686
+-681
+-675
+-670
+-665
+-659
+-654
+-648
+-643
+-637
+-632
+-627
+-621
+-616
+-610
+-605
+-600
+-594
+-589
+-583
+-578
+-572
+-567
+-562
+-556
+-551
+-545
+-540
+-534
+-529
+-523
+-518
+-513
+-507
+-502
+-496
+-491
+-485
+-480
+-475
+-469
+-464
+-458
+-453
+-447
+-442
+-437
+-431
+-426
+-420
+-415
+-409
+-404
+-399
+-393
+-388
+-382
+-377
+-371
+-366
+-361
+-355
+-350
+-344
+-339
+-334
+-328
+-323
+-317
+-312
+-307
+-301
+-296
+-290
+-285
+-280
+-274
+-269
+-263
+-258
+-253
+-247
+-242
+-236
+-231
+-226
+-220
+-215
+-210
+-204
+-199
+-194
+-188
+-183
+-178
+-172
+-167
+-162
+-156
+-151
+-146
+-140
+-135
+-130
+-124
+-119
+-114
+-108
+-103
+-98
+-93
+-87
+-82
+-77
+-71
+-66
+-61
+-56
+-50
+-45
+-40
+-35
+-29
+-24
+-19
+-14
+-8
+-3
+2
+7
+12
+18
+23
+28
+33
+38
+44
+49
+54
+59
+64
+69
+75
+80
+85
+90
+95
+100
+105
+110
+116
+121
+126
+131
+136
+141
+146
+151
+156
+161
+166
+171
+176
+181
+186
+191
+196
+201
+206
+211
+216
+221
+226
+231
+236
+241
+246
+251
+256
+261
+266
+271
+276
+281
+286
+291
+295
+300
+305
+310
+315
+320
+325
+329
+334
+339
+344
+349
+353
+358
+363
+368
+373
+377
+382
+387
+392
+396
+401
+406
+410
+415
+420
+424
+429
+434
+438
+443
+448
+452
+457
+462
+466
+471
+475
+480
+485
+489
+494
+498
+503
+507
+512
+516
+521
+525
+530
+534
+539
+543
+548
+552
+557
+561
+566
+570
+574
+579
+583
+588
+592
+596
+601
+605
+609
+614
+618
+622
+626
+631
+635
+639
+644
+648
+652
+656
+660
+665
+669
+673
+677
+681
+686
+690
+694
+698
+702
+706
+710
+714
+718
+723
+727
+731
+735
+739
+743
+747
+751
+755
+759
+763
+767
+771
+774
+778
+782
+786
+790
+794
+798
+802
+806
+809
+813
+817
+821
+825
+828
+832
+836
+840
+843
+847
+851
+855
+858
+862
+866
+869
+873
+877
+880
+884
+887
+891
+895
+898
+902
+905
+909
+912
+916
+919
+923
+926
+930
+933
+937
+940
+944
+947
+951
+954
+957
+961
+964
+967
+971
+974
+977
+981
+984
+987
+990
+994
+997
+1000
+1003
+1007
+1010
+1013
+1016
+1019
+1022
+1026
+1029
+1032
+1035
+1038
+1041
+1044
+1047
+1050
+1053
+1056
+1059
+1062
+1065
+1068
+1071
+1074
+1077
+1080
+1083
+1086
+1089
+1091
+1094
+1097
+1100
+1103
+1106
+1108
+1111
+1114
+1117
+1119
+1122
+1125
+1128
+1130
+1133
+1136
+1138
+1141
+1144
+1146
+1149
+1151
+1154
+1156
+1159
+1162
+1164
+1167
+1169
+1172
+1174
+1177
+1179
+1181
+1184
+1186
+1189
+1191
+1193
+1196
+1198
+1200
+1203
+1205
+1207
+1210
+1212
+1214
+1216
+1219
+1221
+1223
+1225
+1227
+1230
+1232
+1234
+1236
+1238
+1240
+1242
+1244
+1247
+1249
+1251
+1253
+1255
+1257
+1259
+1261
+1263
+1264
+1266
+1268
+1270
+1272
+1274
+1276
+1278
+1280
+1281
+1283
+1285
+1287
+1289
+1290
+1292
+1294
+1296
+1297
+1299
+1301
+1302
+1304
+1306
+1307
+1309
+1310
+1312
+1314
+1315
+1317
+1318
+1320
+1321
+1323
+1324
+1326
+1327
+1329
+1330
+1332
+1333
+1334
+1336
+1337
+1338
+1340
+1341
+1342
+1344
+1345
+1346
+1348
+1349
+1350
+1351
+1352
+1354
+1355
+1356
+1357
+1358
+1359
+1361
+1362
+1363
+1364
+1365
+1366
+1367
+1368
+1369
+1370
+1371
+1372
+1373
+1374
+1375
+1376
+1377
+1377
+1378
+1379
+1380
+1381
+1382
+1383
+1383
+1384
+1385
+1386
+1386
+1387
+1388
+1389
+1389
+1390
+1391
+1391
+1392
+1393
+1393
+1394
+1394
+1395
+1395
+1396
+1397
+1397
+1398
+1398
+1399
+1399
+1400
+1400
+1400
+1401
+1401
+1402
+1402
+1402
+1403
+1403
+1403
+1404
+1404
+1404
+1405
+1405
+1405
+1405
+1406
+1406
+1406
+1406
+1406
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1408
+1408
+1408
+1408
+1408
+1408
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1406
+1406
+1406
+1406
+1406
+1405
+1405
+1405
+1405
+1404
+1404
+1404
+1404
+1403
+1403
+1403
+1402
+1402
+1401
+1401
+1401
+1400
+1400
+1399
+1399
+1398
+1398
+1397
+1397
+1396
+1396
+1395
+1395
+1394
+1394
+1393
+1392
+1392
+1391
+1391
+1390
+1389
+1389
+1388
+1387
+1387
+1386
+1385
+1384
+1384
+1383
+1382
+1381
+1380
+1380
+1379
+1378
+1377
+1376
+1375
+1375
+1374
+1373
+1372
+1371
+1370
+1369
+1368
+1367
+1366
+1365
+1364
+1363
+1362
+1361
+1360
+1359
+1358
+1357
+1356
+1355
+1353
+1352
+1351
+1350
+1349
+1348
+1346
+1345
+1344
+1343
+1342
+1340
+1339
+1338
+1337
+1335
+1334
+1333
+1331
+1330
+1329
+1327
+1326
+1325
+1323
+1322
+1321
+1319
+1318
+1316
+1315
+1313
+1312
+1311
+1309
+1308
+1306
+1305
+1303
+1301
+1300
+1298
+1297
+1295
+1294
+1292
+1290
+1289
+1287
+1286
+1284
+1282
+1281
+1279
+1277
+1276
+1274
+1272
+1270
+1269
+1267
+1265
+1263
+1262
+1260
+1258
+1256
+1255
+1253
+1251
+1249
+1247
+1245
+1243
+1242
+1240
+1238
+1236
+1234
+1232
+1230
+1228
+1226
+1224
+1222
+1220
+1218
+1216
+1214
+1212
+1210
+1208
+1206
+1204
+1202
+1200
+1198
+1196
+1194
+1192
+1190
+1188
+1185
+1183
+1181
+1179
+1177
+1175
+1173
+1170
+1168
+1166
+1164
+1161
+1159
+1157
+1155
+1153
+1150
+1148
+1146
+1143
+1141
+1139
+1137
+1134
+1132
+1130
+1127
+1125
+1123
+1120
+1118
+1115
+1113
+1111
+1108
+1106
+1103
+1101
+1099
+1096
+1094
+1091
+1089
+1086
+1084
+1081
+1079
+1076
+1074
+1071
+1069
+1066
+1064
+1061
+1059
+1056
+1053
+1051
+1048
+1046
+1043
+1041
+1038
+1035
+1033
+1030
+1027
+1025
+1022
+1020
+1017
+1014
+1012
+1009
+1006
+1003
+1001
+998
+995
+993
+990
+987
+984
+982
+979
+976
+973
+971
+968
+965
+962
+960
+957
+954
+951
+948
+945
+943
+940
+937
+934
+931
+928
+926
+923
+920
+917
+914
+911
+908
+905
+902
+900
+897
+894
+891
+888
+885
+882
+879
+876
+873
+870
+867
+864
+861
+858
+855
+852
+849
+846
+843
+840
+837
+834
+831
+828
+825
+822
+819
+816
+813
+810
+807
+804
+801
+798
+795
+791
+788
+785
+782
+779
+776
+773
+770
+767
+763
+760
+757
+754
+751
+748
+745
+742
+738
+735
+732
+729
+726
+723
+719
+716
+713
+710
+707
+703
+700
+697
+694
+691
+687
+684
+681
+678
+675
+671
+668
+665
+662
+658
+655
+652
+649
+646
+642
+639
+636
+632
+629
+626
+623
+619
+616
+613
+610
+606
+603
+600
+597
+593
+590
+587
+583
+580
+577
+573
+570
+567
+564
+560
+557
+554
+550
+547
+544
+540
+537
+534
+530
+527
+524
+520
+517
+514
+510
+507
+504
+500
+497
+494
+490
+487
+484
+480
+477
+474
+470
+467
+464
+460
+457
+454
+450
+447
+443
+440
+437
+433
+430
+427
+423
+420
+417
+413
+410
+406
+403
+400
+396
+393
+390
+386
+383
+379
+376
+373
+369
+366
+363
+359
+356
+352
+349
+346
+342
+339
+336
+332
+329
+326
+322
+319
+315
+312
+309
+305
+302
+299
+295
+292
+288
+285
+282
+278
+275
+272
+268
+265
+261
+258
+255
+251
+248
+245
+241
+238
+235
+231
+228
+224
+221
+218
+214
+211
+208
+204
+201
+198
+194
+191
+188
+184
+181
+178
+174
+171
+168
+164
+161
+158
+154
+151
+148
+144
+141
+138
+134
+131
+128
+124
+121
+118
+114
+111
+108
+105
+101
+98
+95
+91
+88
+85
+81
+78
+75
+72
+68
+65
+62
+58
+55
+52
+49
+45
+42
+39
+36
+32
+29
+26
+23
+19
+16
+13
+10
+6
+3
+0
+-3
+-6
+-10
+-13
+-16
+-19
+-23
+-26
+-29
+-32
+-35
+-39
+-42
+-45
+-48
+-51
+-54
+-58
+-61
+-64
+-67
+-70
+-73
+-77
+-80
+-83
+-86
+-89
+-92
+-95
+-99
+-102
+-105
+-108
+-111
+-114
+-117
+-120
+-124
+-127
+-130
+-133
+-136
+-139
+-142
+-145
+-148
+-151
+-154
+-157
+-160
+-164
+-167
+-170
+-173
+-176
+-179
+-182
+-185
+-188
+-191
+-194
+-197
+-200
+-203
+-206
+-209
+-212
+-215
+-218
+-221
+-224
+-227
+-230
+-233
+-236
+-238
+-241
+-244
+-247
+-250
+-253
+-256
+-259
+-262
+-265
+-268
+-271
+-273
+-276
+-279
+-282
+-285
+-288
+-291
+-294
+-296
+-299
+-302
+-305
+-308
+-311
+-313
+-316
+-319
+-322
+-325
+-327
+-330
+-333
+-336
+-339
+-341
+-344
+-347
+-350
+-352
+-355
+-358
+-361
+-363
+-366
+-369
+-371
+-374
+-377
+-380
+-382
+-385
+-388
+-390
+-393
+-396
+-398
+-401
+-404
+-406
+-409
+-411
+-414
+-417
+-419
+-422
+-424
+-427
+-430
+-432
+-435
+-437
+-440
+-442
+-445
+-448
+-450
+-453
+-455
+-458
+-460
+-463
+-465
+-468
+-470
+-473
+-475
+-478
+-480
+-483
+-485
+-487
+-490
+-492
+-495
+-497
+-500
+-502
+-504
+-507
+-509
+-512
+-514
+-516
+-519
+-521
+-523
+-526
+-528
+-531
+-533
+-535
+-537
+-540
+-542
+-544
+-547
+-549
+-551
+-554
+-556
+-558
+-560
+-563
+-565
+-567
+-569
+-571
+-574
+-576
+-578
+-580
+-582
+-585
+-587
+-589
+-591
+-593
+-595
+-598
+-600
+-602
+-604
+-606
+-608
+-610
+-612
+-614
+-617
+-619
+-621
+-623
+-625
+-627
+-629
+-631
+-633
+-635
+-637
+-639
+-641
+-643
+-645
+-647
+-649
+-651
+-653
+-655
+-657
+-659
+-660
+-662
+-664
+-666
+-668
+-670
+-672
+-674
+-676
+-677
+-679
+-681
+-683
+-685
+-687
+-689
+-690
+-692
+-694
+-696
+-697
+-699
+-701
+-703
+-705
+-706
+-708
+-710
+-711
+-713
+-715
+-717
+-718
+-720
+-722
+-723
+-725
+-727
+-728
+-730
+-732
+-733
+-735
+-736
+-738
+-740
+-741
+-743
+-744
+-746
+-748
+-749
+-751
+-752
+-754
+-755
+-757
+-758
+-760
+-761
+-763
+-764
+-766
+-767
+-769
+-770
+-772
+-773
+-774
+-776
+-777
+-779
+-780
+-781
+-783
+-784
+-786
+-787
+-788
+-790
+-791
+-792
+-794
+-795
+-796
+-798
+-799
+-800
+-801
+-803
+-804
+-805
+-806
+-808
+-809
+-810
+-811
+-813
+-814
+-815
+-816
+-817
+-818
+-820
+-821
+-822
+-823
+-824
+-825
+-826
+-828
+-829
+-830
+-831
+-832
+-833
+-834
+-835
+-836
+-837
+-838
+-839
+-840
+-841
+-842
+-843
+-844
+-845
+-846
+-847
+-848
+-849
+-850
+-851
+-852
+-853
+-854
+-855
+-856
+-856
+-857
+-858
+-859
+-860
+-861
+-862
+-862
+-863
+-864
+-865
+-866
+-867
+-867
+-868
+-869
+-870
+-870
+-871
+-872
+-873
+-873
+-874
+-875
+-876
+-876
+-877
+-878
+-878
+-879
+-880
+-880
+-881
+-882
+-882
+-883
+-883
+-884
+-885
+-885
+-886
+-886
+-887
+-888
+-888
+-889
+-889
+-890
+-890
+-891
+-891
+-892
+-892
+-893
+-893
+-894
+-894
+-895
+-895
+-896
+-896
+-896
+-897
+-897
+-898
+-898
+-898
+-899
+-899
+-900
+-900
+-900
+-901
+-901
+-901
+-902
+-902
+-902
+-903
+-903
+-903
+-903
+-904
+-904
+-904
+-904
+-905
+-905
+-905
+-905
+-906
+-906
+-906
+-906
+-906
+-907
+-907
+-907
+-907
+-907
+-907
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-907
+-907
+-907
+-907
+-907
+-907
+-906
+-906
+-906
+-906
+-906
+-906
+-905
+-905
+-905
+-905
+-904
+-904
+-904
+-904
+-903
+-903
+-903
+-902
+-902
+-902
+-902
+-901
+-901
+-901
+-900
+-900
+-900
+-899
+-899
+-898
+-898
+-898
+-897
+-897
+-897
+-896
+-896
+-895
+-895
+-894
+-894
+-894
+-893
+-893
+-892
+-892
+-891
+-891
+-890
+-890
+-889
+-889
+-888
+-888
+-887
+-887
+-886
+-886
+-885
+-884
+-884
+-883
+-883
+-882
+-882
+-881
+-880
+-880
+-879
+-878
+-878
+-877
+-877
+-876
+-875
+-875
+-874
+-873
+-873
+-872
+-871
+-870
+-870
+-869
+-868
+-868
+-867
+-866
+-865
+-865
+-864
+-863
+-862
+-862
+-861
+-860
+-859
+-859
+-858
+-857
+-856
+-855
+-854
+-854
+-853
+-852
+-851
+-850
+-849
+-849
+-848
+-847
+-846
+-845
+-844
+-843
+-842
+-842
+-841
+-840
+-839
+-838
+-837
+-836
+-835
+-834
+-833
+-832
+-831
+-830
+-829
+-828
+-827
+-826
+-825
+-824
+-823
+-822
+-821
+-820
+-819
+-818
+-817
+-816
+-815
+-814
+-813
+-812
+-811
+-810
+-809
+-808
+-806
+-805
+-804
+-803
+-802
+-801
+-800
+-799
+-798
+-796
+-795
+-794
+-793
+-792
+-791
+-790
+-788
+-787
+-786
+-785
+-784
+-782
+-781
+-780
+-779
+-778
+-776
+-775
+-774
+-773
+-772
+-770
+-769
+-768
+-767
+-765
+-764
+-763
+-761
+-760
+-759
+-758
+-756
+-755
+-754
+-752
+-751
+-750
+-749
+-747
+-746
+-745
+-743
+-742
+-741
+-739
+-738
+-737
+-735
+-734
+-732
+-731
+-730
+-728
+-727
+-726
+-724
+-723
+-721
+-720
+-719
+-717
+-716
+-714
+-713
+-711
+-710
+-709
+-707
+-706
+-704
+-703
+-701
+-700
+-698
+-697
+-696
+-694
+-693
+-691
+-690
+-688
+-687
+-685
+-684
+-682
+-681
+-679
+-678
+-676
+-675
+-673
+-672
+-670
+-669
+-667
+-665
+-664
+-662
+-661
+-659
+-658
+-656
+-655
+-653
+-651
+-650
+-648
+-647
+-645
+-644
+-642
+-640
+-639
+-637
+-636
+-634
+-632
+-631
+-629
+-628
+-626
+-624
+-623
+-621
+-619
+-618
+-616
+-615
+-613
+-611
+-610
+-608
+-606
+-605
+-603
+-601
+-600
+-598
+-596
+-595
+-593
+-591
+-590
+-588
+-586
+-585
+-583
+-581
+-579
+-578
+-576
+-574
+-573
+-571
+-569
+-568
+-566
+-564
+-562
+-561
+-559
+-557
+-555
+-554
+-552
+-550
+-549
+-547
+-545
+-543
+-542
+-540
+-538
+-536
+-535
+-533
+-531
+-529
+-528
+-526
+-524
+-522
+-520
+-519
+-517
+-515
+-513
+-512
+-510
+-508
+-506
+-504
+-503
+-501
+-499
+-497
+-495
+-494
+-492
+-490
+-488
+-486
+-485
+-483
+-481
+-479
+-477
+-475
+-474
+-472
+-470
+-468
+-466
+-465
+-463
+-461
+-459
+-457
+-455
+-454
+-452
+-450
+-448
+-446
+-444
+-443
+-441
+-439
+-437
+-435
+-433
+-431
+-430
+-428
+-426
+-424
+-422
+-420
+-418
+-417
+-415
+-413
+-411
+-409
+-407
+-405
+-404
+-402
+-400
+-398
+-396
+-394
+-392
+-390
+-389
+-387
+-385
+-383
+-381
+-379
+-377
+-375
+-373
+-372
+-370
+-368
+-366
+-364
+-362
+-360
+-358
+-357
+-355
+-353
+-351
+-349
+-347
+-345
+-343
+-341
+-340
+-338
+-336
+-334
+-332
+-330
+-328
+-326
+-324
+-322
+-321
+-319
+-317
+-315
+-313
+-311
+-309
+-307
+-305
+-303
+-302
+-300
+-298
+-296
+-294
+-292
+-290
+-288
+-286
+-284
+-283
+-281
+-279
+-277
+-275
+-273
+-271
+-269
+-267
+-265
+-264
+-262
+-260
+-258
+-256
+-254
+-252
+-250
+-248
+-247
+-245
+-243
+-241
+-239
+-237
+-235
+-233
+-231
+-229
+-228
+-226
+-224
+-222
+-220
+-218
+-216
+-214
+-212
+-211
+-209
+-207
+-205
+-203
+-201
+-199
+-197
+-195
+-194
+-192
+-190
+-188
+-186
+-184
+-182
+-180
+-178
+-177
+-175
+-173
+-171
+-169
+-167
+-165
+-163
+-162
+-160
+-158
+-156
+-154
+-152
+-150
+-148
+-147
+-145
+-143
+-141
+-139
+-137
+-135
+-134
+-132
+-130
+-128
+-126
+-124
+-122
+-121
+-119
+-117
+-115
+-113
+-111
+-110
+-108
+-106
+-104
+-102
+-100
+-98
+-97
+-95
+-93
+-91
+-89
+-87
+-86
+-84
+-82
+-80
+-78
+-77
+-75
+-73
+-71
+-69
+-67
+-66
+-64
+-62
+-60
+-58
+-57
+-55
+-53
+-51
+-49
+-48
+-46
+-44
+-42
+-40
+-39
+-37
+-35
+-33
+-32
+-30
+-28
+-26
+-24
+-23
+-21
+-19
+-17
+-16
+-14
+-12
+-10
+-8
+-7
+-5
+-3
+-1
+0
+2
+4
+6
+7
+9
+11
+12
+14
+16
+18
+19
+21
+23
+25
+26
+28
+30
+31
+33
+35
+37
+38
+40
+42
+43
+45
+47
+48
+50
+52
+54
+55
+57
+59
+60
+62
+64
+65
+67
+69
+70
+72
+74
+75
+77
+79
+80
+82
+84
+85
+87
+88
+90
+92
+93
+95
+97
+98
+100
+101
+103
+105
+106
+108
+110
+111
+113
+114
+116
+118
+119
+121
+122
+124
+125
+127
+129
+130
+132
+133
+135
+136
+138
+140
+141
+143
+144
+146
+147
+149
+150
+152
+153
+155
+157
+158
+160
+161
+163
+164
+166
+167
+169
+170
+172
+173
+175
+176
+178
+179
+181
+182
+184
+185
+187
+188
+189
+191
+192
+194
+195
+197
+198
+200
+201
+203
+204
+205
+207
+208
+210
+211
+213
+214
+215
+217
+218
+220
+221
+222
+224
+225
+227
+228
+229
+231
+232
+234
+235
+236
+238
+239
+240
+242
+243
+244
+246
+247
+248
+250
+251
+253
+254
+255
+256
+258
+259
+260
+262
+263
+264
+266
+267
+268
+270
+271
+272
+273
+275
+276
+277
+279
+280
+281
+282
+284
+285
+286
+287
+289
+290
+291
+292
+294
+295
+296
+297
+298
+300
+301
+302
+303
+304
+306
+307
+308
+309
+310
+312
+313
+314
+315
+316
+317
+319
+320
+321
+322
+323
+324
+326
+327
+328
+329
+330
+331
+332
+333
+335
+336
+337
+338
+339
+340
+341
+342
+343
+344
+346
+347
+348
+349
+350
+351
+352
+353
+354
+355
+356
+357
+358
+359
+360
+361
+362
+363
+364
+365
+366
+367
+368
+369
+370
+371
+372
+373
+374
+375
+376
+377
+378
+379
+380
+381
+382
+383
+384
+385
+386
+387
+388
+389
+390
+391
+392
+392
+393
+394
+395
+396
+397
+398
+399
+400
+401
+401
+402
+403
+404
+405
+406
+407
+408
+408
+409
+410
+411
+412
+413
+414
+414
+415
+416
+417
+418
+418
+419
+420
+421
+422
+423
+423
+424
+425
+426
+426
+427
+428
+429
+430
+430
+431
+432
+433
+433
+434
+435
+436
+436
+437
+438
+438
+439
+440
+441
+441
+442
+443
+443
+444
+445
+446
+446
+447
+448
+448
+449
+450
+450
+451
+452
+452
+453
+454
+454
+455
+455
+456
+457
+457
+458
+459
+459
+460
+460
+461
+462
+462
+463
+463
+464
+465
+465
+466
+466
+467
+468
+468
+469
+469
+470
+470
+471
+471
+472
+473
+473
+474
+474
+475
+475
+476
+476
+477
+477
+478
+478
+479
+479
+480
+480
+481
+481
+482
+482
+483
+483
+484
+484
+484
+485
+485
+486
+486
+487
+487
+488
+488
+488
+489
+489
+490
+490
+491
+491
+491
+492
+492
+493
+493
+493
+494
+494
+494
+495
+495
+496
+496
+496
+497
+497
+497
+498
+498
+498
+499
+499
+499
+500
+500
+500
+501
+501
+501
+502
+502
+502
+503
+503
+503
+504
+504
+504
+504
+505
+505
+505
+505
+506
+506
+506
+507
+507
+507
+507
+508
+508
+508
+508
+508
+509
+509
+509
+509
+510
+510
+510
+510
+510
+511
+511
+511
+511
+511
+512
+512
+512
+512
+512
+513
+513
+513
+513
+513
+513
+513
+514
+514
+514
+514
+514
+514
+514
+515
+515
+515
+515
+515
+515
+515
+515
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+515
+515
+515
+515
+515
+515
+515
+515
+515
+514
+514
+514
+514
+514
+514
+514
+514
+513
+513
+513
+513
+513
+513
+512
+512
+512
+512
+512
+512
+512
+511
+511
+511
+511
+511
+510
+510
+510
+510
+510
+509
+509
+509
+509
+509
+508
+508
+508
+508
+508
+507
+507
+507
+507
+506
+506
+506
+506
+506
+505
+505
+505
+505
+504
+504
+504
+504
+503
+503
+503
+503
+502
+502
+502
+501
+501
+501
+501
+500
+500
+500
+500
+499
+499
+499
+498
+498
+498
+497
+497
+497
+497
+496
+496
+496
+495
+495
+495
+494
+494
+494
+493
+493
+493
+492
+492
+492
+491
+491
+491
+490
+490
+490
+489
+489
+489
+488
+488
+488
+487
+487
+487
+486
+486
+485
+485
+485
+484
+484
+484
+483
+483
+482
+482
+482
+481
+481
+481
+480
+480
+479
+479
+479
+478
+478
+477
+477
+477
+476
+476
+475
+475
+475
+474
+474
+473
+473
+472
+472
+472
+471
+471
+470
+470
+469
+469
+469
+468
+468
+467
+467
+466
+466
+465
+465
+465
+464
+464
+463
+463
+462
+462
+461
+461
+460
+460
+460
+459
+459
+458
+458
+457
+457
+456
+456
+455
+455
+454
+454
+453
+453
+452
+452
+451
+451
+450
+450
+450
+449
+449
+448
+448
+447
+447
+446
+446
+445
+445
+444
+444
+443
+443
+442
+441
+441
+440
+440
+439
+439
+438
+438
+437
+437
+436
+436
+435
+435
+434
+434
+433
+433
+432
+432
+431
+431
+430
+429
+429
+428
+428
+427
+427
+426
+426
+425
+425
+424
+424
+423
+422
+422
+421
+421
+420
+420
+419
+419
+418
+417
+417
+416
+416
+415
+415
+414
+414
+413
+412
+412
+411
+411
+410
+410
+409
+408
+408
+407
+407
+406
+406
+405
+404
+404
+403
+403
+402
+402
+401
+400
+400
+399
+399
+398
+398
+397
+396
+396
+395
+395
+394
+393
+393
+392
+392
+391
+390
+390
+389
+389
+388
+388
+387
+386
+386
+385
+385
+384
+383
+383
+382
+382
+381
+380
+380
+379
+379
+378
+377
+377
+376
+376
+375
+374
+374
+373
+373
+372
+371
+371
+370
+370
+369
+368
+368
+367
+366
+366
+365
+365
+364
+363
+363
+362
+362
+361
+360
+360
+359
+359
+358
+357
+357
+356
+355
+355
+354
+354
+353
+352
+352
+351
+351
+350
+349
+349
+348
+347
+347
+346
+346
+345
+344
+344
+343
+342
+342
+341
+341
+340
+339
+339
+338
+338
+337
+336
+336
+335
+334
+334
+333
+333
+332
+331
+331
+330
+329
+329
+328
+328
+327
+326
+326
+325
+324
+324
+323
+323
+322
+321
+321
+320
+319
+319
+318
+318
+317
+316
+316
+315
+314
+314
+313
+313
+312
+311
+311
+310
+309
+309
+308
+308
+307
+306
+306
+305
+304
+304
+303
+303
+302
+301
+301
+300
+300
+299
+298
+298
+297
+296
+296
+295
+295
+294
+293
+293
+292
+291
+291
+290
+290
+289
+288
+288
+287
+287
+286
+285
+285
+284
+283
+283
+282
+282
+281
+280
+280
+279
+278
+278
+277
+277
+276
+275
+275
+274
+274
+273
+272
+272
+271
+271
+270
+269
+269
+268
+267
+267
+266
+266
+265
+264
+264
+263
+263
+262
+261
+261
+260
+260
+259
+258
+258
+257
+257
+256
+255
+255
+254
+254
+253
+252
+252
+251
+251
+250
+249
+249
+248
+248
+247
+246
+246
+245
+245
+244
+243
+243
+242
+242
+241
+240
+240
+239
+239
+238
+237
+237
+236
+236
+235
+235
+234
+233
+233
+232
+232
+231
+230
+230
+229
+229
+228
+228
+227
+226
+226
+225
+225
+224
+223
+223
+222
+222
+221
+221
+220
+219
+219
+218
+218
+217
+217
+216
+215
+215
+214
+214
+213
+213
+212
+212
+211
+210
+210
+209
+209
+208
+208
+207
+206
+206
+205
+205
+204
+204
+203
+203
+202
+201
+201
+200
+200
+199
+199
+198
+198
+197
+197
+196
+195
+195
+194
+194
+193
+193
+192
+192
+191
+191
+190
+189
+189
+188
+188
+187
+187
+186
+186
+185
+185
+184
+184
+183
+183
+182
+181
+181
+180
+180
+179
+179
+178
+178
+177
+177
+176
+176
+175
+175
+174
+174
+173
+173
+172
+172
+171
+171
+170
+170
+169
+169
+168
+168
+167
+167
+166
+165
+165
+164
+164
+163
+163
+162
+162
+161
+161
+160
+160
+159
+159
+159
+158
+158
+157
+157
+156
+156
+155
+155
+154
+154
+153
+153
+152
+152
+151
+151
+150
+150
+149
+149
+148
+148
+147
+147
+146
+146
+145
+145
+145
+144
+144
+143
+143
+142
+142
+141
+141
+140
+140
+139
+139
+139
+138
+138
+137
+137
+136
+136
+135
+135
+134
+134
+134
+133
+133
+132
+132
+131
+131
+130
+130
+129
+129
+129
+128
+128
+127
+127
+126
+126
+126
+125
+125
+124
+124
+123
+123
+123
+122
+122
+121
+121
+120
+120
+120
+119
+119
+118
+118
+117
+117
+117
+116
+116
+115
+115
+115
+114
+114
+113
+113
+113
+112
+112
+111
+111
+110
+110
+110
+109
+109
+108
+108
+108
+107
+107
+106
+106
+106
+105
+105
+105
+104
+104
+103
+103
+103
+102
+102
+101
+101
+101
+100
+100
+100
+99
+99
+98
+98
+98
+97
+97
+97
+96
+96
+95
+95
+95
+94
+94
+94
+93
+93
+92
+92
+92
+91
+91
+91
+90
+90
+90
+89
+89
+89
+88
+88
+87
+87
+87
+86
+86
+86
+85
+85
+85
+84
+84
+84
+83
+83
+83
+82
+82
+82
+81
+81
+81
+80
+80
+80
+79
+79
+79
+78
+78
+78
+77
+77
+77
+76
+76
+76
+75
+75
+75
+74
+74
+74
+74
+73
+73
+73
+72
+72
+72
+71
+71
+71
+70
+70
+70
+70
+69
+69
+69
+68
+68
+68
+67
+67
+67
+67
+66
+66
+66
+65
+65
+65
+65
+64
+64
+64
+63
+63
+63
+62
+62
+62
+62
+61
+61
+61
+61
+60
+60
+60
+59
+59
+59
+59
+58
+58
+58
+58
+57
+57
+57
+56
+56
+56
+56
+55
+55
+55
+55
+54
+54
+54
+54
+53
+53
+53
+53
+52
+52
+52
+52
+51
+51
+51
+51
+50
+50
+50
+50
+49
+49
+49
+49
+48
+48
+48
+48
+47
+47
+47
+47
+46
+46
+46
+46
+46
+45
+45
+45
+45
+44
+44
+44
+44
+43
+43
+43
+43
+43
+42
+42
+42
+42
+42
+41
+41
+41
+41
+40
+40
+40
+40
+40
+39
+39
+39
+39
+39
+38
+38
+38
+38
+38
+37
+37
+37
+37
+37
+36
+36
+36
+36
+36
+35
+35
+35
+35
+35
+34
+34
+34
+34
+34
+33
+33
+33
+33
+33
+32
+32
+32
+32
+32
+32
+31
+31
+31
+31
+31
+30
+30
+30
+30
+30
+30
+30
+29
+29
+29
+29
+29
+28
+28
+28
+28
+28
+28
+27
+27
+27
+27
+27
+27
+26
+26
+26
+26
+26
+26
+25
+25
+25
+25
+25
+25
+25
+24
+24
+24
+24
+24
+24
+24
+23
+23
+23
+23
+23
+23
+22
+22
+22
+22
+22
+22
+22
+21
+21
+21
+21
+21
+21
+21
+20
+20
+20
+20
+20
+20
+20
+20
+20
+19
+19
+19
+19
+19
+19
+19
+18
+18
+18
+18
+18
+18
+17
+17
+17
+17
+17
+17
+17
+17
+17
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+15
+15
+15
+15
+15
+15
+14
+14
+14
+14
+14
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+12
+12
+12
+12
+11
+11
+11
+10
+10
+10
+9
+9
+9
+8
+8
+8
+8
+8
+9
+9
+9
+10
+11
+12
+13
+14
+16
+17
+19
+21
+22
+24
+26
+28
+30
+31
+33
+34
+36
+37
+38
+39
+40
+40
+40
diff --git a/applications/lofar2/model/data/Coefficient_16KKaiser_18b.dat b/applications/lofar2/model/data/Coefficient_16KKaiser_18b.dat
new file mode 100644
index 0000000000000000000000000000000000000000..694e2ac9d2f8999c1e2fb0adeb2d6ec14f006783
--- /dev/null
+++ b/applications/lofar2/model/data/Coefficient_16KKaiser_18b.dat
@@ -0,0 +1,16384 @@
+161
+160
+158
+156
+152
+148
+143
+138
+132
+125
+118
+111
+104
+97
+90
+83
+76
+69
+63
+58
+53
+48
+44
+41
+38
+36
+34
+33
+32
+32
+33
+33
+34
+35
+37
+38
+40
+41
+43
+44
+46
+47
+48
+49
+50
+51
+51
+52
+52
+52
+52
+52
+52
+52
+51
+51
+51
+51
+51
+51
+51
+51
+52
+52
+53
+53
+54
+55
+55
+56
+57
+57
+58
+59
+60
+60
+61
+61
+62
+62
+63
+63
+64
+64
+64
+64
+65
+65
+65
+66
+66
+66
+66
+67
+67
+68
+68
+69
+69
+70
+71
+71
+72
+73
+73
+74
+74
+75
+76
+76
+77
+77
+78
+78
+79
+79
+80
+80
+81
+81
+81
+82
+82
+83
+83
+84
+84
+85
+85
+86
+87
+87
+88
+89
+89
+90
+91
+91
+92
+93
+93
+94
+94
+95
+96
+96
+97
+97
+98
+98
+99
+100
+100
+101
+101
+102
+102
+103
+104
+104
+105
+106
+106
+107
+108
+108
+109
+110
+110
+111
+112
+113
+113
+114
+115
+115
+116
+117
+117
+118
+119
+119
+120
+121
+121
+122
+123
+123
+124
+125
+125
+126
+127
+128
+128
+129
+130
+131
+131
+132
+133
+134
+135
+135
+136
+137
+138
+138
+139
+140
+141
+142
+142
+143
+144
+145
+145
+146
+147
+148
+148
+149
+150
+151
+152
+152
+153
+154
+155
+156
+157
+158
+158
+159
+160
+161
+162
+163
+164
+165
+165
+166
+167
+168
+169
+170
+171
+171
+172
+173
+174
+175
+176
+177
+178
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+247
+248
+249
+250
+251
+252
+253
+255
+256
+257
+258
+259
+260
+262
+263
+264
+265
+266
+267
+269
+270
+271
+272
+273
+274
+276
+277
+278
+279
+280
+282
+283
+284
+285
+287
+288
+289
+290
+292
+293
+294
+295
+297
+298
+299
+301
+302
+303
+304
+306
+307
+308
+309
+311
+312
+313
+315
+316
+317
+319
+320
+321
+323
+324
+325
+327
+328
+329
+331
+332
+333
+335
+336
+338
+339
+340
+342
+343
+344
+346
+347
+349
+350
+351
+353
+354
+356
+357
+358
+360
+361
+363
+364
+366
+367
+368
+370
+371
+373
+374
+376
+377
+379
+380
+382
+383
+385
+386
+388
+389
+391
+392
+394
+395
+397
+398
+400
+401
+403
+404
+406
+407
+409
+410
+412
+413
+415
+417
+418
+420
+421
+423
+424
+426
+428
+429
+431
+432
+434
+436
+437
+439
+440
+442
+444
+445
+447
+448
+450
+452
+453
+455
+457
+458
+460
+461
+463
+465
+466
+468
+470
+471
+473
+475
+477
+478
+480
+482
+483
+485
+487
+488
+490
+492
+494
+495
+497
+499
+501
+502
+504
+506
+507
+509
+511
+513
+514
+516
+518
+520
+522
+523
+525
+527
+529
+530
+532
+534
+536
+538
+539
+541
+543
+545
+547
+549
+550
+552
+554
+556
+558
+560
+561
+563
+565
+567
+569
+571
+573
+574
+576
+578
+580
+582
+584
+586
+588
+590
+591
+593
+595
+597
+599
+601
+603
+605
+607
+609
+611
+613
+615
+616
+618
+620
+622
+624
+626
+628
+630
+632
+634
+636
+638
+640
+642
+644
+646
+648
+650
+652
+654
+656
+658
+660
+662
+664
+666
+668
+670
+672
+674
+676
+678
+680
+682
+684
+686
+688
+691
+693
+695
+697
+699
+701
+703
+705
+707
+709
+711
+713
+715
+718
+720
+722
+724
+726
+728
+730
+732
+734
+737
+739
+741
+743
+745
+747
+749
+751
+754
+756
+758
+760
+762
+764
+767
+769
+771
+773
+775
+777
+780
+782
+784
+786
+788
+790
+793
+795
+797
+799
+801
+804
+806
+808
+810
+813
+815
+817
+819
+821
+824
+826
+828
+830
+833
+835
+837
+839
+842
+844
+846
+848
+851
+853
+855
+857
+860
+862
+864
+867
+869
+871
+873
+876
+878
+880
+883
+885
+887
+889
+892
+894
+896
+899
+901
+903
+906
+908
+910
+912
+915
+917
+919
+922
+924
+926
+929
+931
+933
+936
+938
+941
+943
+945
+948
+950
+952
+955
+957
+959
+962
+964
+966
+969
+971
+974
+976
+978
+981
+983
+985
+988
+990
+993
+995
+997
+1000
+1002
+1005
+1007
+1009
+1012
+1014
+1017
+1019
+1021
+1024
+1026
+1029
+1031
+1034
+1036
+1038
+1041
+1043
+1046
+1048
+1050
+1053
+1055
+1058
+1060
+1063
+1065
+1067
+1070
+1072
+1075
+1077
+1080
+1082
+1085
+1087
+1089
+1092
+1094
+1097
+1099
+1102
+1104
+1107
+1109
+1112
+1114
+1116
+1119
+1121
+1124
+1126
+1129
+1131
+1134
+1136
+1139
+1141
+1144
+1146
+1149
+1151
+1153
+1156
+1158
+1161
+1163
+1166
+1168
+1171
+1173
+1176
+1178
+1181
+1183
+1186
+1188
+1191
+1193
+1196
+1198
+1201
+1203
+1206
+1208
+1211
+1213
+1216
+1218
+1220
+1223
+1225
+1228
+1230
+1233
+1235
+1238
+1240
+1243
+1245
+1248
+1250
+1253
+1255
+1258
+1260
+1263
+1265
+1268
+1270
+1273
+1275
+1278
+1280
+1283
+1285
+1288
+1290
+1293
+1295
+1298
+1300
+1303
+1305
+1308
+1310
+1313
+1315
+1318
+1320
+1323
+1325
+1328
+1330
+1333
+1335
+1338
+1340
+1343
+1345
+1348
+1350
+1353
+1355
+1358
+1360
+1363
+1365
+1367
+1370
+1372
+1375
+1377
+1380
+1382
+1385
+1387
+1390
+1392
+1395
+1397
+1400
+1402
+1405
+1407
+1410
+1412
+1414
+1417
+1419
+1422
+1424
+1427
+1429
+1432
+1434
+1437
+1439
+1442
+1444
+1446
+1449
+1451
+1454
+1456
+1459
+1461
+1463
+1466
+1468
+1471
+1473
+1476
+1478
+1481
+1483
+1485
+1488
+1490
+1493
+1495
+1497
+1500
+1502
+1505
+1507
+1510
+1512
+1514
+1517
+1519
+1522
+1524
+1526
+1529
+1531
+1534
+1536
+1538
+1541
+1543
+1545
+1548
+1550
+1553
+1555
+1557
+1560
+1562
+1564
+1567
+1569
+1571
+1574
+1576
+1578
+1581
+1583
+1585
+1588
+1590
+1592
+1595
+1597
+1599
+1602
+1604
+1606
+1609
+1611
+1613
+1616
+1618
+1620
+1622
+1625
+1627
+1629
+1632
+1634
+1636
+1638
+1641
+1643
+1645
+1647
+1650
+1652
+1654
+1656
+1659
+1661
+1663
+1665
+1668
+1670
+1672
+1674
+1677
+1679
+1681
+1683
+1685
+1688
+1690
+1692
+1694
+1696
+1698
+1701
+1703
+1705
+1707
+1709
+1711
+1714
+1716
+1718
+1720
+1722
+1724
+1726
+1729
+1731
+1733
+1735
+1737
+1739
+1741
+1743
+1745
+1747
+1750
+1752
+1754
+1756
+1758
+1760
+1762
+1764
+1766
+1768
+1770
+1772
+1774
+1776
+1778
+1780
+1782
+1784
+1786
+1788
+1790
+1792
+1794
+1796
+1798
+1800
+1802
+1804
+1806
+1808
+1810
+1812
+1814
+1816
+1818
+1819
+1821
+1823
+1825
+1827
+1829
+1831
+1833
+1835
+1836
+1838
+1840
+1842
+1844
+1846
+1847
+1849
+1851
+1853
+1855
+1857
+1858
+1860
+1862
+1864
+1865
+1867
+1869
+1871
+1873
+1874
+1876
+1878
+1879
+1881
+1883
+1885
+1886
+1888
+1890
+1891
+1893
+1895
+1896
+1898
+1900
+1901
+1903
+1905
+1906
+1908
+1910
+1911
+1913
+1914
+1916
+1917
+1919
+1921
+1922
+1924
+1925
+1927
+1928
+1930
+1931
+1933
+1934
+1936
+1937
+1939
+1940
+1942
+1943
+1945
+1946
+1948
+1949
+1951
+1952
+1953
+1955
+1956
+1958
+1959
+1960
+1962
+1963
+1964
+1966
+1967
+1968
+1970
+1971
+1972
+1974
+1975
+1976
+1978
+1979
+1980
+1981
+1983
+1984
+1985
+1986
+1988
+1989
+1990
+1991
+1992
+1994
+1995
+1996
+1997
+1998
+1999
+2000
+2002
+2003
+2004
+2005
+2006
+2007
+2008
+2009
+2010
+2011
+2012
+2013
+2014
+2015
+2016
+2017
+2018
+2019
+2020
+2021
+2022
+2023
+2024
+2025
+2026
+2027
+2028
+2029
+2030
+2030
+2031
+2032
+2033
+2034
+2035
+2036
+2036
+2037
+2038
+2039
+2040
+2040
+2041
+2042
+2043
+2043
+2044
+2045
+2045
+2046
+2047
+2047
+2048
+2049
+2049
+2050
+2051
+2051
+2052
+2052
+2053
+2054
+2054
+2055
+2055
+2056
+2056
+2057
+2057
+2058
+2058
+2059
+2059
+2060
+2060
+2061
+2061
+2062
+2062
+2062
+2063
+2063
+2064
+2064
+2064
+2065
+2065
+2065
+2066
+2066
+2066
+2066
+2067
+2067
+2067
+2067
+2068
+2068
+2068
+2068
+2068
+2069
+2069
+2069
+2069
+2069
+2069
+2069
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2069
+2069
+2069
+2069
+2069
+2069
+2069
+2068
+2068
+2068
+2068
+2068
+2067
+2067
+2067
+2067
+2066
+2066
+2066
+2065
+2065
+2065
+2064
+2064
+2064
+2063
+2063
+2063
+2062
+2062
+2061
+2061
+2060
+2060
+2059
+2059
+2058
+2058
+2057
+2057
+2056
+2056
+2055
+2055
+2054
+2053
+2053
+2052
+2051
+2051
+2050
+2049
+2049
+2048
+2047
+2047
+2046
+2045
+2044
+2043
+2043
+2042
+2041
+2040
+2039
+2038
+2038
+2037
+2036
+2035
+2034
+2033
+2032
+2031
+2030
+2029
+2028
+2027
+2026
+2025
+2024
+2023
+2022
+2021
+2020
+2019
+2018
+2016
+2015
+2014
+2013
+2012
+2011
+2009
+2008
+2007
+2006
+2004
+2003
+2002
+2001
+1999
+1998
+1997
+1995
+1994
+1993
+1991
+1990
+1988
+1987
+1985
+1984
+1983
+1981
+1980
+1978
+1977
+1975
+1973
+1972
+1970
+1969
+1967
+1966
+1964
+1962
+1961
+1959
+1957
+1956
+1954
+1952
+1950
+1949
+1947
+1945
+1943
+1942
+1940
+1938
+1936
+1934
+1932
+1931
+1929
+1927
+1925
+1923
+1921
+1919
+1917
+1915
+1913
+1911
+1909
+1907
+1905
+1903
+1901
+1899
+1897
+1894
+1892
+1890
+1888
+1886
+1884
+1881
+1879
+1877
+1875
+1873
+1870
+1868
+1866
+1863
+1861
+1859
+1856
+1854
+1852
+1849
+1847
+1844
+1842
+1840
+1837
+1835
+1832
+1830
+1827
+1825
+1822
+1819
+1817
+1814
+1812
+1809
+1806
+1804
+1801
+1798
+1796
+1793
+1790
+1788
+1785
+1782
+1779
+1777
+1774
+1771
+1768
+1765
+1763
+1760
+1757
+1754
+1751
+1748
+1745
+1742
+1739
+1736
+1733
+1730
+1727
+1724
+1721
+1718
+1715
+1712
+1709
+1706
+1703
+1700
+1696
+1693
+1690
+1687
+1684
+1680
+1677
+1674
+1671
+1667
+1664
+1661
+1657
+1654
+1651
+1647
+1644
+1641
+1637
+1634
+1630
+1627
+1624
+1620
+1617
+1613
+1610
+1606
+1602
+1599
+1595
+1592
+1588
+1585
+1581
+1577
+1574
+1570
+1566
+1563
+1559
+1555
+1551
+1548
+1544
+1540
+1536
+1533
+1529
+1525
+1521
+1517
+1513
+1509
+1506
+1502
+1498
+1494
+1490
+1486
+1482
+1478
+1474
+1470
+1466
+1462
+1458
+1454
+1449
+1445
+1441
+1437
+1433
+1429
+1425
+1420
+1416
+1412
+1408
+1403
+1399
+1395
+1391
+1386
+1382
+1378
+1373
+1369
+1365
+1360
+1356
+1351
+1347
+1343
+1338
+1334
+1329
+1325
+1320
+1316
+1311
+1307
+1302
+1298
+1293
+1288
+1284
+1279
+1274
+1270
+1265
+1260
+1256
+1251
+1246
+1242
+1237
+1232
+1227
+1223
+1218
+1213
+1208
+1203
+1198
+1194
+1189
+1184
+1179
+1174
+1169
+1164
+1159
+1154
+1149
+1144
+1139
+1134
+1129
+1124
+1119
+1114
+1109
+1104
+1099
+1094
+1089
+1083
+1078
+1073
+1068
+1063
+1057
+1052
+1047
+1042
+1037
+1031
+1026
+1021
+1015
+1010
+1005
+999
+994
+989
+983
+978
+972
+967
+962
+956
+951
+945
+940
+934
+929
+923
+918
+912
+907
+901
+895
+890
+884
+879
+873
+867
+862
+856
+850
+845
+839
+833
+828
+822
+816
+810
+805
+799
+793
+787
+781
+776
+770
+764
+758
+752
+746
+740
+734
+729
+723
+717
+711
+705
+699
+693
+687
+681
+675
+669
+663
+657
+651
+644
+638
+632
+626
+620
+614
+608
+602
+596
+589
+583
+577
+571
+565
+558
+552
+546
+540
+533
+527
+521
+514
+508
+502
+496
+489
+483
+476
+470
+464
+457
+451
+445
+438
+432
+425
+419
+412
+406
+399
+393
+386
+380
+373
+367
+360
+354
+347
+341
+334
+327
+321
+314
+308
+301
+294
+288
+281
+274
+268
+261
+254
+248
+241
+234
+228
+221
+214
+207
+201
+194
+187
+180
+174
+167
+160
+153
+146
+139
+133
+126
+119
+112
+105
+98
+91
+84
+78
+71
+64
+57
+50
+43
+36
+29
+22
+15
+8
+1
+-6
+-13
+-20
+-27
+-34
+-41
+-48
+-55
+-62
+-69
+-76
+-83
+-90
+-98
+-105
+-112
+-119
+-126
+-133
+-140
+-147
+-155
+-162
+-169
+-176
+-183
+-190
+-198
+-205
+-212
+-219
+-226
+-234
+-241
+-248
+-255
+-263
+-270
+-277
+-284
+-292
+-299
+-306
+-313
+-321
+-328
+-335
+-343
+-350
+-357
+-365
+-372
+-379
+-387
+-394
+-401
+-409
+-416
+-423
+-431
+-438
+-445
+-453
+-460
+-468
+-475
+-482
+-490
+-497
+-505
+-512
+-519
+-527
+-534
+-542
+-549
+-557
+-564
+-572
+-579
+-586
+-594
+-601
+-609
+-616
+-624
+-631
+-639
+-646
+-654
+-661
+-669
+-676
+-684
+-691
+-699
+-706
+-714
+-721
+-729
+-736
+-744
+-751
+-759
+-767
+-774
+-782
+-789
+-797
+-804
+-812
+-819
+-827
+-834
+-842
+-850
+-857
+-865
+-872
+-880
+-887
+-895
+-903
+-910
+-918
+-925
+-933
+-941
+-948
+-956
+-963
+-971
+-978
+-986
+-994
+-1001
+-1009
+-1016
+-1024
+-1032
+-1039
+-1047
+-1054
+-1062
+-1070
+-1077
+-1085
+-1092
+-1100
+-1108
+-1115
+-1123
+-1130
+-1138
+-1146
+-1153
+-1161
+-1168
+-1176
+-1184
+-1191
+-1199
+-1206
+-1214
+-1222
+-1229
+-1237
+-1244
+-1252
+-1260
+-1267
+-1275
+-1282
+-1290
+-1297
+-1305
+-1313
+-1320
+-1328
+-1335
+-1343
+-1351
+-1358
+-1366
+-1373
+-1381
+-1388
+-1396
+-1403
+-1411
+-1419
+-1426
+-1434
+-1441
+-1449
+-1456
+-1464
+-1471
+-1479
+-1486
+-1494
+-1502
+-1509
+-1517
+-1524
+-1532
+-1539
+-1547
+-1554
+-1562
+-1569
+-1577
+-1584
+-1592
+-1599
+-1607
+-1614
+-1622
+-1629
+-1636
+-1644
+-1651
+-1659
+-1666
+-1674
+-1681
+-1689
+-1696
+-1703
+-1711
+-1718
+-1726
+-1733
+-1741
+-1748
+-1755
+-1763
+-1770
+-1777
+-1785
+-1792
+-1800
+-1807
+-1814
+-1822
+-1829
+-1836
+-1844
+-1851
+-1858
+-1866
+-1873
+-1880
+-1887
+-1895
+-1902
+-1909
+-1917
+-1924
+-1931
+-1938
+-1946
+-1953
+-1960
+-1967
+-1974
+-1982
+-1989
+-1996
+-2003
+-2010
+-2018
+-2025
+-2032
+-2039
+-2046
+-2053
+-2060
+-2068
+-2075
+-2082
+-2089
+-2096
+-2103
+-2110
+-2117
+-2124
+-2131
+-2138
+-2145
+-2152
+-2159
+-2166
+-2173
+-2180
+-2187
+-2194
+-2201
+-2208
+-2215
+-2222
+-2229
+-2236
+-2243
+-2250
+-2256
+-2263
+-2270
+-2277
+-2284
+-2291
+-2298
+-2304
+-2311
+-2318
+-2325
+-2332
+-2338
+-2345
+-2352
+-2359
+-2365
+-2372
+-2379
+-2385
+-2392
+-2399
+-2405
+-2412
+-2419
+-2425
+-2432
+-2438
+-2445
+-2452
+-2458
+-2465
+-2471
+-2478
+-2484
+-2491
+-2497
+-2504
+-2510
+-2517
+-2523
+-2530
+-2536
+-2543
+-2549
+-2555
+-2562
+-2568
+-2574
+-2581
+-2587
+-2593
+-2600
+-2606
+-2612
+-2618
+-2625
+-2631
+-2637
+-2643
+-2650
+-2656
+-2662
+-2668
+-2674
+-2680
+-2686
+-2693
+-2699
+-2705
+-2711
+-2717
+-2723
+-2729
+-2735
+-2741
+-2747
+-2753
+-2759
+-2765
+-2771
+-2776
+-2782
+-2788
+-2794
+-2800
+-2806
+-2812
+-2817
+-2823
+-2829
+-2835
+-2840
+-2846
+-2852
+-2857
+-2863
+-2869
+-2874
+-2880
+-2886
+-2891
+-2897
+-2902
+-2908
+-2913
+-2919
+-2924
+-2930
+-2935
+-2941
+-2946
+-2952
+-2957
+-2962
+-2968
+-2973
+-2978
+-2984
+-2989
+-2994
+-3000
+-3005
+-3010
+-3015
+-3020
+-3026
+-3031
+-3036
+-3041
+-3046
+-3051
+-3056
+-3061
+-3066
+-3071
+-3076
+-3081
+-3086
+-3091
+-3096
+-3101
+-3106
+-3111
+-3116
+-3120
+-3125
+-3130
+-3135
+-3139
+-3144
+-3149
+-3154
+-3158
+-3163
+-3168
+-3172
+-3177
+-3181
+-3186
+-3190
+-3195
+-3199
+-3204
+-3208
+-3213
+-3217
+-3222
+-3226
+-3230
+-3235
+-3239
+-3243
+-3248
+-3252
+-3256
+-3260
+-3264
+-3269
+-3273
+-3277
+-3281
+-3285
+-3289
+-3293
+-3297
+-3301
+-3305
+-3309
+-3313
+-3317
+-3321
+-3325
+-3329
+-3333
+-3336
+-3340
+-3344
+-3348
+-3351
+-3355
+-3359
+-3362
+-3366
+-3370
+-3373
+-3377
+-3380
+-3384
+-3387
+-3391
+-3394
+-3398
+-3401
+-3405
+-3408
+-3411
+-3415
+-3418
+-3421
+-3425
+-3428
+-3431
+-3434
+-3437
+-3440
+-3444
+-3447
+-3450
+-3453
+-3456
+-3459
+-3462
+-3465
+-3468
+-3471
+-3474
+-3476
+-3479
+-3482
+-3485
+-3488
+-3490
+-3493
+-3496
+-3498
+-3501
+-3504
+-3506
+-3509
+-3511
+-3514
+-3516
+-3519
+-3521
+-3524
+-3526
+-3528
+-3531
+-3533
+-3535
+-3538
+-3540
+-3542
+-3544
+-3547
+-3549
+-3551
+-3553
+-3555
+-3557
+-3559
+-3561
+-3563
+-3565
+-3567
+-3569
+-3571
+-3572
+-3574
+-3576
+-3578
+-3580
+-3581
+-3583
+-3585
+-3586
+-3588
+-3589
+-3591
+-3593
+-3594
+-3596
+-3597
+-3598
+-3600
+-3601
+-3603
+-3604
+-3605
+-3606
+-3608
+-3609
+-3610
+-3611
+-3612
+-3613
+-3615
+-3616
+-3617
+-3618
+-3619
+-3619
+-3620
+-3621
+-3622
+-3623
+-3624
+-3625
+-3625
+-3626
+-3627
+-3627
+-3628
+-3629
+-3629
+-3630
+-3630
+-3631
+-3631
+-3632
+-3632
+-3633
+-3633
+-3633
+-3634
+-3634
+-3634
+-3634
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3634
+-3634
+-3634
+-3634
+-3633
+-3633
+-3633
+-3632
+-3632
+-3631
+-3631
+-3630
+-3630
+-3629
+-3629
+-3628
+-3627
+-3627
+-3626
+-3625
+-3624
+-3624
+-3623
+-3622
+-3621
+-3620
+-3619
+-3618
+-3617
+-3616
+-3615
+-3614
+-3613
+-3612
+-3610
+-3609
+-3608
+-3607
+-3605
+-3604
+-3603
+-3601
+-3600
+-3598
+-3597
+-3595
+-3594
+-3592
+-3591
+-3589
+-3587
+-3586
+-3584
+-3582
+-3580
+-3579
+-3577
+-3575
+-3573
+-3571
+-3569
+-3567
+-3565
+-3563
+-3561
+-3559
+-3557
+-3555
+-3552
+-3550
+-3548
+-3546
+-3543
+-3541
+-3539
+-3536
+-3534
+-3531
+-3529
+-3526
+-3524
+-3521
+-3519
+-3516
+-3513
+-3510
+-3508
+-3505
+-3502
+-3499
+-3496
+-3494
+-3491
+-3488
+-3485
+-3482
+-3479
+-3476
+-3473
+-3469
+-3466
+-3463
+-3460
+-3457
+-3453
+-3450
+-3447
+-3443
+-3440
+-3436
+-3433
+-3429
+-3426
+-3422
+-3419
+-3415
+-3411
+-3408
+-3404
+-3400
+-3397
+-3393
+-3389
+-3385
+-3381
+-3377
+-3373
+-3369
+-3365
+-3361
+-3357
+-3353
+-3349
+-3345
+-3341
+-3336
+-3332
+-3328
+-3323
+-3319
+-3315
+-3310
+-3306
+-3301
+-3297
+-3292
+-3288
+-3283
+-3279
+-3274
+-3269
+-3265
+-3260
+-3255
+-3250
+-3245
+-3241
+-3236
+-3231
+-3226
+-3221
+-3216
+-3211
+-3206
+-3200
+-3195
+-3190
+-3185
+-3180
+-3174
+-3169
+-3164
+-3159
+-3153
+-3148
+-3142
+-3137
+-3131
+-3126
+-3120
+-3115
+-3109
+-3103
+-3098
+-3092
+-3086
+-3080
+-3075
+-3069
+-3063
+-3057
+-3051
+-3045
+-3039
+-3033
+-3027
+-3021
+-3015
+-3009
+-3003
+-2997
+-2990
+-2984
+-2978
+-2971
+-2965
+-2959
+-2952
+-2946
+-2939
+-2933
+-2926
+-2920
+-2913
+-2907
+-2900
+-2893
+-2887
+-2880
+-2873
+-2867
+-2860
+-2853
+-2846
+-2839
+-2832
+-2825
+-2818
+-2811
+-2804
+-2797
+-2790
+-2783
+-2776
+-2769
+-2761
+-2754
+-2747
+-2740
+-2732
+-2725
+-2717
+-2710
+-2703
+-2695
+-2688
+-2680
+-2673
+-2665
+-2657
+-2650
+-2642
+-2634
+-2627
+-2619
+-2611
+-2603
+-2595
+-2588
+-2580
+-2572
+-2564
+-2556
+-2548
+-2540
+-2532
+-2524
+-2515
+-2507
+-2499
+-2491
+-2483
+-2474
+-2466
+-2458
+-2450
+-2441
+-2433
+-2424
+-2416
+-2407
+-2399
+-2390
+-2382
+-2373
+-2365
+-2356
+-2347
+-2339
+-2330
+-2321
+-2312
+-2304
+-2295
+-2286
+-2277
+-2268
+-2259
+-2250
+-2241
+-2232
+-2223
+-2214
+-2205
+-2196
+-2187
+-2178
+-2168
+-2159
+-2150
+-2141
+-2131
+-2122
+-2113
+-2103
+-2094
+-2085
+-2075
+-2066
+-2056
+-2047
+-2037
+-2028
+-2018
+-2008
+-1999
+-1989
+-1979
+-1970
+-1960
+-1950
+-1940
+-1930
+-1921
+-1911
+-1901
+-1891
+-1881
+-1871
+-1861
+-1851
+-1841
+-1831
+-1821
+-1811
+-1801
+-1790
+-1780
+-1770
+-1760
+-1749
+-1739
+-1729
+-1719
+-1708
+-1698
+-1687
+-1677
+-1667
+-1656
+-1646
+-1635
+-1625
+-1614
+-1603
+-1593
+-1582
+-1572
+-1561
+-1550
+-1540
+-1529
+-1518
+-1507
+-1496
+-1486
+-1475
+-1464
+-1453
+-1442
+-1431
+-1420
+-1409
+-1398
+-1387
+-1376
+-1365
+-1354
+-1343
+-1332
+-1321
+-1310
+-1298
+-1287
+-1276
+-1265
+-1254
+-1242
+-1231
+-1220
+-1208
+-1197
+-1186
+-1174
+-1163
+-1151
+-1140
+-1128
+-1117
+-1105
+-1094
+-1082
+-1071
+-1059
+-1047
+-1036
+-1024
+-1012
+-1001
+-989
+-977
+-966
+-954
+-942
+-930
+-918
+-907
+-895
+-883
+-871
+-859
+-847
+-835
+-823
+-811
+-799
+-787
+-775
+-763
+-751
+-739
+-727
+-715
+-703
+-691
+-678
+-666
+-654
+-642
+-630
+-617
+-605
+-593
+-581
+-568
+-556
+-544
+-531
+-519
+-507
+-494
+-482
+-469
+-457
+-444
+-432
+-420
+-407
+-395
+-382
+-369
+-357
+-344
+-332
+-319
+-307
+-294
+-281
+-269
+-256
+-243
+-231
+-218
+-205
+-193
+-180
+-167
+-154
+-141
+-129
+-116
+-103
+-90
+-77
+-65
+-52
+-39
+-26
+-13
+0
+13
+26
+39
+52
+64
+77
+90
+103
+116
+129
+142
+155
+169
+182
+195
+208
+221
+234
+247
+260
+273
+286
+299
+313
+326
+339
+352
+365
+378
+392
+405
+418
+431
+445
+458
+471
+484
+497
+511
+524
+537
+551
+564
+577
+590
+604
+617
+630
+644
+657
+670
+684
+697
+710
+724
+737
+751
+764
+777
+791
+804
+818
+831
+844
+858
+871
+885
+898
+911
+925
+938
+952
+965
+979
+992
+1006
+1019
+1032
+1046
+1059
+1073
+1086
+1100
+1113
+1127
+1140
+1154
+1167
+1181
+1194
+1208
+1221
+1235
+1248
+1262
+1275
+1289
+1302
+1316
+1329
+1343
+1356
+1370
+1383
+1397
+1410
+1423
+1437
+1450
+1464
+1477
+1491
+1504
+1518
+1531
+1545
+1558
+1572
+1585
+1599
+1612
+1626
+1639
+1653
+1666
+1680
+1693
+1707
+1720
+1733
+1747
+1760
+1774
+1787
+1801
+1814
+1827
+1841
+1854
+1868
+1881
+1895
+1908
+1921
+1935
+1948
+1961
+1975
+1988
+2002
+2015
+2028
+2042
+2055
+2068
+2082
+2095
+2108
+2122
+2135
+2148
+2161
+2175
+2188
+2201
+2215
+2228
+2241
+2254
+2267
+2281
+2294
+2307
+2320
+2333
+2347
+2360
+2373
+2386
+2399
+2412
+2425
+2439
+2452
+2465
+2478
+2491
+2504
+2517
+2530
+2543
+2556
+2569
+2582
+2595
+2608
+2621
+2634
+2647
+2660
+2673
+2686
+2699
+2711
+2724
+2737
+2750
+2763
+2776
+2788
+2801
+2814
+2827
+2839
+2852
+2865
+2878
+2890
+2903
+2916
+2928
+2941
+2954
+2966
+2979
+2991
+3004
+3016
+3029
+3041
+3054
+3066
+3079
+3091
+3104
+3116
+3129
+3141
+3153
+3166
+3178
+3190
+3203
+3215
+3227
+3239
+3252
+3264
+3276
+3288
+3300
+3313
+3325
+3337
+3349
+3361
+3373
+3385
+3397
+3409
+3421
+3433
+3445
+3457
+3469
+3481
+3492
+3504
+3516
+3528
+3540
+3551
+3563
+3575
+3587
+3598
+3610
+3622
+3633
+3645
+3656
+3668
+3679
+3691
+3702
+3714
+3725
+3737
+3748
+3759
+3771
+3782
+3793
+3804
+3816
+3827
+3838
+3849
+3860
+3872
+3883
+3894
+3905
+3916
+3927
+3938
+3949
+3960
+3971
+3981
+3992
+4003
+4014
+4025
+4035
+4046
+4057
+4068
+4078
+4089
+4099
+4110
+4120
+4131
+4141
+4152
+4162
+4173
+4183
+4193
+4204
+4214
+4224
+4234
+4245
+4255
+4265
+4275
+4285
+4295
+4305
+4315
+4325
+4335
+4345
+4355
+4365
+4375
+4384
+4394
+4404
+4414
+4423
+4433
+4443
+4452
+4462
+4471
+4481
+4490
+4500
+4509
+4518
+4528
+4537
+4546
+4556
+4565
+4574
+4583
+4592
+4601
+4610
+4619
+4628
+4637
+4646
+4655
+4664
+4673
+4681
+4690
+4699
+4708
+4716
+4725
+4733
+4742
+4750
+4759
+4767
+4776
+4784
+4792
+4801
+4809
+4817
+4825
+4833
+4842
+4850
+4858
+4866
+4874
+4882
+4890
+4897
+4905
+4913
+4921
+4929
+4936
+4944
+4951
+4959
+4967
+4974
+4982
+4989
+4996
+5004
+5011
+5018
+5025
+5033
+5040
+5047
+5054
+5061
+5068
+5075
+5082
+5089
+5096
+5102
+5109
+5116
+5123
+5129
+5136
+5142
+5149
+5156
+5162
+5168
+5175
+5181
+5187
+5194
+5200
+5206
+5212
+5218
+5224
+5230
+5236
+5242
+5248
+5254
+5260
+5265
+5271
+5277
+5282
+5288
+5294
+5299
+5305
+5310
+5315
+5321
+5326
+5331
+5336
+5342
+5347
+5352
+5357
+5362
+5367
+5372
+5376
+5381
+5386
+5391
+5396
+5400
+5405
+5409
+5414
+5418
+5423
+5427
+5431
+5436
+5440
+5444
+5448
+5452
+5456
+5460
+5464
+5468
+5472
+5476
+5480
+5484
+5487
+5491
+5495
+5498
+5502
+5505
+5509
+5512
+5515
+5519
+5522
+5525
+5528
+5531
+5534
+5537
+5540
+5543
+5546
+5549
+5552
+5554
+5557
+5560
+5562
+5565
+5567
+5570
+5572
+5575
+5577
+5579
+5581
+5584
+5586
+5588
+5590
+5592
+5594
+5596
+5597
+5599
+5601
+5603
+5604
+5606
+5607
+5609
+5610
+5612
+5613
+5614
+5615
+5617
+5618
+5619
+5620
+5621
+5622
+5623
+5624
+5624
+5625
+5626
+5626
+5627
+5628
+5628
+5629
+5629
+5629
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5629
+5629
+5628
+5628
+5628
+5627
+5626
+5626
+5625
+5624
+5623
+5623
+5622
+5621
+5620
+5619
+5617
+5616
+5615
+5614
+5612
+5611
+5610
+5608
+5607
+5605
+5603
+5602
+5600
+5598
+5596
+5594
+5592
+5590
+5588
+5586
+5584
+5582
+5580
+5577
+5575
+5573
+5570
+5568
+5565
+5562
+5560
+5557
+5554
+5551
+5549
+5546
+5543
+5540
+5537
+5533
+5530
+5527
+5524
+5520
+5517
+5513
+5510
+5506
+5503
+5499
+5495
+5492
+5488
+5484
+5480
+5476
+5472
+5468
+5464
+5459
+5455
+5451
+5447
+5442
+5438
+5433
+5429
+5424
+5419
+5415
+5410
+5405
+5400
+5395
+5390
+5385
+5380
+5375
+5370
+5364
+5359
+5354
+5348
+5343
+5337
+5332
+5326
+5321
+5315
+5309
+5303
+5297
+5291
+5285
+5279
+5273
+5267
+5261
+5255
+5248
+5242
+5236
+5229
+5223
+5216
+5209
+5203
+5196
+5189
+5182
+5175
+5168
+5161
+5154
+5147
+5140
+5133
+5126
+5118
+5111
+5104
+5096
+5089
+5081
+5073
+5066
+5058
+5050
+5042
+5035
+5027
+5019
+5011
+5002
+4994
+4986
+4978
+4970
+4961
+4953
+4944
+4936
+4927
+4919
+4910
+4901
+4893
+4884
+4875
+4866
+4857
+4848
+4839
+4830
+4820
+4811
+4802
+4793
+4783
+4774
+4764
+4755
+4745
+4736
+4726
+4716
+4706
+4696
+4687
+4677
+4667
+4657
+4646
+4636
+4626
+4616
+4605
+4595
+4585
+4574
+4564
+4553
+4543
+4532
+4521
+4510
+4500
+4489
+4478
+4467
+4456
+4445
+4434
+4423
+4411
+4400
+4389
+4377
+4366
+4354
+4343
+4331
+4320
+4308
+4296
+4285
+4273
+4261
+4249
+4237
+4225
+4213
+4201
+4189
+4177
+4164
+4152
+4140
+4127
+4115
+4102
+4090
+4077
+4065
+4052
+4039
+4027
+4014
+4001
+3988
+3975
+3962
+3949
+3936
+3923
+3909
+3896
+3883
+3870
+3856
+3843
+3829
+3816
+3802
+3789
+3775
+3761
+3747
+3734
+3720
+3706
+3692
+3678
+3664
+3650
+3636
+3622
+3607
+3593
+3579
+3564
+3550
+3536
+3521
+3507
+3492
+3477
+3463
+3448
+3433
+3419
+3404
+3389
+3374
+3359
+3344
+3329
+3314
+3299
+3283
+3268
+3253
+3238
+3222
+3207
+3191
+3176
+3160
+3145
+3129
+3114
+3098
+3082
+3066
+3051
+3035
+3019
+3003
+2987
+2971
+2955
+2939
+2923
+2906
+2890
+2874
+2858
+2841
+2825
+2808
+2792
+2775
+2759
+2742
+2726
+2709
+2692
+2676
+2659
+2642
+2625
+2608
+2591
+2574
+2557
+2540
+2523
+2506
+2489
+2472
+2454
+2437
+2420
+2402
+2385
+2368
+2350
+2333
+2315
+2297
+2280
+2262
+2245
+2227
+2209
+2191
+2173
+2156
+2138
+2120
+2102
+2084
+2066
+2048
+2030
+2011
+1993
+1975
+1957
+1939
+1920
+1902
+1884
+1865
+1847
+1828
+1810
+1791
+1773
+1754
+1735
+1717
+1698
+1679
+1661
+1642
+1623
+1604
+1585
+1566
+1547
+1528
+1509
+1490
+1471
+1452
+1433
+1414
+1395
+1375
+1356
+1337
+1318
+1298
+1279
+1259
+1240
+1221
+1201
+1182
+1162
+1143
+1123
+1103
+1084
+1064
+1044
+1025
+1005
+985
+965
+945
+926
+906
+886
+866
+846
+826
+806
+786
+766
+746
+726
+706
+685
+665
+645
+625
+605
+584
+564
+544
+523
+503
+483
+462
+442
+421
+401
+380
+360
+339
+319
+298
+278
+257
+236
+216
+195
+174
+154
+133
+112
+91
+71
+50
+29
+8
+-13
+-34
+-55
+-75
+-96
+-117
+-138
+-159
+-180
+-201
+-222
+-243
+-264
+-286
+-307
+-328
+-349
+-370
+-391
+-412
+-434
+-455
+-476
+-497
+-518
+-540
+-561
+-582
+-604
+-625
+-646
+-668
+-689
+-710
+-732
+-753
+-774
+-796
+-817
+-839
+-860
+-882
+-903
+-924
+-946
+-967
+-989
+-1010
+-1032
+-1054
+-1075
+-1097
+-1118
+-1140
+-1161
+-1183
+-1204
+-1226
+-1248
+-1269
+-1291
+-1313
+-1334
+-1356
+-1377
+-1399
+-1421
+-1442
+-1464
+-1486
+-1507
+-1529
+-1551
+-1572
+-1594
+-1616
+-1638
+-1659
+-1681
+-1703
+-1724
+-1746
+-1768
+-1790
+-1811
+-1833
+-1855
+-1877
+-1898
+-1920
+-1942
+-1963
+-1985
+-2007
+-2029
+-2050
+-2072
+-2094
+-2116
+-2137
+-2159
+-2181
+-2203
+-2224
+-2246
+-2268
+-2290
+-2311
+-2333
+-2355
+-2376
+-2398
+-2420
+-2442
+-2463
+-2485
+-2507
+-2528
+-2550
+-2572
+-2593
+-2615
+-2637
+-2658
+-2680
+-2702
+-2723
+-2745
+-2767
+-2788
+-2810
+-2831
+-2853
+-2875
+-2896
+-2918
+-2939
+-2961
+-2982
+-3004
+-3026
+-3047
+-3069
+-3090
+-3112
+-3133
+-3155
+-3176
+-3197
+-3219
+-3240
+-3262
+-3283
+-3305
+-3326
+-3347
+-3369
+-3390
+-3411
+-3433
+-3454
+-3475
+-3497
+-3518
+-3539
+-3560
+-3582
+-3603
+-3624
+-3645
+-3666
+-3687
+-3709
+-3730
+-3751
+-3772
+-3793
+-3814
+-3835
+-3856
+-3877
+-3898
+-3919
+-3940
+-3961
+-3982
+-4003
+-4024
+-4044
+-4065
+-4086
+-4107
+-4128
+-4148
+-4169
+-4190
+-4210
+-4231
+-4252
+-4272
+-4293
+-4314
+-4334
+-4355
+-4375
+-4396
+-4416
+-4437
+-4457
+-4477
+-4498
+-4518
+-4538
+-4559
+-4579
+-4599
+-4619
+-4640
+-4660
+-4680
+-4700
+-4720
+-4740
+-4760
+-4780
+-4800
+-4820
+-4840
+-4860
+-4880
+-4900
+-4920
+-4940
+-4959
+-4979
+-4999
+-5018
+-5038
+-5058
+-5077
+-5097
+-5116
+-5136
+-5155
+-5175
+-5194
+-5214
+-5233
+-5252
+-5271
+-5291
+-5310
+-5329
+-5348
+-5367
+-5386
+-5405
+-5424
+-5443
+-5462
+-5481
+-5500
+-5519
+-5538
+-5557
+-5575
+-5594
+-5613
+-5631
+-5650
+-5668
+-5687
+-5705
+-5724
+-5742
+-5761
+-5779
+-5797
+-5816
+-5834
+-5852
+-5870
+-5888
+-5906
+-5924
+-5942
+-5960
+-5978
+-5996
+-6014
+-6032
+-6049
+-6067
+-6085
+-6102
+-6120
+-6137
+-6155
+-6172
+-6190
+-6207
+-6224
+-6242
+-6259
+-6276
+-6293
+-6310
+-6327
+-6344
+-6361
+-6378
+-6395
+-6412
+-6429
+-6446
+-6462
+-6479
+-6496
+-6512
+-6529
+-6545
+-6562
+-6578
+-6594
+-6611
+-6627
+-6643
+-6659
+-6675
+-6691
+-6707
+-6723
+-6739
+-6755
+-6771
+-6786
+-6802
+-6818
+-6833
+-6849
+-6864
+-6880
+-6895
+-6911
+-6926
+-6941
+-6956
+-6972
+-6987
+-7002
+-7017
+-7032
+-7046
+-7061
+-7076
+-7091
+-7105
+-7120
+-7135
+-7149
+-7164
+-7178
+-7192
+-7207
+-7221
+-7235
+-7249
+-7263
+-7277
+-7291
+-7305
+-7319
+-7333
+-7346
+-7360
+-7374
+-7387
+-7401
+-7414
+-7427
+-7441
+-7454
+-7467
+-7480
+-7493
+-7506
+-7519
+-7532
+-7545
+-7558
+-7571
+-7583
+-7596
+-7609
+-7621
+-7634
+-7646
+-7658
+-7670
+-7683
+-7695
+-7707
+-7719
+-7731
+-7743
+-7754
+-7766
+-7778
+-7790
+-7801
+-7813
+-7824
+-7835
+-7847
+-7858
+-7869
+-7880
+-7891
+-7902
+-7913
+-7924
+-7935
+-7946
+-7956
+-7967
+-7978
+-7988
+-7998
+-8009
+-8019
+-8029
+-8039
+-8049
+-8059
+-8069
+-8079
+-8089
+-8099
+-8108
+-8118
+-8128
+-8137
+-8146
+-8156
+-8165
+-8174
+-8183
+-8192
+-8201
+-8210
+-8219
+-8228
+-8237
+-8245
+-8254
+-8262
+-8271
+-8279
+-8287
+-8296
+-8304
+-8312
+-8320
+-8328
+-8336
+-8343
+-8351
+-8359
+-8366
+-8374
+-8381
+-8389
+-8396
+-8403
+-8410
+-8417
+-8424
+-8431
+-8438
+-8445
+-8452
+-8458
+-8465
+-8471
+-8478
+-8484
+-8490
+-8496
+-8503
+-8509
+-8514
+-8520
+-8526
+-8532
+-8538
+-8543
+-8549
+-8554
+-8559
+-8565
+-8570
+-8575
+-8580
+-8585
+-8590
+-8595
+-8599
+-8604
+-8609
+-8613
+-8618
+-8622
+-8626
+-8630
+-8635
+-8639
+-8643
+-8647
+-8650
+-8654
+-8658
+-8661
+-8665
+-8668
+-8672
+-8675
+-8678
+-8681
+-8684
+-8687
+-8690
+-8693
+-8695
+-8698
+-8701
+-8703
+-8705
+-8708
+-8710
+-8712
+-8714
+-8716
+-8718
+-8720
+-8722
+-8723
+-8725
+-8726
+-8728
+-8729
+-8730
+-8731
+-8733
+-8734
+-8734
+-8735
+-8736
+-8737
+-8737
+-8738
+-8738
+-8739
+-8739
+-8739
+-8739
+-8739
+-8739
+-8739
+-8739
+-8738
+-8738
+-8738
+-8737
+-8736
+-8736
+-8735
+-8734
+-8733
+-8732
+-8731
+-8730
+-8728
+-8727
+-8725
+-8724
+-8722
+-8720
+-8719
+-8717
+-8715
+-8713
+-8711
+-8708
+-8706
+-8704
+-8701
+-8698
+-8696
+-8693
+-8690
+-8687
+-8684
+-8681
+-8678
+-8675
+-8671
+-8668
+-8665
+-8661
+-8657
+-8653
+-8650
+-8646
+-8642
+-8638
+-8633
+-8629
+-8625
+-8620
+-8616
+-8611
+-8606
+-8602
+-8597
+-8592
+-8587
+-8582
+-8576
+-8571
+-8566
+-8560
+-8555
+-8549
+-8543
+-8537
+-8531
+-8525
+-8519
+-8513
+-8507
+-8501
+-8494
+-8488
+-8481
+-8474
+-8468
+-8461
+-8454
+-8447
+-8440
+-8432
+-8425
+-8418
+-8410
+-8403
+-8395
+-8387
+-8379
+-8371
+-8363
+-8355
+-8347
+-8339
+-8331
+-8322
+-8314
+-8305
+-8296
+-8288
+-8279
+-8270
+-8261
+-8252
+-8242
+-8233
+-8224
+-8214
+-8205
+-8195
+-8185
+-8176
+-8166
+-8156
+-8146
+-8135
+-8125
+-8115
+-8104
+-8094
+-8083
+-8073
+-8062
+-8051
+-8040
+-8029
+-8018
+-8007
+-7996
+-7984
+-7973
+-7961
+-7950
+-7938
+-7926
+-7914
+-7902
+-7890
+-7878
+-7866
+-7853
+-7841
+-7828
+-7816
+-7803
+-7790
+-7778
+-7765
+-7752
+-7739
+-7725
+-7712
+-7699
+-7685
+-7672
+-7658
+-7645
+-7631
+-7617
+-7603
+-7589
+-7575
+-7561
+-7546
+-7532
+-7518
+-7503
+-7488
+-7474
+-7459
+-7444
+-7429
+-7414
+-7399
+-7384
+-7368
+-7353
+-7337
+-7322
+-7306
+-7291
+-7275
+-7259
+-7243
+-7227
+-7211
+-7194
+-7178
+-7162
+-7145
+-7129
+-7112
+-7095
+-7079
+-7062
+-7045
+-7028
+-7010
+-6993
+-6976
+-6959
+-6941
+-6923
+-6906
+-6888
+-6870
+-6852
+-6835
+-6816
+-6798
+-6780
+-6762
+-6743
+-6725
+-6706
+-6688
+-6669
+-6650
+-6632
+-6613
+-6594
+-6574
+-6555
+-6536
+-6517
+-6497
+-6478
+-6458
+-6439
+-6419
+-6399
+-6379
+-6359
+-6339
+-6319
+-6299
+-6278
+-6258
+-6238
+-6217
+-6196
+-6176
+-6155
+-6134
+-6113
+-6092
+-6071
+-6050
+-6029
+-6007
+-5986
+-5965
+-5943
+-5921
+-5900
+-5878
+-5856
+-5834
+-5812
+-5790
+-5768
+-5746
+-5724
+-5701
+-5679
+-5656
+-5634
+-5611
+-5588
+-5565
+-5542
+-5519
+-5496
+-5473
+-5450
+-5427
+-5403
+-5380
+-5357
+-5333
+-5309
+-5286
+-5262
+-5238
+-5214
+-5190
+-5166
+-5142
+-5118
+-5093
+-5069
+-5045
+-5020
+-4996
+-4971
+-4946
+-4921
+-4897
+-4872
+-4847
+-4822
+-4797
+-4771
+-4746
+-4721
+-4695
+-4670
+-4644
+-4619
+-4593
+-4567
+-4541
+-4516
+-4490
+-4464
+-4437
+-4411
+-4385
+-4359
+-4332
+-4306
+-4280
+-4253
+-4226
+-4200
+-4173
+-4146
+-4119
+-4092
+-4065
+-4038
+-4011
+-3984
+-3957
+-3929
+-3902
+-3875
+-3847
+-3819
+-3792
+-3764
+-3736
+-3709
+-3681
+-3653
+-3625
+-3597
+-3569
+-3540
+-3512
+-3484
+-3455
+-3427
+-3398
+-3370
+-3341
+-3313
+-3284
+-3255
+-3226
+-3197
+-3168
+-3139
+-3110
+-3081
+-3052
+-3023
+-2994
+-2964
+-2935
+-2905
+-2876
+-2846
+-2817
+-2787
+-2757
+-2727
+-2697
+-2668
+-2638
+-2608
+-2577
+-2547
+-2517
+-2487
+-2457
+-2426
+-2396
+-2366
+-2335
+-2304
+-2274
+-2243
+-2213
+-2182
+-2151
+-2120
+-2089
+-2058
+-2027
+-1996
+-1965
+-1934
+-1903
+-1872
+-1840
+-1809
+-1778
+-1746
+-1715
+-1683
+-1652
+-1620
+-1589
+-1557
+-1525
+-1493
+-1461
+-1430
+-1398
+-1366
+-1334
+-1302
+-1270
+-1237
+-1205
+-1173
+-1141
+-1109
+-1076
+-1044
+-1011
+-979
+-946
+-914
+-881
+-849
+-816
+-783
+-751
+-718
+-685
+-652
+-619
+-586
+-553
+-520
+-487
+-454
+-421
+-388
+-355
+-321
+-288
+-255
+-222
+-188
+-155
+-121
+-88
+-54
+-21
+13
+46
+80
+113
+147
+181
+215
+248
+282
+316
+350
+384
+418
+452
+486
+520
+554
+588
+622
+656
+690
+724
+758
+793
+827
+861
+895
+930
+964
+998
+1033
+1067
+1102
+1136
+1171
+1205
+1240
+1274
+1309
+1343
+1378
+1413
+1447
+1482
+1517
+1551
+1586
+1621
+1655
+1690
+1725
+1760
+1795
+1830
+1864
+1899
+1934
+1969
+2004
+2039
+2074
+2109
+2144
+2179
+2214
+2249
+2284
+2319
+2354
+2389
+2424
+2459
+2494
+2529
+2564
+2600
+2635
+2670
+2705
+2740
+2775
+2810
+2846
+2881
+2916
+2951
+2986
+3022
+3057
+3092
+3127
+3163
+3198
+3233
+3268
+3303
+3339
+3374
+3409
+3444
+3480
+3515
+3550
+3585
+3621
+3656
+3691
+3726
+3762
+3797
+3832
+3867
+3903
+3938
+3973
+4008
+4044
+4079
+4114
+4149
+4184
+4220
+4255
+4290
+4325
+4360
+4395
+4431
+4466
+4501
+4536
+4571
+4606
+4641
+4676
+4712
+4747
+4782
+4817
+4852
+4887
+4922
+4957
+4992
+5027
+5062
+5097
+5132
+5166
+5201
+5236
+5271
+5306
+5341
+5376
+5410
+5445
+5480
+5515
+5549
+5584
+5619
+5653
+5688
+5723
+5757
+5792
+5826
+5861
+5895
+5930
+5964
+5999
+6033
+6068
+6102
+6136
+6171
+6205
+6239
+6273
+6308
+6342
+6376
+6410
+6444
+6478
+6512
+6546
+6580
+6614
+6648
+6682
+6716
+6750
+6783
+6817
+6851
+6885
+6918
+6952
+6986
+7019
+7053
+7086
+7120
+7153
+7186
+7220
+7253
+7286
+7320
+7353
+7386
+7419
+7452
+7485
+7518
+7551
+7584
+7617
+7650
+7683
+7716
+7748
+7781
+7814
+7846
+7879
+7911
+7944
+7976
+8009
+8041
+8073
+8106
+8138
+8170
+8202
+8234
+8266
+8298
+8330
+8362
+8394
+8425
+8457
+8489
+8520
+8552
+8583
+8615
+8646
+8678
+8709
+8740
+8771
+8803
+8834
+8865
+8896
+8927
+8958
+8988
+9019
+9050
+9081
+9111
+9142
+9172
+9203
+9233
+9263
+9294
+9324
+9354
+9384
+9414
+9444
+9474
+9504
+9533
+9563
+9593
+9622
+9652
+9681
+9711
+9740
+9769
+9798
+9828
+9857
+9886
+9915
+9943
+9972
+10001
+10030
+10058
+10087
+10115
+10144
+10172
+10200
+10228
+10256
+10284
+10312
+10340
+10368
+10396
+10424
+10451
+10479
+10506
+10534
+10561
+10588
+10615
+10642
+10669
+10696
+10723
+10750
+10777
+10803
+10830
+10856
+10883
+10909
+10935
+10961
+10987
+11013
+11039
+11065
+11091
+11117
+11142
+11168
+11193
+11219
+11244
+11269
+11294
+11319
+11344
+11369
+11394
+11418
+11443
+11468
+11492
+11516
+11541
+11565
+11589
+11613
+11637
+11661
+11684
+11708
+11731
+11755
+11778
+11802
+11825
+11848
+11871
+11894
+11917
+11939
+11962
+11985
+12007
+12029
+12052
+12074
+12096
+12118
+12140
+12162
+12183
+12205
+12226
+12248
+12269
+12290
+12312
+12333
+12353
+12374
+12395
+12416
+12436
+12457
+12477
+12497
+12517
+12537
+12557
+12577
+12597
+12617
+12636
+12656
+12675
+12694
+12713
+12732
+12751
+12770
+12789
+12807
+12826
+12844
+12863
+12881
+12899
+12917
+12935
+12952
+12970
+12988
+13005
+13022
+13040
+13057
+13074
+13091
+13107
+13124
+13141
+13157
+13173
+13190
+13206
+13222
+13238
+13254
+13269
+13285
+13300
+13316
+13331
+13346
+13361
+13376
+13391
+13405
+13420
+13434
+13449
+13463
+13477
+13491
+13505
+13518
+13532
+13546
+13559
+13572
+13585
+13598
+13611
+13624
+13637
+13649
+13662
+13674
+13686
+13698
+13710
+13722
+13734
+13746
+13757
+13768
+13780
+13791
+13802
+13813
+13823
+13834
+13845
+13855
+13865
+13875
+13885
+13895
+13905
+13915
+13924
+13934
+13943
+13952
+13961
+13970
+13979
+13987
+13996
+14004
+14013
+14021
+14029
+14037
+14044
+14052
+14059
+14067
+14074
+14081
+14088
+14095
+14102
+14108
+14115
+14121
+14127
+14133
+14139
+14145
+14151
+14156
+14162
+14167
+14172
+14177
+14182
+14187
+14192
+14196
+14201
+14205
+14209
+14213
+14217
+14220
+14224
+14227
+14231
+14234
+14237
+14240
+14243
+14245
+14248
+14250
+14252
+14254
+14256
+14258
+14260
+14261
+14263
+14264
+14265
+14266
+14267
+14268
+14268
+14269
+14269
+14269
+14269
+14269
+14269
+14268
+14268
+14267
+14266
+14266
+14264
+14263
+14262
+14260
+14259
+14257
+14255
+14253
+14251
+14248
+14246
+14243
+14241
+14238
+14235
+14231
+14228
+14225
+14221
+14217
+14213
+14209
+14205
+14201
+14196
+14192
+14187
+14182
+14177
+14172
+14167
+14161
+14156
+14150
+14144
+14138
+14132
+14126
+14119
+14112
+14106
+14099
+14092
+14085
+14077
+14070
+14062
+14054
+14047
+14039
+14030
+14022
+14014
+14005
+13996
+13987
+13978
+13969
+13960
+13950
+13940
+13931
+13921
+13911
+13900
+13890
+13880
+13869
+13858
+13847
+13836
+13825
+13813
+13802
+13790
+13778
+13766
+13754
+13742
+13730
+13717
+13704
+13691
+13678
+13665
+13652
+13639
+13625
+13611
+13597
+13583
+13569
+13555
+13540
+13526
+13511
+13496
+13481
+13466
+13450
+13435
+13419
+13403
+13388
+13371
+13355
+13339
+13322
+13306
+13289
+13272
+13255
+13237
+13220
+13202
+13185
+13167
+13149
+13131
+13112
+13094
+13075
+13057
+13038
+13019
+13000
+12980
+12961
+12941
+12921
+12902
+12881
+12861
+12841
+12820
+12800
+12779
+12758
+12737
+12716
+12694
+12673
+12651
+12629
+12607
+12585
+12563
+12541
+12518
+12495
+12472
+12449
+12426
+12403
+12380
+12356
+12332
+12308
+12284
+12260
+12236
+12211
+12187
+12162
+12137
+12112
+12087
+12062
+12036
+12011
+11985
+11959
+11933
+11907
+11880
+11854
+11827
+11801
+11774
+11747
+11719
+11692
+11665
+11637
+11609
+11581
+11553
+11525
+11497
+11468
+11439
+11411
+11382
+11353
+11323
+11294
+11265
+11235
+11205
+11175
+11145
+11115
+11085
+11054
+11024
+10993
+10962
+10931
+10900
+10868
+10837
+10805
+10773
+10742
+10710
+10677
+10645
+10613
+10580
+10547
+10514
+10481
+10448
+10415
+10382
+10348
+10314
+10280
+10246
+10212
+10178
+10144
+10109
+10074
+10040
+10005
+9970
+9934
+9899
+9864
+9828
+9792
+9756
+9720
+9684
+9648
+9611
+9575
+9538
+9501
+9464
+9427
+9390
+9353
+9315
+9278
+9240
+9202
+9164
+9126
+9087
+9049
+9010
+8972
+8933
+8894
+8855
+8816
+8776
+8737
+8697
+8657
+8618
+8578
+8538
+8497
+8457
+8416
+8376
+8335
+8294
+8253
+8212
+8171
+8129
+8088
+8046
+8004
+7962
+7920
+7878
+7836
+7794
+7751
+7708
+7666
+7623
+7580
+7537
+7493
+7450
+7406
+7363
+7319
+7275
+7231
+7187
+7143
+7098
+7054
+7009
+6965
+6920
+6875
+6830
+6785
+6739
+6694
+6648
+6603
+6557
+6511
+6465
+6419
+6373
+6326
+6280
+6233
+6187
+6140
+6093
+6046
+5999
+5951
+5904
+5856
+5809
+5761
+5713
+5665
+5617
+5569
+5521
+5473
+5424
+5375
+5327
+5278
+5229
+5180
+5131
+5082
+5032
+4983
+4933
+4883
+4834
+4784
+4734
+4684
+4634
+4583
+4533
+4482
+4432
+4381
+4330
+4279
+4228
+4177
+4126
+4075
+4023
+3972
+3920
+3868
+3817
+3765
+3713
+3661
+3608
+3556
+3504
+3451
+3399
+3346
+3293
+3240
+3187
+3134
+3081
+3028
+2974
+2921
+2868
+2814
+2760
+2706
+2653
+2599
+2545
+2490
+2436
+2382
+2327
+2273
+2218
+2164
+2109
+2054
+1999
+1944
+1889
+1834
+1779
+1723
+1668
+1612
+1557
+1501
+1445
+1389
+1334
+1278
+1221
+1165
+1109
+1053
+996
+940
+883
+827
+770
+713
+656
+600
+543
+486
+428
+371
+314
+257
+199
+142
+84
+26
+-31
+-89
+-147
+-205
+-263
+-321
+-379
+-437
+-495
+-554
+-612
+-670
+-729
+-788
+-846
+-905
+-964
+-1022
+-1081
+-1140
+-1199
+-1258
+-1317
+-1377
+-1436
+-1495
+-1554
+-1614
+-1673
+-1733
+-1792
+-1852
+-1912
+-1971
+-2031
+-2091
+-2151
+-2211
+-2271
+-2331
+-2391
+-2451
+-2511
+-2572
+-2632
+-2692
+-2753
+-2813
+-2874
+-2934
+-2995
+-3055
+-3116
+-3177
+-3237
+-3298
+-3359
+-3420
+-3481
+-3542
+-3603
+-3664
+-3725
+-3786
+-3847
+-3908
+-3970
+-4031
+-4092
+-4153
+-4215
+-4276
+-4338
+-4399
+-4461
+-4522
+-4584
+-4645
+-4707
+-4769
+-4830
+-4892
+-4954
+-5016
+-5077
+-5139
+-5201
+-5263
+-5325
+-5387
+-5449
+-5511
+-5573
+-5635
+-5697
+-5759
+-5821
+-5883
+-5945
+-6007
+-6070
+-6132
+-6194
+-6256
+-6318
+-6381
+-6443
+-6505
+-6568
+-6630
+-6692
+-6754
+-6817
+-6879
+-6942
+-7004
+-7066
+-7129
+-7191
+-7254
+-7316
+-7378
+-7441
+-7503
+-7566
+-7628
+-7691
+-7753
+-7816
+-7878
+-7941
+-8003
+-8065
+-8128
+-8190
+-8253
+-8315
+-8378
+-8440
+-8503
+-8565
+-8628
+-8690
+-8753
+-8815
+-8877
+-8940
+-9002
+-9065
+-9127
+-9189
+-9252
+-9314
+-9376
+-9439
+-9501
+-9563
+-9626
+-9688
+-9750
+-9813
+-9875
+-9937
+-9999
+-10061
+-10124
+-10186
+-10248
+-10310
+-10372
+-10434
+-10496
+-10558
+-10620
+-10682
+-10744
+-10806
+-10868
+-10930
+-10991
+-11053
+-11115
+-11177
+-11239
+-11300
+-11362
+-11424
+-11485
+-11547
+-11608
+-11670
+-11731
+-11793
+-11854
+-11915
+-11977
+-12038
+-12099
+-12160
+-12221
+-12283
+-12344
+-12405
+-12466
+-12527
+-12588
+-12648
+-12709
+-12770
+-12831
+-12891
+-12952
+-13013
+-13073
+-13134
+-13194
+-13255
+-13315
+-13375
+-13435
+-13496
+-13556
+-13616
+-13676
+-13736
+-13796
+-13856
+-13915
+-13975
+-14035
+-14094
+-14154
+-14213
+-14273
+-14332
+-14392
+-14451
+-14510
+-14569
+-14628
+-14687
+-14746
+-14805
+-14864
+-14923
+-14981
+-15040
+-15098
+-15157
+-15215
+-15274
+-15332
+-15390
+-15448
+-15506
+-15564
+-15622
+-15680
+-15737
+-15795
+-15853
+-15910
+-15968
+-16025
+-16082
+-16139
+-16196
+-16253
+-16310
+-16367
+-16424
+-16481
+-16537
+-16594
+-16650
+-16706
+-16763
+-16819
+-16875
+-16931
+-16987
+-17042
+-17098
+-17154
+-17209
+-17265
+-17320
+-17375
+-17430
+-17485
+-17540
+-17595
+-17650
+-17705
+-17759
+-17813
+-17868
+-17922
+-17976
+-18030
+-18084
+-18138
+-18192
+-18245
+-18299
+-18352
+-18406
+-18459
+-18512
+-18565
+-18618
+-18670
+-18723
+-18776
+-18828
+-18880
+-18933
+-18985
+-19037
+-19089
+-19140
+-19192
+-19243
+-19295
+-19346
+-19397
+-19448
+-19499
+-19550
+-19601
+-19651
+-19702
+-19752
+-19802
+-19852
+-19902
+-19952
+-20001
+-20051
+-20100
+-20150
+-20199
+-20248
+-20297
+-20346
+-20394
+-20443
+-20491
+-20539
+-20587
+-20635
+-20683
+-20731
+-20779
+-20826
+-20873
+-20920
+-20967
+-21014
+-21061
+-21108
+-21154
+-21200
+-21247
+-21293
+-21338
+-21384
+-21430
+-21475
+-21520
+-21566
+-21611
+-21655
+-21700
+-21745
+-21789
+-21833
+-21877
+-21921
+-21965
+-22009
+-22052
+-22096
+-22139
+-22182
+-22225
+-22267
+-22310
+-22352
+-22395
+-22437
+-22479
+-22520
+-22562
+-22603
+-22645
+-22686
+-22727
+-22768
+-22808
+-22849
+-22889
+-22929
+-22969
+-23009
+-23048
+-23088
+-23127
+-23166
+-23205
+-23244
+-23282
+-23321
+-23359
+-23397
+-23435
+-23473
+-23510
+-23548
+-23585
+-23622
+-23659
+-23695
+-23732
+-23768
+-23804
+-23840
+-23876
+-23912
+-23947
+-23982
+-24017
+-24052
+-24087
+-24121
+-24156
+-24190
+-24224
+-24257
+-24291
+-24324
+-24357
+-24390
+-24423
+-24456
+-24488
+-24520
+-24552
+-24584
+-24616
+-24647
+-24678
+-24709
+-24740
+-24771
+-24801
+-24832
+-24862
+-24892
+-24921
+-24951
+-24980
+-25009
+-25038
+-25066
+-25095
+-25123
+-25151
+-25179
+-25207
+-25234
+-25261
+-25288
+-25315
+-25342
+-25368
+-25394
+-25420
+-25446
+-25471
+-25497
+-25522
+-25547
+-25572
+-25596
+-25620
+-25644
+-25668
+-25692
+-25715
+-25738
+-25761
+-25784
+-25807
+-25829
+-25851
+-25873
+-25895
+-25916
+-25937
+-25958
+-25979
+-26000
+-26020
+-26040
+-26060
+-26080
+-26099
+-26118
+-26137
+-26156
+-26174
+-26193
+-26211
+-26229
+-26246
+-26264
+-26281
+-26298
+-26314
+-26331
+-26347
+-26363
+-26379
+-26394
+-26410
+-26425
+-26440
+-26454
+-26469
+-26483
+-26496
+-26510
+-26524
+-26537
+-26550
+-26562
+-26575
+-26587
+-26599
+-26611
+-26622
+-26633
+-26644
+-26655
+-26666
+-26676
+-26686
+-26696
+-26705
+-26714
+-26723
+-26732
+-26741
+-26749
+-26757
+-26765
+-26772
+-26780
+-26787
+-26794
+-26800
+-26806
+-26812
+-26818
+-26824
+-26829
+-26834
+-26839
+-26843
+-26848
+-26852
+-26855
+-26859
+-26862
+-26865
+-26868
+-26870
+-26872
+-26874
+-26876
+-26877
+-26879
+-26880
+-26880
+-26881
+-26881
+-26881
+-26880
+-26880
+-26879
+-26877
+-26876
+-26874
+-26872
+-26870
+-26867
+-26865
+-26862
+-26858
+-26855
+-26851
+-26847
+-26842
+-26838
+-26833
+-26828
+-26822
+-26816
+-26810
+-26804
+-26798
+-26791
+-26784
+-26776
+-26769
+-26761
+-26753
+-26744
+-26735
+-26726
+-26717
+-26708
+-26698
+-26688
+-26677
+-26667
+-26656
+-26644
+-26633
+-26621
+-26609
+-26597
+-26584
+-26571
+-26558
+-26545
+-26531
+-26517
+-26503
+-26488
+-26473
+-26458
+-26443
+-26427
+-26411
+-26395
+-26378
+-26361
+-26344
+-26327
+-26309
+-26291
+-26273
+-26254
+-26236
+-26216
+-26197
+-26177
+-26157
+-26137
+-26117
+-26096
+-26075
+-26053
+-26031
+-26009
+-25987
+-25965
+-25942
+-25919
+-25895
+-25871
+-25847
+-25823
+-25798
+-25774
+-25748
+-25723
+-25697
+-25671
+-25645
+-25618
+-25591
+-25564
+-25536
+-25508
+-25480
+-25452
+-25423
+-25394
+-25365
+-25335
+-25305
+-25275
+-25245
+-25214
+-25183
+-25151
+-25120
+-25088
+-25055
+-25023
+-24990
+-24957
+-24923
+-24890
+-24856
+-24821
+-24787
+-24752
+-24716
+-24681
+-24645
+-24609
+-24572
+-24535
+-24498
+-24461
+-24423
+-24385
+-24347
+-24309
+-24270
+-24230
+-24191
+-24151
+-24111
+-24071
+-24030
+-23989
+-23948
+-23906
+-23864
+-23822
+-23780
+-23737
+-23694
+-23650
+-23606
+-23562
+-23518
+-23473
+-23429
+-23383
+-23338
+-23292
+-23246
+-23199
+-23152
+-23105
+-23058
+-23010
+-22962
+-22914
+-22865
+-22816
+-22767
+-22718
+-22668
+-22618
+-22567
+-22516
+-22465
+-22414
+-22362
+-22310
+-22258
+-22205
+-22152
+-22099
+-22046
+-21992
+-21938
+-21883
+-21829
+-21773
+-21718
+-21662
+-21606
+-21550
+-21494
+-21437
+-21379
+-21322
+-21264
+-21206
+-21147
+-21089
+-21030
+-20970
+-20910
+-20850
+-20790
+-20730
+-20669
+-20607
+-20546
+-20484
+-20422
+-20359
+-20296
+-20233
+-20170
+-20106
+-20042
+-19978
+-19913
+-19848
+-19783
+-19718
+-19652
+-19585
+-19519
+-19452
+-19385
+-19318
+-19250
+-19182
+-19114
+-19045
+-18976
+-18907
+-18837
+-18767
+-18697
+-18626
+-18556
+-18484
+-18413
+-18341
+-18269
+-18197
+-18124
+-18051
+-17978
+-17904
+-17830
+-17756
+-17682
+-17607
+-17532
+-17456
+-17381
+-17305
+-17228
+-17152
+-17075
+-16997
+-16920
+-16842
+-16764
+-16685
+-16606
+-16527
+-16448
+-16368
+-16288
+-16208
+-16127
+-16046
+-15965
+-15883
+-15801
+-15719
+-15637
+-15554
+-15471
+-15387
+-15304
+-15220
+-15135
+-15051
+-14966
+-14880
+-14795
+-14709
+-14623
+-14536
+-14450
+-14363
+-14275
+-14188
+-14100
+-14011
+-13923
+-13834
+-13745
+-13655
+-13566
+-13476
+-13385
+-13294
+-13203
+-13112
+-13021
+-12929
+-12837
+-12744
+-12651
+-12558
+-12465
+-12371
+-12277
+-12183
+-12088
+-11993
+-11898
+-11803
+-11707
+-11611
+-11515
+-11418
+-11321
+-11224
+-11126
+-11028
+-10930
+-10832
+-10733
+-10634
+-10535
+-10435
+-10335
+-10235
+-10135
+-10034
+-9933
+-9831
+-9730
+-9628
+-9525
+-9423
+-9320
+-9217
+-9113
+-9010
+-8906
+-8801
+-8697
+-8592
+-8487
+-8381
+-8276
+-8170
+-8063
+-7957
+-7850
+-7743
+-7635
+-7527
+-7419
+-7311
+-7202
+-7094
+-6984
+-6875
+-6765
+-6655
+-6545
+-6434
+-6323
+-6212
+-6101
+-5989
+-5877
+-5765
+-5652
+-5539
+-5426
+-5313
+-5199
+-5085
+-4971
+-4856
+-4741
+-4626
+-4511
+-4395
+-4279
+-4163
+-4047
+-3930
+-3813
+-3696
+-3578
+-3460
+-3342
+-3224
+-3105
+-2986
+-2867
+-2747
+-2628
+-2508
+-2387
+-2267
+-2146
+-2025
+-1904
+-1782
+-1660
+-1538
+-1415
+-1293
+-1170
+-1046
+-923
+-799
+-675
+-551
+-426
+-301
+-176
+-51
+75
+201
+327
+453
+580
+707
+834
+961
+1089
+1217
+1345
+1474
+1602
+1731
+1860
+1990
+2120
+2250
+2380
+2510
+2641
+2772
+2904
+3035
+3167
+3299
+3431
+3564
+3696
+3829
+3963
+4096
+4230
+4364
+4498
+4633
+4767
+4902
+5037
+5173
+5309
+5445
+5581
+5717
+5854
+5991
+6128
+6265
+6403
+6541
+6679
+6817
+6956
+7095
+7234
+7373
+7512
+7652
+7792
+7932
+8073
+8213
+8354
+8495
+8637
+8778
+8920
+9062
+9205
+9347
+9490
+9633
+9776
+9919
+10063
+10207
+10351
+10495
+10640
+10784
+10929
+11074
+11220
+11365
+11511
+11657
+11803
+11950
+12097
+12243
+12391
+12538
+12685
+12833
+12981
+13129
+13278
+13426
+13575
+13724
+13873
+14023
+14172
+14322
+14472
+14622
+14773
+14923
+15074
+15225
+15376
+15528
+15680
+15831
+15983
+16136
+16288
+16441
+16594
+16747
+16900
+17053
+17207
+17361
+17515
+17669
+17823
+17978
+18132
+18287
+18442
+18598
+18753
+18909
+19065
+19221
+19377
+19533
+19690
+19847
+20004
+20161
+20318
+20476
+20633
+20791
+20949
+21107
+21266
+21424
+21583
+21742
+21901
+22060
+22220
+22379
+22539
+22699
+22859
+23019
+23179
+23340
+23501
+23662
+23823
+23984
+24145
+24307
+24469
+24630
+24792
+24955
+25117
+25279
+25442
+25605
+25768
+25931
+26094
+26258
+26421
+26585
+26749
+26913
+27077
+27241
+27406
+27570
+27735
+27900
+28065
+28230
+28395
+28561
+28726
+28892
+29058
+29224
+29390
+29556
+29723
+29889
+30056
+30223
+30390
+30557
+30724
+30891
+31059
+31226
+31394
+31562
+31730
+31898
+32066
+32234
+32403
+32571
+32740
+32909
+33078
+33247
+33416
+33585
+33755
+33924
+34094
+34264
+34434
+34604
+34774
+34944
+35114
+35285
+35455
+35626
+35796
+35967
+36138
+36309
+36480
+36652
+36823
+36994
+37166
+37337
+37509
+37681
+37853
+38025
+38197
+38369
+38542
+38714
+38886
+39059
+39232
+39404
+39577
+39750
+39923
+40096
+40269
+40443
+40616
+40789
+40963
+41136
+41310
+41484
+41658
+41831
+42005
+42179
+42353
+42528
+42702
+42876
+43051
+43225
+43400
+43574
+43749
+43923
+44098
+44273
+44448
+44623
+44798
+44973
+45148
+45323
+45499
+45674
+45849
+46025
+46200
+46376
+46551
+46727
+46902
+47078
+47254
+47430
+47606
+47782
+47957
+48133
+48309
+48486
+48662
+48838
+49014
+49190
+49367
+49543
+49719
+49896
+50072
+50248
+50425
+50601
+50778
+50954
+51131
+51308
+51484
+51661
+51838
+52014
+52191
+52368
+52544
+52721
+52898
+53075
+53252
+53429
+53605
+53782
+53959
+54136
+54313
+54490
+54667
+54844
+55021
+55198
+55375
+55552
+55729
+55906
+56083
+56260
+56437
+56614
+56790
+56967
+57144
+57321
+57498
+57675
+57852
+58029
+58206
+58383
+58560
+58737
+58914
+59090
+59267
+59444
+59621
+59798
+59974
+60151
+60328
+60505
+60681
+60858
+61035
+61211
+61388
+61564
+61741
+61917
+62094
+62270
+62447
+62623
+62799
+62976
+63152
+63328
+63504
+63680
+63857
+64033
+64209
+64385
+64561
+64736
+64912
+65088
+65264
+65439
+65615
+65791
+65966
+66142
+66317
+66493
+66668
+66843
+67018
+67194
+67369
+67544
+67719
+67894
+68068
+68243
+68418
+68593
+68767
+68942
+69116
+69291
+69465
+69639
+69813
+69987
+70161
+70335
+70509
+70683
+70857
+71030
+71204
+71377
+71551
+71724
+71897
+72071
+72244
+72417
+72589
+72762
+72935
+73108
+73280
+73452
+73625
+73797
+73969
+74141
+74313
+74485
+74657
+74828
+75000
+75171
+75343
+75514
+75685
+75856
+76027
+76198
+76369
+76539
+76710
+76880
+77050
+77220
+77391
+77560
+77730
+77900
+78070
+78239
+78408
+78577
+78747
+78916
+79084
+79253
+79422
+79590
+79758
+79927
+80095
+80263
+80431
+80598
+80766
+80933
+81100
+81268
+81435
+81601
+81768
+81935
+82101
+82268
+82434
+82600
+82766
+82931
+83097
+83262
+83428
+83593
+83758
+83923
+84087
+84252
+84416
+84580
+84744
+84908
+85072
+85236
+85399
+85562
+85726
+85889
+86051
+86214
+86376
+86539
+86701
+86863
+87025
+87186
+87348
+87509
+87670
+87831
+87992
+88152
+88313
+88473
+88633
+88793
+88953
+89112
+89272
+89431
+89590
+89748
+89907
+90065
+90224
+90382
+90540
+90697
+90855
+91012
+91169
+91326
+91483
+91639
+91796
+91952
+92108
+92263
+92419
+92574
+92729
+92884
+93039
+93193
+93348
+93502
+93656
+93809
+93963
+94116
+94269
+94422
+94575
+94727
+94879
+95031
+95183
+95335
+95486
+95637
+95788
+95939
+96089
+96240
+96390
+96539
+96689
+96838
+96988
+97137
+97285
+97434
+97582
+97730
+97878
+98025
+98172
+98320
+98466
+98613
+98759
+98905
+99051
+99197
+99342
+99488
+99633
+99777
+99922
+100066
+100210
+100354
+100497
+100640
+100783
+100926
+101069
+101211
+101353
+101494
+101636
+101777
+101918
+102059
+102199
+102340
+102479
+102619
+102759
+102898
+103037
+103175
+103314
+103452
+103590
+103727
+103865
+104002
+104138
+104275
+104411
+104547
+104683
+104818
+104954
+105089
+105223
+105358
+105492
+105625
+105759
+105892
+106025
+106158
+106290
+106422
+106554
+106686
+106817
+106948
+107079
+107209
+107340
+107469
+107599
+107728
+107857
+107986
+108115
+108243
+108370
+108498
+108625
+108752
+108879
+109005
+109131
+109257
+109383
+109508
+109633
+109757
+109882
+110006
+110129
+110253
+110376
+110499
+110621
+110743
+110865
+110987
+111108
+111229
+111349
+111470
+111590
+111710
+111829
+111948
+112067
+112185
+112303
+112421
+112539
+112656
+112773
+112889
+113005
+113121
+113237
+113352
+113467
+113582
+113696
+113810
+113924
+114037
+114150
+114263
+114375
+114487
+114599
+114710
+114821
+114932
+115042
+115152
+115262
+115372
+115481
+115589
+115698
+115806
+115913
+116021
+116128
+116235
+116341
+116447
+116553
+116658
+116763
+116868
+116972
+117076
+117180
+117283
+117386
+117488
+117591
+117693
+117794
+117895
+117996
+118097
+118197
+118297
+118396
+118495
+118594
+118692
+118790
+118888
+118985
+119082
+119179
+119275
+119371
+119467
+119562
+119657
+119751
+119846
+119939
+120033
+120126
+120218
+120311
+120403
+120494
+120586
+120676
+120767
+120857
+120947
+121036
+121125
+121214
+121302
+121390
+121478
+121565
+121652
+121738
+121824
+121910
+121996
+122081
+122165
+122249
+122333
+122417
+122500
+122583
+122665
+122747
+122828
+122910
+122991
+123071
+123151
+123231
+123310
+123389
+123468
+123546
+123624
+123701
+123778
+123855
+123931
+124007
+124082
+124158
+124232
+124307
+124381
+124454
+124527
+124600
+124673
+124745
+124816
+124887
+124958
+125029
+125099
+125169
+125238
+125307
+125375
+125443
+125511
+125578
+125645
+125712
+125778
+125844
+125909
+125974
+126039
+126103
+126167
+126230
+126293
+126356
+126418
+126480
+126541
+126602
+126662
+126723
+126782
+126842
+126901
+126959
+127018
+127075
+127133
+127190
+127246
+127302
+127358
+127413
+127468
+127523
+127577
+127631
+127684
+127737
+127790
+127842
+127893
+127945
+127996
+128046
+128096
+128146
+128195
+128244
+128292
+128340
+128388
+128435
+128482
+128528
+128574
+128620
+128665
+128710
+128754
+128798
+128841
+128884
+128927
+128969
+129011
+129052
+129094
+129134
+129174
+129214
+129253
+129292
+129331
+129369
+129407
+129444
+129481
+129517
+129553
+129589
+129624
+129659
+129693
+129727
+129760
+129793
+129826
+129858
+129890
+129922
+129953
+129983
+130013
+130043
+130072
+130101
+130130
+130158
+130185
+130213
+130239
+130266
+130292
+130317
+130342
+130367
+130391
+130415
+130438
+130461
+130484
+130506
+130528
+130549
+130570
+130590
+130610
+130630
+130649
+130668
+130686
+130704
+130721
+130738
+130755
+130771
+130787
+130802
+130817
+130832
+130846
+130859
+130873
+130885
+130898
+130910
+130921
+130932
+130943
+130953
+130963
+130972
+130981
+130990
+130998
+131006
+131013
+131020
+131026
+131032
+131038
+131043
+131048
+131052
+131056
+131059
+131062
+131065
+131067
+131068
+131070
+131071
+131071
+131071
+131071
+131070
+131068
+131067
+131065
+131062
+131059
+131056
+131052
+131048
+131043
+131038
+131032
+131026
+131020
+131013
+131006
+130998
+130990
+130981
+130972
+130963
+130953
+130943
+130932
+130921
+130910
+130898
+130885
+130873
+130859
+130846
+130832
+130817
+130802
+130787
+130771
+130755
+130738
+130721
+130704
+130686
+130668
+130649
+130630
+130610
+130590
+130570
+130549
+130528
+130506
+130484
+130461
+130438
+130415
+130391
+130367
+130342
+130317
+130292
+130266
+130239
+130213
+130185
+130158
+130130
+130101
+130072
+130043
+130013
+129983
+129953
+129922
+129890
+129858
+129826
+129793
+129760
+129727
+129693
+129659
+129624
+129589
+129553
+129517
+129481
+129444
+129407
+129369
+129331
+129292
+129253
+129214
+129174
+129134
+129094
+129052
+129011
+128969
+128927
+128884
+128841
+128798
+128754
+128710
+128665
+128620
+128574
+128528
+128482
+128435
+128388
+128340
+128292
+128244
+128195
+128146
+128096
+128046
+127996
+127945
+127893
+127842
+127790
+127737
+127684
+127631
+127577
+127523
+127468
+127413
+127358
+127302
+127246
+127190
+127133
+127075
+127018
+126959
+126901
+126842
+126782
+126723
+126662
+126602
+126541
+126480
+126418
+126356
+126293
+126230
+126167
+126103
+126039
+125974
+125909
+125844
+125778
+125712
+125645
+125578
+125511
+125443
+125375
+125307
+125238
+125169
+125099
+125029
+124958
+124887
+124816
+124745
+124673
+124600
+124527
+124454
+124381
+124307
+124232
+124158
+124082
+124007
+123931
+123855
+123778
+123701
+123624
+123546
+123468
+123389
+123310
+123231
+123151
+123071
+122991
+122910
+122828
+122747
+122665
+122583
+122500
+122417
+122333
+122249
+122165
+122081
+121996
+121910
+121824
+121738
+121652
+121565
+121478
+121390
+121302
+121214
+121125
+121036
+120947
+120857
+120767
+120676
+120586
+120494
+120403
+120311
+120218
+120126
+120033
+119939
+119846
+119751
+119657
+119562
+119467
+119371
+119275
+119179
+119082
+118985
+118888
+118790
+118692
+118594
+118495
+118396
+118297
+118197
+118097
+117996
+117895
+117794
+117693
+117591
+117488
+117386
+117283
+117180
+117076
+116972
+116868
+116763
+116658
+116553
+116447
+116341
+116235
+116128
+116021
+115913
+115806
+115698
+115589
+115481
+115372
+115262
+115152
+115042
+114932
+114821
+114710
+114599
+114487
+114375
+114263
+114150
+114037
+113924
+113810
+113696
+113582
+113467
+113352
+113237
+113121
+113005
+112889
+112773
+112656
+112539
+112421
+112303
+112185
+112067
+111948
+111829
+111710
+111590
+111470
+111349
+111229
+111108
+110987
+110865
+110743
+110621
+110499
+110376
+110253
+110129
+110006
+109882
+109757
+109633
+109508
+109383
+109257
+109131
+109005
+108879
+108752
+108625
+108498
+108370
+108243
+108115
+107986
+107857
+107728
+107599
+107469
+107340
+107209
+107079
+106948
+106817
+106686
+106554
+106422
+106290
+106158
+106025
+105892
+105759
+105625
+105492
+105358
+105223
+105089
+104954
+104818
+104683
+104547
+104411
+104275
+104138
+104002
+103865
+103727
+103590
+103452
+103314
+103175
+103037
+102898
+102759
+102619
+102479
+102340
+102199
+102059
+101918
+101777
+101636
+101494
+101353
+101211
+101069
+100926
+100783
+100640
+100497
+100354
+100210
+100066
+99922
+99777
+99633
+99488
+99342
+99197
+99051
+98905
+98759
+98613
+98466
+98320
+98172
+98025
+97878
+97730
+97582
+97434
+97285
+97137
+96988
+96838
+96689
+96539
+96390
+96240
+96089
+95939
+95788
+95637
+95486
+95335
+95183
+95031
+94879
+94727
+94575
+94422
+94269
+94116
+93963
+93809
+93656
+93502
+93348
+93193
+93039
+92884
+92729
+92574
+92419
+92263
+92108
+91952
+91796
+91639
+91483
+91326
+91169
+91012
+90855
+90697
+90540
+90382
+90224
+90065
+89907
+89748
+89590
+89431
+89272
+89112
+88953
+88793
+88633
+88473
+88313
+88152
+87992
+87831
+87670
+87509
+87348
+87186
+87025
+86863
+86701
+86539
+86376
+86214
+86051
+85889
+85726
+85562
+85399
+85236
+85072
+84908
+84744
+84580
+84416
+84252
+84087
+83923
+83758
+83593
+83428
+83262
+83097
+82931
+82766
+82600
+82434
+82268
+82101
+81935
+81768
+81601
+81435
+81268
+81100
+80933
+80766
+80598
+80431
+80263
+80095
+79927
+79758
+79590
+79422
+79253
+79084
+78916
+78747
+78577
+78408
+78239
+78070
+77900
+77730
+77560
+77391
+77220
+77050
+76880
+76710
+76539
+76369
+76198
+76027
+75856
+75685
+75514
+75343
+75171
+75000
+74828
+74657
+74485
+74313
+74141
+73969
+73797
+73625
+73452
+73280
+73108
+72935
+72762
+72589
+72417
+72244
+72071
+71897
+71724
+71551
+71377
+71204
+71030
+70857
+70683
+70509
+70335
+70161
+69987
+69813
+69639
+69465
+69291
+69116
+68942
+68767
+68593
+68418
+68243
+68068
+67894
+67719
+67544
+67369
+67194
+67018
+66843
+66668
+66493
+66317
+66142
+65966
+65791
+65615
+65439
+65264
+65088
+64912
+64736
+64561
+64385
+64209
+64033
+63857
+63680
+63504
+63328
+63152
+62976
+62799
+62623
+62447
+62270
+62094
+61917
+61741
+61564
+61388
+61211
+61035
+60858
+60681
+60505
+60328
+60151
+59974
+59798
+59621
+59444
+59267
+59090
+58914
+58737
+58560
+58383
+58206
+58029
+57852
+57675
+57498
+57321
+57144
+56967
+56790
+56614
+56437
+56260
+56083
+55906
+55729
+55552
+55375
+55198
+55021
+54844
+54667
+54490
+54313
+54136
+53959
+53782
+53605
+53429
+53252
+53075
+52898
+52721
+52544
+52368
+52191
+52014
+51838
+51661
+51484
+51308
+51131
+50954
+50778
+50601
+50425
+50248
+50072
+49896
+49719
+49543
+49367
+49190
+49014
+48838
+48662
+48486
+48309
+48133
+47957
+47782
+47606
+47430
+47254
+47078
+46902
+46727
+46551
+46376
+46200
+46025
+45849
+45674
+45499
+45323
+45148
+44973
+44798
+44623
+44448
+44273
+44098
+43923
+43749
+43574
+43400
+43225
+43051
+42876
+42702
+42528
+42353
+42179
+42005
+41831
+41658
+41484
+41310
+41136
+40963
+40789
+40616
+40443
+40269
+40096
+39923
+39750
+39577
+39404
+39232
+39059
+38886
+38714
+38542
+38369
+38197
+38025
+37853
+37681
+37509
+37337
+37166
+36994
+36823
+36652
+36480
+36309
+36138
+35967
+35796
+35626
+35455
+35285
+35114
+34944
+34774
+34604
+34434
+34264
+34094
+33924
+33755
+33585
+33416
+33247
+33078
+32909
+32740
+32571
+32403
+32234
+32066
+31898
+31730
+31562
+31394
+31226
+31059
+30891
+30724
+30557
+30390
+30223
+30056
+29889
+29723
+29556
+29390
+29224
+29058
+28892
+28726
+28561
+28395
+28230
+28065
+27900
+27735
+27570
+27406
+27241
+27077
+26913
+26749
+26585
+26421
+26258
+26094
+25931
+25768
+25605
+25442
+25279
+25117
+24955
+24792
+24630
+24469
+24307
+24145
+23984
+23823
+23662
+23501
+23340
+23179
+23019
+22859
+22699
+22539
+22379
+22220
+22060
+21901
+21742
+21583
+21424
+21266
+21107
+20949
+20791
+20633
+20476
+20318
+20161
+20004
+19847
+19690
+19533
+19377
+19221
+19065
+18909
+18753
+18598
+18442
+18287
+18132
+17978
+17823
+17669
+17515
+17361
+17207
+17053
+16900
+16747
+16594
+16441
+16288
+16136
+15983
+15831
+15680
+15528
+15376
+15225
+15074
+14923
+14773
+14622
+14472
+14322
+14172
+14023
+13873
+13724
+13575
+13426
+13278
+13129
+12981
+12833
+12685
+12538
+12391
+12243
+12097
+11950
+11803
+11657
+11511
+11365
+11220
+11074
+10929
+10784
+10640
+10495
+10351
+10207
+10063
+9919
+9776
+9633
+9490
+9347
+9205
+9062
+8920
+8778
+8637
+8495
+8354
+8213
+8073
+7932
+7792
+7652
+7512
+7373
+7234
+7095
+6956
+6817
+6679
+6541
+6403
+6265
+6128
+5991
+5854
+5717
+5581
+5445
+5309
+5173
+5037
+4902
+4767
+4633
+4498
+4364
+4230
+4096
+3963
+3829
+3696
+3564
+3431
+3299
+3167
+3035
+2904
+2772
+2641
+2510
+2380
+2250
+2120
+1990
+1860
+1731
+1602
+1474
+1345
+1217
+1089
+961
+834
+707
+580
+453
+327
+201
+75
+-51
+-176
+-301
+-426
+-551
+-675
+-799
+-923
+-1046
+-1170
+-1293
+-1415
+-1538
+-1660
+-1782
+-1904
+-2025
+-2146
+-2267
+-2387
+-2508
+-2628
+-2747
+-2867
+-2986
+-3105
+-3224
+-3342
+-3460
+-3578
+-3696
+-3813
+-3930
+-4047
+-4163
+-4279
+-4395
+-4511
+-4626
+-4741
+-4856
+-4971
+-5085
+-5199
+-5313
+-5426
+-5539
+-5652
+-5765
+-5877
+-5989
+-6101
+-6212
+-6323
+-6434
+-6545
+-6655
+-6765
+-6875
+-6984
+-7094
+-7202
+-7311
+-7419
+-7527
+-7635
+-7743
+-7850
+-7957
+-8063
+-8170
+-8276
+-8381
+-8487
+-8592
+-8697
+-8801
+-8906
+-9010
+-9113
+-9217
+-9320
+-9423
+-9525
+-9628
+-9730
+-9831
+-9933
+-10034
+-10135
+-10235
+-10335
+-10435
+-10535
+-10634
+-10733
+-10832
+-10930
+-11028
+-11126
+-11224
+-11321
+-11418
+-11515
+-11611
+-11707
+-11803
+-11898
+-11993
+-12088
+-12183
+-12277
+-12371
+-12465
+-12558
+-12651
+-12744
+-12837
+-12929
+-13021
+-13112
+-13203
+-13294
+-13385
+-13476
+-13566
+-13655
+-13745
+-13834
+-13923
+-14011
+-14100
+-14188
+-14275
+-14363
+-14450
+-14536
+-14623
+-14709
+-14795
+-14880
+-14966
+-15051
+-15135
+-15220
+-15304
+-15387
+-15471
+-15554
+-15637
+-15719
+-15801
+-15883
+-15965
+-16046
+-16127
+-16208
+-16288
+-16368
+-16448
+-16527
+-16606
+-16685
+-16764
+-16842
+-16920
+-16997
+-17075
+-17152
+-17228
+-17305
+-17381
+-17456
+-17532
+-17607
+-17682
+-17756
+-17830
+-17904
+-17978
+-18051
+-18124
+-18197
+-18269
+-18341
+-18413
+-18484
+-18556
+-18626
+-18697
+-18767
+-18837
+-18907
+-18976
+-19045
+-19114
+-19182
+-19250
+-19318
+-19385
+-19452
+-19519
+-19585
+-19652
+-19718
+-19783
+-19848
+-19913
+-19978
+-20042
+-20106
+-20170
+-20233
+-20296
+-20359
+-20422
+-20484
+-20546
+-20607
+-20669
+-20730
+-20790
+-20850
+-20910
+-20970
+-21030
+-21089
+-21147
+-21206
+-21264
+-21322
+-21379
+-21437
+-21494
+-21550
+-21606
+-21662
+-21718
+-21773
+-21829
+-21883
+-21938
+-21992
+-22046
+-22099
+-22152
+-22205
+-22258
+-22310
+-22362
+-22414
+-22465
+-22516
+-22567
+-22618
+-22668
+-22718
+-22767
+-22816
+-22865
+-22914
+-22962
+-23010
+-23058
+-23105
+-23152
+-23199
+-23246
+-23292
+-23338
+-23383
+-23429
+-23473
+-23518
+-23562
+-23606
+-23650
+-23694
+-23737
+-23780
+-23822
+-23864
+-23906
+-23948
+-23989
+-24030
+-24071
+-24111
+-24151
+-24191
+-24230
+-24270
+-24309
+-24347
+-24385
+-24423
+-24461
+-24498
+-24535
+-24572
+-24609
+-24645
+-24681
+-24716
+-24752
+-24787
+-24821
+-24856
+-24890
+-24923
+-24957
+-24990
+-25023
+-25055
+-25088
+-25120
+-25151
+-25183
+-25214
+-25245
+-25275
+-25305
+-25335
+-25365
+-25394
+-25423
+-25452
+-25480
+-25508
+-25536
+-25564
+-25591
+-25618
+-25645
+-25671
+-25697
+-25723
+-25748
+-25774
+-25798
+-25823
+-25847
+-25871
+-25895
+-25919
+-25942
+-25965
+-25987
+-26009
+-26031
+-26053
+-26075
+-26096
+-26117
+-26137
+-26157
+-26177
+-26197
+-26216
+-26236
+-26254
+-26273
+-26291
+-26309
+-26327
+-26344
+-26361
+-26378
+-26395
+-26411
+-26427
+-26443
+-26458
+-26473
+-26488
+-26503
+-26517
+-26531
+-26545
+-26558
+-26571
+-26584
+-26597
+-26609
+-26621
+-26633
+-26644
+-26656
+-26667
+-26677
+-26688
+-26698
+-26708
+-26717
+-26726
+-26735
+-26744
+-26753
+-26761
+-26769
+-26776
+-26784
+-26791
+-26798
+-26804
+-26810
+-26816
+-26822
+-26828
+-26833
+-26838
+-26842
+-26847
+-26851
+-26855
+-26858
+-26862
+-26865
+-26867
+-26870
+-26872
+-26874
+-26876
+-26877
+-26879
+-26880
+-26880
+-26881
+-26881
+-26881
+-26880
+-26880
+-26879
+-26877
+-26876
+-26874
+-26872
+-26870
+-26868
+-26865
+-26862
+-26859
+-26855
+-26852
+-26848
+-26843
+-26839
+-26834
+-26829
+-26824
+-26818
+-26812
+-26806
+-26800
+-26794
+-26787
+-26780
+-26772
+-26765
+-26757
+-26749
+-26741
+-26732
+-26723
+-26714
+-26705
+-26696
+-26686
+-26676
+-26666
+-26655
+-26644
+-26633
+-26622
+-26611
+-26599
+-26587
+-26575
+-26562
+-26550
+-26537
+-26524
+-26510
+-26496
+-26483
+-26469
+-26454
+-26440
+-26425
+-26410
+-26394
+-26379
+-26363
+-26347
+-26331
+-26314
+-26298
+-26281
+-26264
+-26246
+-26229
+-26211
+-26193
+-26174
+-26156
+-26137
+-26118
+-26099
+-26080
+-26060
+-26040
+-26020
+-26000
+-25979
+-25958
+-25937
+-25916
+-25895
+-25873
+-25851
+-25829
+-25807
+-25784
+-25761
+-25738
+-25715
+-25692
+-25668
+-25644
+-25620
+-25596
+-25572
+-25547
+-25522
+-25497
+-25471
+-25446
+-25420
+-25394
+-25368
+-25342
+-25315
+-25288
+-25261
+-25234
+-25207
+-25179
+-25151
+-25123
+-25095
+-25066
+-25038
+-25009
+-24980
+-24951
+-24921
+-24892
+-24862
+-24832
+-24801
+-24771
+-24740
+-24709
+-24678
+-24647
+-24616
+-24584
+-24552
+-24520
+-24488
+-24456
+-24423
+-24390
+-24357
+-24324
+-24291
+-24257
+-24224
+-24190
+-24156
+-24121
+-24087
+-24052
+-24017
+-23982
+-23947
+-23912
+-23876
+-23840
+-23804
+-23768
+-23732
+-23695
+-23659
+-23622
+-23585
+-23548
+-23510
+-23473
+-23435
+-23397
+-23359
+-23321
+-23282
+-23244
+-23205
+-23166
+-23127
+-23088
+-23048
+-23009
+-22969
+-22929
+-22889
+-22849
+-22808
+-22768
+-22727
+-22686
+-22645
+-22603
+-22562
+-22520
+-22479
+-22437
+-22395
+-22352
+-22310
+-22267
+-22225
+-22182
+-22139
+-22096
+-22052
+-22009
+-21965
+-21921
+-21877
+-21833
+-21789
+-21745
+-21700
+-21655
+-21611
+-21566
+-21520
+-21475
+-21430
+-21384
+-21338
+-21293
+-21247
+-21200
+-21154
+-21108
+-21061
+-21014
+-20967
+-20920
+-20873
+-20826
+-20779
+-20731
+-20683
+-20635
+-20587
+-20539
+-20491
+-20443
+-20394
+-20346
+-20297
+-20248
+-20199
+-20150
+-20100
+-20051
+-20001
+-19952
+-19902
+-19852
+-19802
+-19752
+-19702
+-19651
+-19601
+-19550
+-19499
+-19448
+-19397
+-19346
+-19295
+-19243
+-19192
+-19140
+-19089
+-19037
+-18985
+-18933
+-18880
+-18828
+-18776
+-18723
+-18670
+-18618
+-18565
+-18512
+-18459
+-18406
+-18352
+-18299
+-18245
+-18192
+-18138
+-18084
+-18030
+-17976
+-17922
+-17868
+-17813
+-17759
+-17705
+-17650
+-17595
+-17540
+-17485
+-17430
+-17375
+-17320
+-17265
+-17209
+-17154
+-17098
+-17042
+-16987
+-16931
+-16875
+-16819
+-16763
+-16706
+-16650
+-16594
+-16537
+-16481
+-16424
+-16367
+-16310
+-16253
+-16196
+-16139
+-16082
+-16025
+-15968
+-15910
+-15853
+-15795
+-15737
+-15680
+-15622
+-15564
+-15506
+-15448
+-15390
+-15332
+-15274
+-15215
+-15157
+-15098
+-15040
+-14981
+-14923
+-14864
+-14805
+-14746
+-14687
+-14628
+-14569
+-14510
+-14451
+-14392
+-14332
+-14273
+-14213
+-14154
+-14094
+-14035
+-13975
+-13915
+-13856
+-13796
+-13736
+-13676
+-13616
+-13556
+-13496
+-13435
+-13375
+-13315
+-13255
+-13194
+-13134
+-13073
+-13013
+-12952
+-12891
+-12831
+-12770
+-12709
+-12648
+-12588
+-12527
+-12466
+-12405
+-12344
+-12283
+-12221
+-12160
+-12099
+-12038
+-11977
+-11915
+-11854
+-11793
+-11731
+-11670
+-11608
+-11547
+-11485
+-11424
+-11362
+-11300
+-11239
+-11177
+-11115
+-11053
+-10991
+-10930
+-10868
+-10806
+-10744
+-10682
+-10620
+-10558
+-10496
+-10434
+-10372
+-10310
+-10248
+-10186
+-10124
+-10061
+-9999
+-9937
+-9875
+-9813
+-9750
+-9688
+-9626
+-9563
+-9501
+-9439
+-9376
+-9314
+-9252
+-9189
+-9127
+-9065
+-9002
+-8940
+-8877
+-8815
+-8753
+-8690
+-8628
+-8565
+-8503
+-8440
+-8378
+-8315
+-8253
+-8190
+-8128
+-8065
+-8003
+-7941
+-7878
+-7816
+-7753
+-7691
+-7628
+-7566
+-7503
+-7441
+-7378
+-7316
+-7254
+-7191
+-7129
+-7066
+-7004
+-6942
+-6879
+-6817
+-6754
+-6692
+-6630
+-6568
+-6505
+-6443
+-6381
+-6318
+-6256
+-6194
+-6132
+-6070
+-6007
+-5945
+-5883
+-5821
+-5759
+-5697
+-5635
+-5573
+-5511
+-5449
+-5387
+-5325
+-5263
+-5201
+-5139
+-5077
+-5016
+-4954
+-4892
+-4830
+-4769
+-4707
+-4645
+-4584
+-4522
+-4461
+-4399
+-4338
+-4276
+-4215
+-4153
+-4092
+-4031
+-3970
+-3908
+-3847
+-3786
+-3725
+-3664
+-3603
+-3542
+-3481
+-3420
+-3359
+-3298
+-3237
+-3177
+-3116
+-3055
+-2995
+-2934
+-2874
+-2813
+-2753
+-2692
+-2632
+-2572
+-2511
+-2451
+-2391
+-2331
+-2271
+-2211
+-2151
+-2091
+-2031
+-1971
+-1912
+-1852
+-1792
+-1733
+-1673
+-1614
+-1554
+-1495
+-1436
+-1377
+-1317
+-1258
+-1199
+-1140
+-1081
+-1022
+-964
+-905
+-846
+-788
+-729
+-670
+-612
+-554
+-495
+-437
+-379
+-321
+-263
+-205
+-147
+-89
+-31
+26
+84
+142
+199
+257
+314
+371
+428
+486
+543
+600
+656
+713
+770
+827
+883
+940
+996
+1053
+1109
+1165
+1221
+1278
+1334
+1389
+1445
+1501
+1557
+1612
+1668
+1723
+1779
+1834
+1889
+1944
+1999
+2054
+2109
+2164
+2218
+2273
+2327
+2382
+2436
+2490
+2545
+2599
+2653
+2706
+2760
+2814
+2868
+2921
+2974
+3028
+3081
+3134
+3187
+3240
+3293
+3346
+3399
+3451
+3504
+3556
+3608
+3661
+3713
+3765
+3817
+3868
+3920
+3972
+4023
+4075
+4126
+4177
+4228
+4279
+4330
+4381
+4432
+4482
+4533
+4583
+4634
+4684
+4734
+4784
+4834
+4883
+4933
+4983
+5032
+5082
+5131
+5180
+5229
+5278
+5327
+5375
+5424
+5473
+5521
+5569
+5617
+5665
+5713
+5761
+5809
+5856
+5904
+5951
+5999
+6046
+6093
+6140
+6187
+6233
+6280
+6326
+6373
+6419
+6465
+6511
+6557
+6603
+6648
+6694
+6739
+6785
+6830
+6875
+6920
+6965
+7009
+7054
+7098
+7143
+7187
+7231
+7275
+7319
+7363
+7406
+7450
+7493
+7537
+7580
+7623
+7666
+7708
+7751
+7794
+7836
+7878
+7920
+7962
+8004
+8046
+8088
+8129
+8171
+8212
+8253
+8294
+8335
+8376
+8416
+8457
+8497
+8538
+8578
+8618
+8657
+8697
+8737
+8776
+8816
+8855
+8894
+8933
+8972
+9010
+9049
+9087
+9126
+9164
+9202
+9240
+9278
+9315
+9353
+9390
+9427
+9464
+9501
+9538
+9575
+9611
+9648
+9684
+9720
+9756
+9792
+9828
+9864
+9899
+9934
+9970
+10005
+10040
+10074
+10109
+10144
+10178
+10212
+10246
+10280
+10314
+10348
+10382
+10415
+10448
+10481
+10514
+10547
+10580
+10613
+10645
+10677
+10710
+10742
+10773
+10805
+10837
+10868
+10900
+10931
+10962
+10993
+11024
+11054
+11085
+11115
+11145
+11175
+11205
+11235
+11265
+11294
+11323
+11353
+11382
+11411
+11439
+11468
+11497
+11525
+11553
+11581
+11609
+11637
+11665
+11692
+11719
+11747
+11774
+11801
+11827
+11854
+11880
+11907
+11933
+11959
+11985
+12011
+12036
+12062
+12087
+12112
+12137
+12162
+12187
+12211
+12236
+12260
+12284
+12308
+12332
+12356
+12380
+12403
+12426
+12449
+12472
+12495
+12518
+12541
+12563
+12585
+12607
+12629
+12651
+12673
+12694
+12716
+12737
+12758
+12779
+12800
+12820
+12841
+12861
+12881
+12902
+12921
+12941
+12961
+12980
+13000
+13019
+13038
+13057
+13075
+13094
+13112
+13131
+13149
+13167
+13185
+13202
+13220
+13237
+13255
+13272
+13289
+13306
+13322
+13339
+13355
+13371
+13388
+13403
+13419
+13435
+13450
+13466
+13481
+13496
+13511
+13526
+13540
+13555
+13569
+13583
+13597
+13611
+13625
+13639
+13652
+13665
+13678
+13691
+13704
+13717
+13730
+13742
+13754
+13766
+13778
+13790
+13802
+13813
+13825
+13836
+13847
+13858
+13869
+13880
+13890
+13900
+13911
+13921
+13931
+13940
+13950
+13960
+13969
+13978
+13987
+13996
+14005
+14014
+14022
+14030
+14039
+14047
+14054
+14062
+14070
+14077
+14085
+14092
+14099
+14106
+14112
+14119
+14126
+14132
+14138
+14144
+14150
+14156
+14161
+14167
+14172
+14177
+14182
+14187
+14192
+14196
+14201
+14205
+14209
+14213
+14217
+14221
+14225
+14228
+14231
+14235
+14238
+14241
+14243
+14246
+14248
+14251
+14253
+14255
+14257
+14259
+14260
+14262
+14263
+14264
+14266
+14266
+14267
+14268
+14268
+14269
+14269
+14269
+14269
+14269
+14269
+14268
+14268
+14267
+14266
+14265
+14264
+14263
+14261
+14260
+14258
+14256
+14254
+14252
+14250
+14248
+14245
+14243
+14240
+14237
+14234
+14231
+14227
+14224
+14220
+14217
+14213
+14209
+14205
+14201
+14196
+14192
+14187
+14182
+14177
+14172
+14167
+14162
+14156
+14151
+14145
+14139
+14133
+14127
+14121
+14115
+14108
+14102
+14095
+14088
+14081
+14074
+14067
+14059
+14052
+14044
+14037
+14029
+14021
+14013
+14004
+13996
+13987
+13979
+13970
+13961
+13952
+13943
+13934
+13924
+13915
+13905
+13895
+13885
+13875
+13865
+13855
+13845
+13834
+13823
+13813
+13802
+13791
+13780
+13768
+13757
+13746
+13734
+13722
+13710
+13698
+13686
+13674
+13662
+13649
+13637
+13624
+13611
+13598
+13585
+13572
+13559
+13546
+13532
+13518
+13505
+13491
+13477
+13463
+13449
+13434
+13420
+13405
+13391
+13376
+13361
+13346
+13331
+13316
+13300
+13285
+13269
+13254
+13238
+13222
+13206
+13190
+13173
+13157
+13141
+13124
+13107
+13091
+13074
+13057
+13040
+13022
+13005
+12988
+12970
+12952
+12935
+12917
+12899
+12881
+12863
+12844
+12826
+12807
+12789
+12770
+12751
+12732
+12713
+12694
+12675
+12656
+12636
+12617
+12597
+12577
+12557
+12537
+12517
+12497
+12477
+12457
+12436
+12416
+12395
+12374
+12353
+12333
+12312
+12290
+12269
+12248
+12226
+12205
+12183
+12162
+12140
+12118
+12096
+12074
+12052
+12029
+12007
+11985
+11962
+11939
+11917
+11894
+11871
+11848
+11825
+11802
+11778
+11755
+11731
+11708
+11684
+11661
+11637
+11613
+11589
+11565
+11541
+11516
+11492
+11468
+11443
+11418
+11394
+11369
+11344
+11319
+11294
+11269
+11244
+11219
+11193
+11168
+11142
+11117
+11091
+11065
+11039
+11013
+10987
+10961
+10935
+10909
+10883
+10856
+10830
+10803
+10777
+10750
+10723
+10696
+10669
+10642
+10615
+10588
+10561
+10534
+10506
+10479
+10451
+10424
+10396
+10368
+10340
+10312
+10284
+10256
+10228
+10200
+10172
+10144
+10115
+10087
+10058
+10030
+10001
+9972
+9943
+9915
+9886
+9857
+9828
+9798
+9769
+9740
+9711
+9681
+9652
+9622
+9593
+9563
+9533
+9504
+9474
+9444
+9414
+9384
+9354
+9324
+9294
+9263
+9233
+9203
+9172
+9142
+9111
+9081
+9050
+9019
+8988
+8958
+8927
+8896
+8865
+8834
+8803
+8771
+8740
+8709
+8678
+8646
+8615
+8583
+8552
+8520
+8489
+8457
+8425
+8394
+8362
+8330
+8298
+8266
+8234
+8202
+8170
+8138
+8106
+8073
+8041
+8009
+7976
+7944
+7911
+7879
+7846
+7814
+7781
+7748
+7716
+7683
+7650
+7617
+7584
+7551
+7518
+7485
+7452
+7419
+7386
+7353
+7320
+7286
+7253
+7220
+7186
+7153
+7120
+7086
+7053
+7019
+6986
+6952
+6918
+6885
+6851
+6817
+6783
+6750
+6716
+6682
+6648
+6614
+6580
+6546
+6512
+6478
+6444
+6410
+6376
+6342
+6308
+6273
+6239
+6205
+6171
+6136
+6102
+6068
+6033
+5999
+5964
+5930
+5895
+5861
+5826
+5792
+5757
+5723
+5688
+5653
+5619
+5584
+5549
+5515
+5480
+5445
+5410
+5376
+5341
+5306
+5271
+5236
+5201
+5166
+5132
+5097
+5062
+5027
+4992
+4957
+4922
+4887
+4852
+4817
+4782
+4747
+4712
+4676
+4641
+4606
+4571
+4536
+4501
+4466
+4431
+4395
+4360
+4325
+4290
+4255
+4220
+4184
+4149
+4114
+4079
+4044
+4008
+3973
+3938
+3903
+3867
+3832
+3797
+3762
+3726
+3691
+3656
+3621
+3585
+3550
+3515
+3480
+3444
+3409
+3374
+3339
+3303
+3268
+3233
+3198
+3163
+3127
+3092
+3057
+3022
+2986
+2951
+2916
+2881
+2846
+2810
+2775
+2740
+2705
+2670
+2635
+2600
+2564
+2529
+2494
+2459
+2424
+2389
+2354
+2319
+2284
+2249
+2214
+2179
+2144
+2109
+2074
+2039
+2004
+1969
+1934
+1899
+1864
+1830
+1795
+1760
+1725
+1690
+1655
+1621
+1586
+1551
+1517
+1482
+1447
+1413
+1378
+1343
+1309
+1274
+1240
+1205
+1171
+1136
+1102
+1067
+1033
+998
+964
+930
+895
+861
+827
+793
+758
+724
+690
+656
+622
+588
+554
+520
+486
+452
+418
+384
+350
+316
+282
+248
+215
+181
+147
+113
+80
+46
+13
+-21
+-54
+-88
+-121
+-155
+-188
+-222
+-255
+-288
+-321
+-355
+-388
+-421
+-454
+-487
+-520
+-553
+-586
+-619
+-652
+-685
+-718
+-751
+-783
+-816
+-849
+-881
+-914
+-946
+-979
+-1011
+-1044
+-1076
+-1109
+-1141
+-1173
+-1205
+-1237
+-1270
+-1302
+-1334
+-1366
+-1398
+-1430
+-1461
+-1493
+-1525
+-1557
+-1589
+-1620
+-1652
+-1683
+-1715
+-1746
+-1778
+-1809
+-1840
+-1872
+-1903
+-1934
+-1965
+-1996
+-2027
+-2058
+-2089
+-2120
+-2151
+-2182
+-2213
+-2243
+-2274
+-2304
+-2335
+-2366
+-2396
+-2426
+-2457
+-2487
+-2517
+-2547
+-2577
+-2608
+-2638
+-2668
+-2697
+-2727
+-2757
+-2787
+-2817
+-2846
+-2876
+-2905
+-2935
+-2964
+-2994
+-3023
+-3052
+-3081
+-3110
+-3139
+-3168
+-3197
+-3226
+-3255
+-3284
+-3313
+-3341
+-3370
+-3398
+-3427
+-3455
+-3484
+-3512
+-3540
+-3569
+-3597
+-3625
+-3653
+-3681
+-3709
+-3736
+-3764
+-3792
+-3819
+-3847
+-3875
+-3902
+-3929
+-3957
+-3984
+-4011
+-4038
+-4065
+-4092
+-4119
+-4146
+-4173
+-4200
+-4226
+-4253
+-4280
+-4306
+-4332
+-4359
+-4385
+-4411
+-4437
+-4464
+-4490
+-4516
+-4541
+-4567
+-4593
+-4619
+-4644
+-4670
+-4695
+-4721
+-4746
+-4771
+-4797
+-4822
+-4847
+-4872
+-4897
+-4921
+-4946
+-4971
+-4996
+-5020
+-5045
+-5069
+-5093
+-5118
+-5142
+-5166
+-5190
+-5214
+-5238
+-5262
+-5286
+-5309
+-5333
+-5357
+-5380
+-5403
+-5427
+-5450
+-5473
+-5496
+-5519
+-5542
+-5565
+-5588
+-5611
+-5634
+-5656
+-5679
+-5701
+-5724
+-5746
+-5768
+-5790
+-5812
+-5834
+-5856
+-5878
+-5900
+-5921
+-5943
+-5965
+-5986
+-6007
+-6029
+-6050
+-6071
+-6092
+-6113
+-6134
+-6155
+-6176
+-6196
+-6217
+-6238
+-6258
+-6278
+-6299
+-6319
+-6339
+-6359
+-6379
+-6399
+-6419
+-6439
+-6458
+-6478
+-6497
+-6517
+-6536
+-6555
+-6574
+-6594
+-6613
+-6632
+-6650
+-6669
+-6688
+-6706
+-6725
+-6743
+-6762
+-6780
+-6798
+-6816
+-6835
+-6852
+-6870
+-6888
+-6906
+-6923
+-6941
+-6959
+-6976
+-6993
+-7010
+-7028
+-7045
+-7062
+-7079
+-7095
+-7112
+-7129
+-7145
+-7162
+-7178
+-7194
+-7211
+-7227
+-7243
+-7259
+-7275
+-7291
+-7306
+-7322
+-7337
+-7353
+-7368
+-7384
+-7399
+-7414
+-7429
+-7444
+-7459
+-7474
+-7488
+-7503
+-7518
+-7532
+-7546
+-7561
+-7575
+-7589
+-7603
+-7617
+-7631
+-7645
+-7658
+-7672
+-7685
+-7699
+-7712
+-7725
+-7739
+-7752
+-7765
+-7778
+-7790
+-7803
+-7816
+-7828
+-7841
+-7853
+-7866
+-7878
+-7890
+-7902
+-7914
+-7926
+-7938
+-7950
+-7961
+-7973
+-7984
+-7996
+-8007
+-8018
+-8029
+-8040
+-8051
+-8062
+-8073
+-8083
+-8094
+-8104
+-8115
+-8125
+-8135
+-8146
+-8156
+-8166
+-8176
+-8185
+-8195
+-8205
+-8214
+-8224
+-8233
+-8242
+-8252
+-8261
+-8270
+-8279
+-8288
+-8296
+-8305
+-8314
+-8322
+-8331
+-8339
+-8347
+-8355
+-8363
+-8371
+-8379
+-8387
+-8395
+-8403
+-8410
+-8418
+-8425
+-8432
+-8440
+-8447
+-8454
+-8461
+-8468
+-8474
+-8481
+-8488
+-8494
+-8501
+-8507
+-8513
+-8519
+-8525
+-8531
+-8537
+-8543
+-8549
+-8555
+-8560
+-8566
+-8571
+-8576
+-8582
+-8587
+-8592
+-8597
+-8602
+-8606
+-8611
+-8616
+-8620
+-8625
+-8629
+-8633
+-8638
+-8642
+-8646
+-8650
+-8653
+-8657
+-8661
+-8665
+-8668
+-8671
+-8675
+-8678
+-8681
+-8684
+-8687
+-8690
+-8693
+-8696
+-8698
+-8701
+-8704
+-8706
+-8708
+-8711
+-8713
+-8715
+-8717
+-8719
+-8720
+-8722
+-8724
+-8725
+-8727
+-8728
+-8730
+-8731
+-8732
+-8733
+-8734
+-8735
+-8736
+-8736
+-8737
+-8738
+-8738
+-8738
+-8739
+-8739
+-8739
+-8739
+-8739
+-8739
+-8739
+-8739
+-8738
+-8738
+-8737
+-8737
+-8736
+-8735
+-8734
+-8734
+-8733
+-8731
+-8730
+-8729
+-8728
+-8726
+-8725
+-8723
+-8722
+-8720
+-8718
+-8716
+-8714
+-8712
+-8710
+-8708
+-8705
+-8703
+-8701
+-8698
+-8695
+-8693
+-8690
+-8687
+-8684
+-8681
+-8678
+-8675
+-8672
+-8668
+-8665
+-8661
+-8658
+-8654
+-8650
+-8647
+-8643
+-8639
+-8635
+-8630
+-8626
+-8622
+-8618
+-8613
+-8609
+-8604
+-8599
+-8595
+-8590
+-8585
+-8580
+-8575
+-8570
+-8565
+-8559
+-8554
+-8549
+-8543
+-8538
+-8532
+-8526
+-8520
+-8514
+-8509
+-8503
+-8496
+-8490
+-8484
+-8478
+-8471
+-8465
+-8458
+-8452
+-8445
+-8438
+-8431
+-8424
+-8417
+-8410
+-8403
+-8396
+-8389
+-8381
+-8374
+-8366
+-8359
+-8351
+-8343
+-8336
+-8328
+-8320
+-8312
+-8304
+-8296
+-8287
+-8279
+-8271
+-8262
+-8254
+-8245
+-8237
+-8228
+-8219
+-8210
+-8201
+-8192
+-8183
+-8174
+-8165
+-8156
+-8146
+-8137
+-8128
+-8118
+-8108
+-8099
+-8089
+-8079
+-8069
+-8059
+-8049
+-8039
+-8029
+-8019
+-8009
+-7998
+-7988
+-7978
+-7967
+-7956
+-7946
+-7935
+-7924
+-7913
+-7902
+-7891
+-7880
+-7869
+-7858
+-7847
+-7835
+-7824
+-7813
+-7801
+-7790
+-7778
+-7766
+-7754
+-7743
+-7731
+-7719
+-7707
+-7695
+-7683
+-7670
+-7658
+-7646
+-7634
+-7621
+-7609
+-7596
+-7583
+-7571
+-7558
+-7545
+-7532
+-7519
+-7506
+-7493
+-7480
+-7467
+-7454
+-7441
+-7427
+-7414
+-7401
+-7387
+-7374
+-7360
+-7346
+-7333
+-7319
+-7305
+-7291
+-7277
+-7263
+-7249
+-7235
+-7221
+-7207
+-7192
+-7178
+-7164
+-7149
+-7135
+-7120
+-7105
+-7091
+-7076
+-7061
+-7046
+-7032
+-7017
+-7002
+-6987
+-6972
+-6956
+-6941
+-6926
+-6911
+-6895
+-6880
+-6864
+-6849
+-6833
+-6818
+-6802
+-6786
+-6771
+-6755
+-6739
+-6723
+-6707
+-6691
+-6675
+-6659
+-6643
+-6627
+-6611
+-6594
+-6578
+-6562
+-6545
+-6529
+-6512
+-6496
+-6479
+-6462
+-6446
+-6429
+-6412
+-6395
+-6378
+-6361
+-6344
+-6327
+-6310
+-6293
+-6276
+-6259
+-6242
+-6224
+-6207
+-6190
+-6172
+-6155
+-6137
+-6120
+-6102
+-6085
+-6067
+-6049
+-6032
+-6014
+-5996
+-5978
+-5960
+-5942
+-5924
+-5906
+-5888
+-5870
+-5852
+-5834
+-5816
+-5797
+-5779
+-5761
+-5742
+-5724
+-5705
+-5687
+-5668
+-5650
+-5631
+-5613
+-5594
+-5575
+-5557
+-5538
+-5519
+-5500
+-5481
+-5462
+-5443
+-5424
+-5405
+-5386
+-5367
+-5348
+-5329
+-5310
+-5291
+-5271
+-5252
+-5233
+-5214
+-5194
+-5175
+-5155
+-5136
+-5116
+-5097
+-5077
+-5058
+-5038
+-5018
+-4999
+-4979
+-4959
+-4940
+-4920
+-4900
+-4880
+-4860
+-4840
+-4820
+-4800
+-4780
+-4760
+-4740
+-4720
+-4700
+-4680
+-4660
+-4640
+-4619
+-4599
+-4579
+-4559
+-4538
+-4518
+-4498
+-4477
+-4457
+-4437
+-4416
+-4396
+-4375
+-4355
+-4334
+-4314
+-4293
+-4272
+-4252
+-4231
+-4210
+-4190
+-4169
+-4148
+-4128
+-4107
+-4086
+-4065
+-4044
+-4024
+-4003
+-3982
+-3961
+-3940
+-3919
+-3898
+-3877
+-3856
+-3835
+-3814
+-3793
+-3772
+-3751
+-3730
+-3709
+-3687
+-3666
+-3645
+-3624
+-3603
+-3582
+-3560
+-3539
+-3518
+-3497
+-3475
+-3454
+-3433
+-3411
+-3390
+-3369
+-3347
+-3326
+-3305
+-3283
+-3262
+-3240
+-3219
+-3197
+-3176
+-3155
+-3133
+-3112
+-3090
+-3069
+-3047
+-3026
+-3004
+-2982
+-2961
+-2939
+-2918
+-2896
+-2875
+-2853
+-2831
+-2810
+-2788
+-2767
+-2745
+-2723
+-2702
+-2680
+-2658
+-2637
+-2615
+-2593
+-2572
+-2550
+-2528
+-2507
+-2485
+-2463
+-2442
+-2420
+-2398
+-2376
+-2355
+-2333
+-2311
+-2290
+-2268
+-2246
+-2224
+-2203
+-2181
+-2159
+-2137
+-2116
+-2094
+-2072
+-2050
+-2029
+-2007
+-1985
+-1963
+-1942
+-1920
+-1898
+-1877
+-1855
+-1833
+-1811
+-1790
+-1768
+-1746
+-1724
+-1703
+-1681
+-1659
+-1638
+-1616
+-1594
+-1572
+-1551
+-1529
+-1507
+-1486
+-1464
+-1442
+-1421
+-1399
+-1377
+-1356
+-1334
+-1313
+-1291
+-1269
+-1248
+-1226
+-1204
+-1183
+-1161
+-1140
+-1118
+-1097
+-1075
+-1054
+-1032
+-1010
+-989
+-967
+-946
+-924
+-903
+-882
+-860
+-839
+-817
+-796
+-774
+-753
+-732
+-710
+-689
+-668
+-646
+-625
+-604
+-582
+-561
+-540
+-518
+-497
+-476
+-455
+-434
+-412
+-391
+-370
+-349
+-328
+-307
+-286
+-264
+-243
+-222
+-201
+-180
+-159
+-138
+-117
+-96
+-75
+-55
+-34
+-13
+8
+29
+50
+71
+91
+112
+133
+154
+174
+195
+216
+236
+257
+278
+298
+319
+339
+360
+380
+401
+421
+442
+462
+483
+503
+523
+544
+564
+584
+605
+625
+645
+665
+685
+706
+726
+746
+766
+786
+806
+826
+846
+866
+886
+906
+926
+945
+965
+985
+1005
+1025
+1044
+1064
+1084
+1103
+1123
+1143
+1162
+1182
+1201
+1221
+1240
+1259
+1279
+1298
+1318
+1337
+1356
+1375
+1395
+1414
+1433
+1452
+1471
+1490
+1509
+1528
+1547
+1566
+1585
+1604
+1623
+1642
+1661
+1679
+1698
+1717
+1735
+1754
+1773
+1791
+1810
+1828
+1847
+1865
+1884
+1902
+1920
+1939
+1957
+1975
+1993
+2011
+2030
+2048
+2066
+2084
+2102
+2120
+2138
+2156
+2173
+2191
+2209
+2227
+2245
+2262
+2280
+2297
+2315
+2333
+2350
+2368
+2385
+2402
+2420
+2437
+2454
+2472
+2489
+2506
+2523
+2540
+2557
+2574
+2591
+2608
+2625
+2642
+2659
+2676
+2692
+2709
+2726
+2742
+2759
+2775
+2792
+2808
+2825
+2841
+2858
+2874
+2890
+2906
+2923
+2939
+2955
+2971
+2987
+3003
+3019
+3035
+3051
+3066
+3082
+3098
+3114
+3129
+3145
+3160
+3176
+3191
+3207
+3222
+3238
+3253
+3268
+3283
+3299
+3314
+3329
+3344
+3359
+3374
+3389
+3404
+3419
+3433
+3448
+3463
+3477
+3492
+3507
+3521
+3536
+3550
+3564
+3579
+3593
+3607
+3622
+3636
+3650
+3664
+3678
+3692
+3706
+3720
+3734
+3747
+3761
+3775
+3789
+3802
+3816
+3829
+3843
+3856
+3870
+3883
+3896
+3909
+3923
+3936
+3949
+3962
+3975
+3988
+4001
+4014
+4027
+4039
+4052
+4065
+4077
+4090
+4102
+4115
+4127
+4140
+4152
+4164
+4177
+4189
+4201
+4213
+4225
+4237
+4249
+4261
+4273
+4285
+4296
+4308
+4320
+4331
+4343
+4354
+4366
+4377
+4389
+4400
+4411
+4423
+4434
+4445
+4456
+4467
+4478
+4489
+4500
+4510
+4521
+4532
+4543
+4553
+4564
+4574
+4585
+4595
+4605
+4616
+4626
+4636
+4646
+4657
+4667
+4677
+4687
+4696
+4706
+4716
+4726
+4736
+4745
+4755
+4764
+4774
+4783
+4793
+4802
+4811
+4820
+4830
+4839
+4848
+4857
+4866
+4875
+4884
+4893
+4901
+4910
+4919
+4927
+4936
+4944
+4953
+4961
+4970
+4978
+4986
+4994
+5002
+5011
+5019
+5027
+5035
+5042
+5050
+5058
+5066
+5073
+5081
+5089
+5096
+5104
+5111
+5118
+5126
+5133
+5140
+5147
+5154
+5161
+5168
+5175
+5182
+5189
+5196
+5203
+5209
+5216
+5223
+5229
+5236
+5242
+5248
+5255
+5261
+5267
+5273
+5279
+5285
+5291
+5297
+5303
+5309
+5315
+5321
+5326
+5332
+5337
+5343
+5348
+5354
+5359
+5364
+5370
+5375
+5380
+5385
+5390
+5395
+5400
+5405
+5410
+5415
+5419
+5424
+5429
+5433
+5438
+5442
+5447
+5451
+5455
+5459
+5464
+5468
+5472
+5476
+5480
+5484
+5488
+5492
+5495
+5499
+5503
+5506
+5510
+5513
+5517
+5520
+5524
+5527
+5530
+5533
+5537
+5540
+5543
+5546
+5549
+5551
+5554
+5557
+5560
+5562
+5565
+5568
+5570
+5573
+5575
+5577
+5580
+5582
+5584
+5586
+5588
+5590
+5592
+5594
+5596
+5598
+5600
+5602
+5603
+5605
+5607
+5608
+5610
+5611
+5612
+5614
+5615
+5616
+5617
+5619
+5620
+5621
+5622
+5623
+5623
+5624
+5625
+5626
+5626
+5627
+5628
+5628
+5628
+5629
+5629
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5629
+5629
+5629
+5628
+5628
+5627
+5626
+5626
+5625
+5624
+5624
+5623
+5622
+5621
+5620
+5619
+5618
+5617
+5615
+5614
+5613
+5612
+5610
+5609
+5607
+5606
+5604
+5603
+5601
+5599
+5597
+5596
+5594
+5592
+5590
+5588
+5586
+5584
+5581
+5579
+5577
+5575
+5572
+5570
+5567
+5565
+5562
+5560
+5557
+5554
+5552
+5549
+5546
+5543
+5540
+5537
+5534
+5531
+5528
+5525
+5522
+5519
+5515
+5512
+5509
+5505
+5502
+5498
+5495
+5491
+5487
+5484
+5480
+5476
+5472
+5468
+5464
+5460
+5456
+5452
+5448
+5444
+5440
+5436
+5431
+5427
+5423
+5418
+5414
+5409
+5405
+5400
+5396
+5391
+5386
+5381
+5376
+5372
+5367
+5362
+5357
+5352
+5347
+5342
+5336
+5331
+5326
+5321
+5315
+5310
+5305
+5299
+5294
+5288
+5282
+5277
+5271
+5265
+5260
+5254
+5248
+5242
+5236
+5230
+5224
+5218
+5212
+5206
+5200
+5194
+5187
+5181
+5175
+5168
+5162
+5156
+5149
+5142
+5136
+5129
+5123
+5116
+5109
+5102
+5096
+5089
+5082
+5075
+5068
+5061
+5054
+5047
+5040
+5033
+5025
+5018
+5011
+5004
+4996
+4989
+4982
+4974
+4967
+4959
+4951
+4944
+4936
+4929
+4921
+4913
+4905
+4897
+4890
+4882
+4874
+4866
+4858
+4850
+4842
+4833
+4825
+4817
+4809
+4801
+4792
+4784
+4776
+4767
+4759
+4750
+4742
+4733
+4725
+4716
+4708
+4699
+4690
+4681
+4673
+4664
+4655
+4646
+4637
+4628
+4619
+4610
+4601
+4592
+4583
+4574
+4565
+4556
+4546
+4537
+4528
+4518
+4509
+4500
+4490
+4481
+4471
+4462
+4452
+4443
+4433
+4423
+4414
+4404
+4394
+4384
+4375
+4365
+4355
+4345
+4335
+4325
+4315
+4305
+4295
+4285
+4275
+4265
+4255
+4245
+4234
+4224
+4214
+4204
+4193
+4183
+4173
+4162
+4152
+4141
+4131
+4120
+4110
+4099
+4089
+4078
+4068
+4057
+4046
+4035
+4025
+4014
+4003
+3992
+3981
+3971
+3960
+3949
+3938
+3927
+3916
+3905
+3894
+3883
+3872
+3860
+3849
+3838
+3827
+3816
+3804
+3793
+3782
+3771
+3759
+3748
+3737
+3725
+3714
+3702
+3691
+3679
+3668
+3656
+3645
+3633
+3622
+3610
+3598
+3587
+3575
+3563
+3551
+3540
+3528
+3516
+3504
+3492
+3481
+3469
+3457
+3445
+3433
+3421
+3409
+3397
+3385
+3373
+3361
+3349
+3337
+3325
+3313
+3300
+3288
+3276
+3264
+3252
+3239
+3227
+3215
+3203
+3190
+3178
+3166
+3153
+3141
+3129
+3116
+3104
+3091
+3079
+3066
+3054
+3041
+3029
+3016
+3004
+2991
+2979
+2966
+2954
+2941
+2928
+2916
+2903
+2890
+2878
+2865
+2852
+2839
+2827
+2814
+2801
+2788
+2776
+2763
+2750
+2737
+2724
+2711
+2699
+2686
+2673
+2660
+2647
+2634
+2621
+2608
+2595
+2582
+2569
+2556
+2543
+2530
+2517
+2504
+2491
+2478
+2465
+2452
+2439
+2425
+2412
+2399
+2386
+2373
+2360
+2347
+2333
+2320
+2307
+2294
+2281
+2267
+2254
+2241
+2228
+2215
+2201
+2188
+2175
+2161
+2148
+2135
+2122
+2108
+2095
+2082
+2068
+2055
+2042
+2028
+2015
+2002
+1988
+1975
+1961
+1948
+1935
+1921
+1908
+1895
+1881
+1868
+1854
+1841
+1827
+1814
+1801
+1787
+1774
+1760
+1747
+1733
+1720
+1707
+1693
+1680
+1666
+1653
+1639
+1626
+1612
+1599
+1585
+1572
+1558
+1545
+1531
+1518
+1504
+1491
+1477
+1464
+1450
+1437
+1423
+1410
+1397
+1383
+1370
+1356
+1343
+1329
+1316
+1302
+1289
+1275
+1262
+1248
+1235
+1221
+1208
+1194
+1181
+1167
+1154
+1140
+1127
+1113
+1100
+1086
+1073
+1059
+1046
+1032
+1019
+1006
+992
+979
+965
+952
+938
+925
+911
+898
+885
+871
+858
+844
+831
+818
+804
+791
+777
+764
+751
+737
+724
+710
+697
+684
+670
+657
+644
+630
+617
+604
+590
+577
+564
+551
+537
+524
+511
+497
+484
+471
+458
+445
+431
+418
+405
+392
+378
+365
+352
+339
+326
+313
+299
+286
+273
+260
+247
+234
+221
+208
+195
+182
+169
+155
+142
+129
+116
+103
+90
+77
+64
+52
+39
+26
+13
+0
+-13
+-26
+-39
+-52
+-65
+-77
+-90
+-103
+-116
+-129
+-141
+-154
+-167
+-180
+-193
+-205
+-218
+-231
+-243
+-256
+-269
+-281
+-294
+-307
+-319
+-332
+-344
+-357
+-369
+-382
+-395
+-407
+-420
+-432
+-444
+-457
+-469
+-482
+-494
+-507
+-519
+-531
+-544
+-556
+-568
+-581
+-593
+-605
+-617
+-630
+-642
+-654
+-666
+-678
+-691
+-703
+-715
+-727
+-739
+-751
+-763
+-775
+-787
+-799
+-811
+-823
+-835
+-847
+-859
+-871
+-883
+-895
+-907
+-918
+-930
+-942
+-954
+-966
+-977
+-989
+-1001
+-1012
+-1024
+-1036
+-1047
+-1059
+-1071
+-1082
+-1094
+-1105
+-1117
+-1128
+-1140
+-1151
+-1163
+-1174
+-1186
+-1197
+-1208
+-1220
+-1231
+-1242
+-1254
+-1265
+-1276
+-1287
+-1298
+-1310
+-1321
+-1332
+-1343
+-1354
+-1365
+-1376
+-1387
+-1398
+-1409
+-1420
+-1431
+-1442
+-1453
+-1464
+-1475
+-1486
+-1496
+-1507
+-1518
+-1529
+-1540
+-1550
+-1561
+-1572
+-1582
+-1593
+-1603
+-1614
+-1625
+-1635
+-1646
+-1656
+-1667
+-1677
+-1687
+-1698
+-1708
+-1719
+-1729
+-1739
+-1749
+-1760
+-1770
+-1780
+-1790
+-1801
+-1811
+-1821
+-1831
+-1841
+-1851
+-1861
+-1871
+-1881
+-1891
+-1901
+-1911
+-1921
+-1930
+-1940
+-1950
+-1960
+-1970
+-1979
+-1989
+-1999
+-2008
+-2018
+-2028
+-2037
+-2047
+-2056
+-2066
+-2075
+-2085
+-2094
+-2103
+-2113
+-2122
+-2131
+-2141
+-2150
+-2159
+-2168
+-2178
+-2187
+-2196
+-2205
+-2214
+-2223
+-2232
+-2241
+-2250
+-2259
+-2268
+-2277
+-2286
+-2295
+-2304
+-2312
+-2321
+-2330
+-2339
+-2347
+-2356
+-2365
+-2373
+-2382
+-2390
+-2399
+-2407
+-2416
+-2424
+-2433
+-2441
+-2450
+-2458
+-2466
+-2474
+-2483
+-2491
+-2499
+-2507
+-2515
+-2524
+-2532
+-2540
+-2548
+-2556
+-2564
+-2572
+-2580
+-2588
+-2595
+-2603
+-2611
+-2619
+-2627
+-2634
+-2642
+-2650
+-2657
+-2665
+-2673
+-2680
+-2688
+-2695
+-2703
+-2710
+-2717
+-2725
+-2732
+-2740
+-2747
+-2754
+-2761
+-2769
+-2776
+-2783
+-2790
+-2797
+-2804
+-2811
+-2818
+-2825
+-2832
+-2839
+-2846
+-2853
+-2860
+-2867
+-2873
+-2880
+-2887
+-2893
+-2900
+-2907
+-2913
+-2920
+-2926
+-2933
+-2939
+-2946
+-2952
+-2959
+-2965
+-2971
+-2978
+-2984
+-2990
+-2997
+-3003
+-3009
+-3015
+-3021
+-3027
+-3033
+-3039
+-3045
+-3051
+-3057
+-3063
+-3069
+-3075
+-3080
+-3086
+-3092
+-3098
+-3103
+-3109
+-3115
+-3120
+-3126
+-3131
+-3137
+-3142
+-3148
+-3153
+-3159
+-3164
+-3169
+-3174
+-3180
+-3185
+-3190
+-3195
+-3200
+-3206
+-3211
+-3216
+-3221
+-3226
+-3231
+-3236
+-3241
+-3245
+-3250
+-3255
+-3260
+-3265
+-3269
+-3274
+-3279
+-3283
+-3288
+-3292
+-3297
+-3301
+-3306
+-3310
+-3315
+-3319
+-3323
+-3328
+-3332
+-3336
+-3341
+-3345
+-3349
+-3353
+-3357
+-3361
+-3365
+-3369
+-3373
+-3377
+-3381
+-3385
+-3389
+-3393
+-3397
+-3400
+-3404
+-3408
+-3411
+-3415
+-3419
+-3422
+-3426
+-3429
+-3433
+-3436
+-3440
+-3443
+-3447
+-3450
+-3453
+-3457
+-3460
+-3463
+-3466
+-3469
+-3473
+-3476
+-3479
+-3482
+-3485
+-3488
+-3491
+-3494
+-3496
+-3499
+-3502
+-3505
+-3508
+-3510
+-3513
+-3516
+-3519
+-3521
+-3524
+-3526
+-3529
+-3531
+-3534
+-3536
+-3539
+-3541
+-3543
+-3546
+-3548
+-3550
+-3552
+-3555
+-3557
+-3559
+-3561
+-3563
+-3565
+-3567
+-3569
+-3571
+-3573
+-3575
+-3577
+-3579
+-3580
+-3582
+-3584
+-3586
+-3587
+-3589
+-3591
+-3592
+-3594
+-3595
+-3597
+-3598
+-3600
+-3601
+-3603
+-3604
+-3605
+-3607
+-3608
+-3609
+-3610
+-3612
+-3613
+-3614
+-3615
+-3616
+-3617
+-3618
+-3619
+-3620
+-3621
+-3622
+-3623
+-3624
+-3624
+-3625
+-3626
+-3627
+-3627
+-3628
+-3629
+-3629
+-3630
+-3630
+-3631
+-3631
+-3632
+-3632
+-3633
+-3633
+-3633
+-3634
+-3634
+-3634
+-3634
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3634
+-3634
+-3634
+-3634
+-3633
+-3633
+-3633
+-3632
+-3632
+-3631
+-3631
+-3630
+-3630
+-3629
+-3629
+-3628
+-3627
+-3627
+-3626
+-3625
+-3625
+-3624
+-3623
+-3622
+-3621
+-3620
+-3619
+-3619
+-3618
+-3617
+-3616
+-3615
+-3613
+-3612
+-3611
+-3610
+-3609
+-3608
+-3606
+-3605
+-3604
+-3603
+-3601
+-3600
+-3598
+-3597
+-3596
+-3594
+-3593
+-3591
+-3589
+-3588
+-3586
+-3585
+-3583
+-3581
+-3580
+-3578
+-3576
+-3574
+-3572
+-3571
+-3569
+-3567
+-3565
+-3563
+-3561
+-3559
+-3557
+-3555
+-3553
+-3551
+-3549
+-3547
+-3544
+-3542
+-3540
+-3538
+-3535
+-3533
+-3531
+-3528
+-3526
+-3524
+-3521
+-3519
+-3516
+-3514
+-3511
+-3509
+-3506
+-3504
+-3501
+-3498
+-3496
+-3493
+-3490
+-3488
+-3485
+-3482
+-3479
+-3476
+-3474
+-3471
+-3468
+-3465
+-3462
+-3459
+-3456
+-3453
+-3450
+-3447
+-3444
+-3440
+-3437
+-3434
+-3431
+-3428
+-3425
+-3421
+-3418
+-3415
+-3411
+-3408
+-3405
+-3401
+-3398
+-3394
+-3391
+-3387
+-3384
+-3380
+-3377
+-3373
+-3370
+-3366
+-3362
+-3359
+-3355
+-3351
+-3348
+-3344
+-3340
+-3336
+-3333
+-3329
+-3325
+-3321
+-3317
+-3313
+-3309
+-3305
+-3301
+-3297
+-3293
+-3289
+-3285
+-3281
+-3277
+-3273
+-3269
+-3264
+-3260
+-3256
+-3252
+-3248
+-3243
+-3239
+-3235
+-3230
+-3226
+-3222
+-3217
+-3213
+-3208
+-3204
+-3199
+-3195
+-3190
+-3186
+-3181
+-3177
+-3172
+-3168
+-3163
+-3158
+-3154
+-3149
+-3144
+-3139
+-3135
+-3130
+-3125
+-3120
+-3116
+-3111
+-3106
+-3101
+-3096
+-3091
+-3086
+-3081
+-3076
+-3071
+-3066
+-3061
+-3056
+-3051
+-3046
+-3041
+-3036
+-3031
+-3026
+-3020
+-3015
+-3010
+-3005
+-3000
+-2994
+-2989
+-2984
+-2978
+-2973
+-2968
+-2962
+-2957
+-2952
+-2946
+-2941
+-2935
+-2930
+-2924
+-2919
+-2913
+-2908
+-2902
+-2897
+-2891
+-2886
+-2880
+-2874
+-2869
+-2863
+-2857
+-2852
+-2846
+-2840
+-2835
+-2829
+-2823
+-2817
+-2812
+-2806
+-2800
+-2794
+-2788
+-2782
+-2776
+-2771
+-2765
+-2759
+-2753
+-2747
+-2741
+-2735
+-2729
+-2723
+-2717
+-2711
+-2705
+-2699
+-2693
+-2686
+-2680
+-2674
+-2668
+-2662
+-2656
+-2650
+-2643
+-2637
+-2631
+-2625
+-2618
+-2612
+-2606
+-2600
+-2593
+-2587
+-2581
+-2574
+-2568
+-2562
+-2555
+-2549
+-2543
+-2536
+-2530
+-2523
+-2517
+-2510
+-2504
+-2497
+-2491
+-2484
+-2478
+-2471
+-2465
+-2458
+-2452
+-2445
+-2438
+-2432
+-2425
+-2419
+-2412
+-2405
+-2399
+-2392
+-2385
+-2379
+-2372
+-2365
+-2359
+-2352
+-2345
+-2338
+-2332
+-2325
+-2318
+-2311
+-2304
+-2298
+-2291
+-2284
+-2277
+-2270
+-2263
+-2256
+-2250
+-2243
+-2236
+-2229
+-2222
+-2215
+-2208
+-2201
+-2194
+-2187
+-2180
+-2173
+-2166
+-2159
+-2152
+-2145
+-2138
+-2131
+-2124
+-2117
+-2110
+-2103
+-2096
+-2089
+-2082
+-2075
+-2068
+-2060
+-2053
+-2046
+-2039
+-2032
+-2025
+-2018
+-2010
+-2003
+-1996
+-1989
+-1982
+-1974
+-1967
+-1960
+-1953
+-1946
+-1938
+-1931
+-1924
+-1917
+-1909
+-1902
+-1895
+-1887
+-1880
+-1873
+-1866
+-1858
+-1851
+-1844
+-1836
+-1829
+-1822
+-1814
+-1807
+-1800
+-1792
+-1785
+-1777
+-1770
+-1763
+-1755
+-1748
+-1741
+-1733
+-1726
+-1718
+-1711
+-1703
+-1696
+-1689
+-1681
+-1674
+-1666
+-1659
+-1651
+-1644
+-1636
+-1629
+-1622
+-1614
+-1607
+-1599
+-1592
+-1584
+-1577
+-1569
+-1562
+-1554
+-1547
+-1539
+-1532
+-1524
+-1517
+-1509
+-1502
+-1494
+-1486
+-1479
+-1471
+-1464
+-1456
+-1449
+-1441
+-1434
+-1426
+-1419
+-1411
+-1403
+-1396
+-1388
+-1381
+-1373
+-1366
+-1358
+-1351
+-1343
+-1335
+-1328
+-1320
+-1313
+-1305
+-1297
+-1290
+-1282
+-1275
+-1267
+-1260
+-1252
+-1244
+-1237
+-1229
+-1222
+-1214
+-1206
+-1199
+-1191
+-1184
+-1176
+-1168
+-1161
+-1153
+-1146
+-1138
+-1130
+-1123
+-1115
+-1108
+-1100
+-1092
+-1085
+-1077
+-1070
+-1062
+-1054
+-1047
+-1039
+-1032
+-1024
+-1016
+-1009
+-1001
+-994
+-986
+-978
+-971
+-963
+-956
+-948
+-941
+-933
+-925
+-918
+-910
+-903
+-895
+-887
+-880
+-872
+-865
+-857
+-850
+-842
+-834
+-827
+-819
+-812
+-804
+-797
+-789
+-782
+-774
+-767
+-759
+-751
+-744
+-736
+-729
+-721
+-714
+-706
+-699
+-691
+-684
+-676
+-669
+-661
+-654
+-646
+-639
+-631
+-624
+-616
+-609
+-601
+-594
+-586
+-579
+-572
+-564
+-557
+-549
+-542
+-534
+-527
+-519
+-512
+-505
+-497
+-490
+-482
+-475
+-468
+-460
+-453
+-445
+-438
+-431
+-423
+-416
+-409
+-401
+-394
+-387
+-379
+-372
+-365
+-357
+-350
+-343
+-335
+-328
+-321
+-313
+-306
+-299
+-292
+-284
+-277
+-270
+-263
+-255
+-248
+-241
+-234
+-226
+-219
+-212
+-205
+-198
+-190
+-183
+-176
+-169
+-162
+-155
+-147
+-140
+-133
+-126
+-119
+-112
+-105
+-98
+-90
+-83
+-76
+-69
+-62
+-55
+-48
+-41
+-34
+-27
+-20
+-13
+-6
+1
+8
+15
+22
+29
+36
+43
+50
+57
+64
+71
+78
+84
+91
+98
+105
+112
+119
+126
+133
+139
+146
+153
+160
+167
+174
+180
+187
+194
+201
+207
+214
+221
+228
+234
+241
+248
+254
+261
+268
+274
+281
+288
+294
+301
+308
+314
+321
+327
+334
+341
+347
+354
+360
+367
+373
+380
+386
+393
+399
+406
+412
+419
+425
+432
+438
+445
+451
+457
+464
+470
+476
+483
+489
+496
+502
+508
+514
+521
+527
+533
+540
+546
+552
+558
+565
+571
+577
+583
+589
+596
+602
+608
+614
+620
+626
+632
+638
+644
+651
+657
+663
+669
+675
+681
+687
+693
+699
+705
+711
+717
+723
+729
+734
+740
+746
+752
+758
+764
+770
+776
+781
+787
+793
+799
+805
+810
+816
+822
+828
+833
+839
+845
+850
+856
+862
+867
+873
+879
+884
+890
+895
+901
+907
+912
+918
+923
+929
+934
+940
+945
+951
+956
+962
+967
+972
+978
+983
+989
+994
+999
+1005
+1010
+1015
+1021
+1026
+1031
+1037
+1042
+1047
+1052
+1057
+1063
+1068
+1073
+1078
+1083
+1089
+1094
+1099
+1104
+1109
+1114
+1119
+1124
+1129
+1134
+1139
+1144
+1149
+1154
+1159
+1164
+1169
+1174
+1179
+1184
+1189
+1194
+1198
+1203
+1208
+1213
+1218
+1223
+1227
+1232
+1237
+1242
+1246
+1251
+1256
+1260
+1265
+1270
+1274
+1279
+1284
+1288
+1293
+1298
+1302
+1307
+1311
+1316
+1320
+1325
+1329
+1334
+1338
+1343
+1347
+1351
+1356
+1360
+1365
+1369
+1373
+1378
+1382
+1386
+1391
+1395
+1399
+1403
+1408
+1412
+1416
+1420
+1425
+1429
+1433
+1437
+1441
+1445
+1449
+1454
+1458
+1462
+1466
+1470
+1474
+1478
+1482
+1486
+1490
+1494
+1498
+1502
+1506
+1509
+1513
+1517
+1521
+1525
+1529
+1533
+1536
+1540
+1544
+1548
+1551
+1555
+1559
+1563
+1566
+1570
+1574
+1577
+1581
+1585
+1588
+1592
+1595
+1599
+1602
+1606
+1610
+1613
+1617
+1620
+1624
+1627
+1630
+1634
+1637
+1641
+1644
+1647
+1651
+1654
+1657
+1661
+1664
+1667
+1671
+1674
+1677
+1680
+1684
+1687
+1690
+1693
+1696
+1700
+1703
+1706
+1709
+1712
+1715
+1718
+1721
+1724
+1727
+1730
+1733
+1736
+1739
+1742
+1745
+1748
+1751
+1754
+1757
+1760
+1763
+1765
+1768
+1771
+1774
+1777
+1779
+1782
+1785
+1788
+1790
+1793
+1796
+1798
+1801
+1804
+1806
+1809
+1812
+1814
+1817
+1819
+1822
+1825
+1827
+1830
+1832
+1835
+1837
+1840
+1842
+1844
+1847
+1849
+1852
+1854
+1856
+1859
+1861
+1863
+1866
+1868
+1870
+1873
+1875
+1877
+1879
+1881
+1884
+1886
+1888
+1890
+1892
+1894
+1897
+1899
+1901
+1903
+1905
+1907
+1909
+1911
+1913
+1915
+1917
+1919
+1921
+1923
+1925
+1927
+1929
+1931
+1932
+1934
+1936
+1938
+1940
+1942
+1943
+1945
+1947
+1949
+1950
+1952
+1954
+1956
+1957
+1959
+1961
+1962
+1964
+1966
+1967
+1969
+1970
+1972
+1973
+1975
+1977
+1978
+1980
+1981
+1983
+1984
+1985
+1987
+1988
+1990
+1991
+1993
+1994
+1995
+1997
+1998
+1999
+2001
+2002
+2003
+2004
+2006
+2007
+2008
+2009
+2011
+2012
+2013
+2014
+2015
+2016
+2018
+2019
+2020
+2021
+2022
+2023
+2024
+2025
+2026
+2027
+2028
+2029
+2030
+2031
+2032
+2033
+2034
+2035
+2036
+2037
+2038
+2038
+2039
+2040
+2041
+2042
+2043
+2043
+2044
+2045
+2046
+2047
+2047
+2048
+2049
+2049
+2050
+2051
+2051
+2052
+2053
+2053
+2054
+2055
+2055
+2056
+2056
+2057
+2057
+2058
+2058
+2059
+2059
+2060
+2060
+2061
+2061
+2062
+2062
+2063
+2063
+2063
+2064
+2064
+2064
+2065
+2065
+2065
+2066
+2066
+2066
+2067
+2067
+2067
+2067
+2068
+2068
+2068
+2068
+2068
+2069
+2069
+2069
+2069
+2069
+2069
+2069
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2069
+2069
+2069
+2069
+2069
+2069
+2069
+2068
+2068
+2068
+2068
+2068
+2067
+2067
+2067
+2067
+2066
+2066
+2066
+2066
+2065
+2065
+2065
+2064
+2064
+2064
+2063
+2063
+2062
+2062
+2062
+2061
+2061
+2060
+2060
+2059
+2059
+2058
+2058
+2057
+2057
+2056
+2056
+2055
+2055
+2054
+2054
+2053
+2052
+2052
+2051
+2051
+2050
+2049
+2049
+2048
+2047
+2047
+2046
+2045
+2045
+2044
+2043
+2043
+2042
+2041
+2040
+2040
+2039
+2038
+2037
+2036
+2036
+2035
+2034
+2033
+2032
+2031
+2030
+2030
+2029
+2028
+2027
+2026
+2025
+2024
+2023
+2022
+2021
+2020
+2019
+2018
+2017
+2016
+2015
+2014
+2013
+2012
+2011
+2010
+2009
+2008
+2007
+2006
+2005
+2004
+2003
+2002
+2000
+1999
+1998
+1997
+1996
+1995
+1994
+1992
+1991
+1990
+1989
+1988
+1986
+1985
+1984
+1983
+1981
+1980
+1979
+1978
+1976
+1975
+1974
+1972
+1971
+1970
+1968
+1967
+1966
+1964
+1963
+1962
+1960
+1959
+1958
+1956
+1955
+1953
+1952
+1951
+1949
+1948
+1946
+1945
+1943
+1942
+1940
+1939
+1937
+1936
+1934
+1933
+1931
+1930
+1928
+1927
+1925
+1924
+1922
+1921
+1919
+1917
+1916
+1914
+1913
+1911
+1910
+1908
+1906
+1905
+1903
+1901
+1900
+1898
+1896
+1895
+1893
+1891
+1890
+1888
+1886
+1885
+1883
+1881
+1879
+1878
+1876
+1874
+1873
+1871
+1869
+1867
+1865
+1864
+1862
+1860
+1858
+1857
+1855
+1853
+1851
+1849
+1847
+1846
+1844
+1842
+1840
+1838
+1836
+1835
+1833
+1831
+1829
+1827
+1825
+1823
+1821
+1819
+1818
+1816
+1814
+1812
+1810
+1808
+1806
+1804
+1802
+1800
+1798
+1796
+1794
+1792
+1790
+1788
+1786
+1784
+1782
+1780
+1778
+1776
+1774
+1772
+1770
+1768
+1766
+1764
+1762
+1760
+1758
+1756
+1754
+1752
+1750
+1747
+1745
+1743
+1741
+1739
+1737
+1735
+1733
+1731
+1729
+1726
+1724
+1722
+1720
+1718
+1716
+1714
+1711
+1709
+1707
+1705
+1703
+1701
+1698
+1696
+1694
+1692
+1690
+1688
+1685
+1683
+1681
+1679
+1677
+1674
+1672
+1670
+1668
+1665
+1663
+1661
+1659
+1656
+1654
+1652
+1650
+1647
+1645
+1643
+1641
+1638
+1636
+1634
+1632
+1629
+1627
+1625
+1622
+1620
+1618
+1616
+1613
+1611
+1609
+1606
+1604
+1602
+1599
+1597
+1595
+1592
+1590
+1588
+1585
+1583
+1581
+1578
+1576
+1574
+1571
+1569
+1567
+1564
+1562
+1560
+1557
+1555
+1553
+1550
+1548
+1545
+1543
+1541
+1538
+1536
+1534
+1531
+1529
+1526
+1524
+1522
+1519
+1517
+1514
+1512
+1510
+1507
+1505
+1502
+1500
+1497
+1495
+1493
+1490
+1488
+1485
+1483
+1481
+1478
+1476
+1473
+1471
+1468
+1466
+1463
+1461
+1459
+1456
+1454
+1451
+1449
+1446
+1444
+1442
+1439
+1437
+1434
+1432
+1429
+1427
+1424
+1422
+1419
+1417
+1414
+1412
+1410
+1407
+1405
+1402
+1400
+1397
+1395
+1392
+1390
+1387
+1385
+1382
+1380
+1377
+1375
+1372
+1370
+1367
+1365
+1363
+1360
+1358
+1355
+1353
+1350
+1348
+1345
+1343
+1340
+1338
+1335
+1333
+1330
+1328
+1325
+1323
+1320
+1318
+1315
+1313
+1310
+1308
+1305
+1303
+1300
+1298
+1295
+1293
+1290
+1288
+1285
+1283
+1280
+1278
+1275
+1273
+1270
+1268
+1265
+1263
+1260
+1258
+1255
+1253
+1250
+1248
+1245
+1243
+1240
+1238
+1235
+1233
+1230
+1228
+1225
+1223
+1220
+1218
+1216
+1213
+1211
+1208
+1206
+1203
+1201
+1198
+1196
+1193
+1191
+1188
+1186
+1183
+1181
+1178
+1176
+1173
+1171
+1168
+1166
+1163
+1161
+1158
+1156
+1153
+1151
+1149
+1146
+1144
+1141
+1139
+1136
+1134
+1131
+1129
+1126
+1124
+1121
+1119
+1116
+1114
+1112
+1109
+1107
+1104
+1102
+1099
+1097
+1094
+1092
+1089
+1087
+1085
+1082
+1080
+1077
+1075
+1072
+1070
+1067
+1065
+1063
+1060
+1058
+1055
+1053
+1050
+1048
+1046
+1043
+1041
+1038
+1036
+1034
+1031
+1029
+1026
+1024
+1021
+1019
+1017
+1014
+1012
+1009
+1007
+1005
+1002
+1000
+997
+995
+993
+990
+988
+985
+983
+981
+978
+976
+974
+971
+969
+966
+964
+962
+959
+957
+955
+952
+950
+948
+945
+943
+941
+938
+936
+933
+931
+929
+926
+924
+922
+919
+917
+915
+912
+910
+908
+906
+903
+901
+899
+896
+894
+892
+889
+887
+885
+883
+880
+878
+876
+873
+871
+869
+867
+864
+862
+860
+857
+855
+853
+851
+848
+846
+844
+842
+839
+837
+835
+833
+830
+828
+826
+824
+821
+819
+817
+815
+813
+810
+808
+806
+804
+801
+799
+797
+795
+793
+790
+788
+786
+784
+782
+780
+777
+775
+773
+771
+769
+767
+764
+762
+760
+758
+756
+754
+751
+749
+747
+745
+743
+741
+739
+737
+734
+732
+730
+728
+726
+724
+722
+720
+718
+715
+713
+711
+709
+707
+705
+703
+701
+699
+697
+695
+693
+691
+688
+686
+684
+682
+680
+678
+676
+674
+672
+670
+668
+666
+664
+662
+660
+658
+656
+654
+652
+650
+648
+646
+644
+642
+640
+638
+636
+634
+632
+630
+628
+626
+624
+622
+620
+618
+616
+615
+613
+611
+609
+607
+605
+603
+601
+599
+597
+595
+593
+591
+590
+588
+586
+584
+582
+580
+578
+576
+574
+573
+571
+569
+567
+565
+563
+561
+560
+558
+556
+554
+552
+550
+549
+547
+545
+543
+541
+539
+538
+536
+534
+532
+530
+529
+527
+525
+523
+522
+520
+518
+516
+514
+513
+511
+509
+507
+506
+504
+502
+501
+499
+497
+495
+494
+492
+490
+488
+487
+485
+483
+482
+480
+478
+477
+475
+473
+471
+470
+468
+466
+465
+463
+461
+460
+458
+457
+455
+453
+452
+450
+448
+447
+445
+444
+442
+440
+439
+437
+436
+434
+432
+431
+429
+428
+426
+424
+423
+421
+420
+418
+417
+415
+413
+412
+410
+409
+407
+406
+404
+403
+401
+400
+398
+397
+395
+394
+392
+391
+389
+388
+386
+385
+383
+382
+380
+379
+377
+376
+374
+373
+371
+370
+368
+367
+366
+364
+363
+361
+360
+358
+357
+356
+354
+353
+351
+350
+349
+347
+346
+344
+343
+342
+340
+339
+338
+336
+335
+333
+332
+331
+329
+328
+327
+325
+324
+323
+321
+320
+319
+317
+316
+315
+313
+312
+311
+309
+308
+307
+306
+304
+303
+302
+301
+299
+298
+297
+295
+294
+293
+292
+290
+289
+288
+287
+285
+284
+283
+282
+280
+279
+278
+277
+276
+274
+273
+272
+271
+270
+269
+267
+266
+265
+264
+263
+262
+260
+259
+258
+257
+256
+255
+253
+252
+251
+250
+249
+248
+247
+245
+244
+243
+242
+241
+240
+239
+238
+237
+236
+234
+233
+232
+231
+230
+229
+228
+227
+226
+225
+224
+223
+222
+221
+220
+218
+217
+216
+215
+214
+213
+212
+211
+210
+209
+208
+207
+206
+205
+204
+203
+202
+201
+200
+199
+198
+197
+197
+196
+195
+194
+193
+192
+191
+190
+189
+188
+187
+186
+185
+184
+183
+182
+181
+180
+179
+178
+178
+177
+176
+175
+174
+173
+172
+171
+171
+170
+169
+168
+167
+166
+165
+165
+164
+163
+162
+161
+160
+159
+158
+158
+157
+156
+155
+154
+153
+152
+152
+151
+150
+149
+148
+148
+147
+146
+145
+145
+144
+143
+142
+142
+141
+140
+139
+138
+138
+137
+136
+135
+135
+134
+133
+132
+131
+131
+130
+129
+128
+128
+127
+126
+125
+125
+124
+123
+123
+122
+121
+121
+120
+119
+119
+118
+117
+117
+116
+115
+115
+114
+113
+113
+112
+111
+110
+110
+109
+108
+108
+107
+106
+106
+105
+104
+104
+103
+102
+102
+101
+101
+100
+100
+99
+98
+98
+97
+97
+96
+96
+95
+94
+94
+93
+93
+92
+91
+91
+90
+89
+89
+88
+87
+87
+86
+85
+85
+84
+84
+83
+83
+82
+82
+81
+81
+81
+80
+80
+79
+79
+78
+78
+77
+77
+76
+76
+75
+74
+74
+73
+73
+72
+71
+71
+70
+69
+69
+68
+68
+67
+67
+66
+66
+66
+66
+65
+65
+65
+64
+64
+64
+64
+63
+63
+62
+62
+61
+61
+60
+60
+59
+58
+57
+57
+56
+55
+55
+54
+53
+53
+52
+52
+51
+51
+51
+51
+51
+51
+51
+51
+52
+52
+52
+52
+52
+52
+52
+51
+51
+50
+49
+48
+47
+46
+44
+43
+41
+40
+38
+37
+35
+34
+33
+33
+32
+32
+33
+34
+36
+38
+41
+44
+48
+53
+58
+63
+69
+76
+83
+90
+97
+104
+111
+118
+125
+132
+138
+143
+148
+152
+156
+158
+160
+161
diff --git a/applications/lofar2/model/pfs_coeff_final.m b/applications/lofar2/model/pfs_coeff_final.m
index b8bb2d3f196d52abebd5285886a20594b8cd5309..406d47446157e75e96ff5e8c2ba87a3c84ff51c4 100644
--- a/applications/lofar2/model/pfs_coeff_final.m
+++ b/applications/lofar2/model/pfs_coeff_final.m
@@ -97,6 +97,8 @@
 % h) Support loading and analysing LOFAR1 coefficients for comparison
 % i) Support changing the half power subband band width via relative_bw = 1
 % j) Save plots in plots/
+% k) Create FIR coefficients for 16b, 18b, 20b Kaiser (has sharp band edge)
+%    and Hanning (has almost flat DC response for all poly phases)
 
 close all;
 clear all;
@@ -118,9 +120,13 @@ if not(reproduce_ftf)
     relative_bw = 1;
     % word size for coefficients
     nof_bits = 16;
+    nof_bits = 18;
+    nof_bits = 20;
     % window function for coefficients
     kaiser_beta = 1;
-    use_window = 'kaiser';
+    use_window = 'Kaiser';
+    %use_window = 'Hanning';
+    %use_window = 'Blackman';
     % quantization method
     use_uencode = false;
     % support analysing LOFAR1 coefficients
@@ -132,7 +138,7 @@ else
     relative_bw = 1;
     nof_bits = 16;
     kaiser_beta = 1;
-    use_window = 'kaiser';
+    use_window = 'Kaiser';
     use_uencode = true;
     use_lofar1 = false;
 end
@@ -190,16 +196,16 @@ phase_comp = unwrap(angle(fftshift_comp));
 
 
 %% Compute windowed filter
-if strcmp(use_window, 'blackman')
+if strcmp(use_window, 'Blackman')
     disp(sprintf('NOTE: use blackman window'));
     h_window = blackman(M1);
-elseif strcmp(use_window, 'hanning')
+elseif strcmp(use_window, 'Hanning')
     disp(sprintf('NOTE: use hanning window'));
     h_window = hanning(M1);
-elseif strcmp(use_window, 'kaiser')
+elseif strcmp(use_window, 'Kaiser')
     disp(sprintf('NOTE: use kaiser window (beta = %4.2f)', kaiser_beta));
     h_window = kaiser(M1, kaiser_beta);
-else % default 'none'
+else % default 'None'
     disp(sprintf('NOTE: use no window'));
     h_window = ones(M1, 1);  % no window is rectangular window
 end
@@ -288,7 +294,12 @@ end
 
 
 %% Save the coefficients
-fid = fopen('data/Coefficient_16KKaiser.dat','w');
+if reproduce_ftf
+    file_name = ['data/Coefficient_16KKaiser.dat'];
+else
+    file_name = ['data/Coefficient_16K', use_window, sprintf('_%db', nof_bits), '.dat']
+end
+fid = fopen(file_name,'w');
 fprintf(fid,'%i\n', h_quant);
 fclose(fid);
 
diff --git a/applications/lofar2/model/quantize.m b/applications/lofar2/model/quantize.m
new file mode 100644
index 0000000000000000000000000000000000000000..b404d54cca81fbecaa0fd90d0f803b7d52de6d7a
--- /dev/null
+++ b/applications/lofar2/model/quantize.m
@@ -0,0 +1,119 @@
+%-----------------------------------------------------------------------------
+%
+% Copyright (C) 2016
+% ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
+% P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
+%
+% This program is free software: you can redistribute it and/or modify
+% it under the terms of the GNU General Public License as published by
+% the Free Software Foundation, either version 3 of the License, or
+% (at your option) any later version.
+%
+% This program is distributed in the hope that it will be useful,
+% but WITHOUT ANY WARRANTY; without even the implied warranty of
+% MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+% GNU General Public License for more details.
+%
+% You should have received a copy of the GNU General Public License
+% along with this program.  If not, see <http://www.gnu.org/licenses/>.
+%
+%-----------------------------------------------------------------------------
+% Author: E. Kooistra, 2016
+%
+% quantize - quantize two's-complement input data
+%     The output has nof_bits. Definitions:
+%     . w = nof_bits   (output data width)
+%     . q_full_scale = 2**(w-1)
+%     . q_max =  q_full_scale - 1
+%     . q_min = -q_full_scale
+%     Magnitude and amplitude are synonyms for full scale.
+%
+%     The quantization process involves the following steps:
+%
+%     1 scale the input data magnitude
+%     2 round the data
+%     3 handle overflow
+%     4 scale back to the original input data magnitude
+%
+%     The in_full_scale internally maps to in_q_max. Choose in_q_max =
+%     . q_full_scale : default, implies that in_data = in_full_scale will
+%                      just clip to q_max (or just wrap dependent on the
+%                      overflow option) and in_data = -in_full_scale will
+%                      map to q_min.
+%     . q_max        : in_data = in_full_scale will map to q_max, so no
+%                      overflow.
+%     Typically use in_q_max = q_full_scale for data and use in_q_max =
+%     q_max for coefficients. Use in_q_max < q_max if more backoff is
+%     needed for some other reason.
+%
+%     The 'rounding' determines how -0.5 is rounded:
+%     . 'half_away': Round half away from zero, so +0.5 --> 1, -0.5 --> -1.
+%     . 'half_up'  : Round half up to +infinity, so +0.5 --> 1, -0.5 --> 0.
+%
+%     Quantized data that is out of range -q_min : q_max gets treated 
+%     dependent on 'overflow':
+%     . 'clip'     : Clip to -q_min or q_max
+%     . 'clip_sym' : Clip symmetrical to -q_max or q_max
+%     . 'wrap'     : Wrap within nof_bits signed integer range
+%     . 'no_limit' : No range limit, so allow quantized data to get out
+%                    of range -q_min : q_max
+%
+%     The output data range is scaled back to the original in_full_scale.
+
+function out_data = quantize(in_data, in_full_scale, nof_bits, rounding, overflow, in_q_max)
+
+q_bit = 1;
+q_full_scale = 2^(nof_bits-1);  % maximum amplitude, magnitude
+q_max =  q_full_scale-1;
+q_min = -q_full_scale;
+q_period = 2^nof_bits;
+
+% Default options
+if ~exist('rounding', 'var'); rounding = 'half_away'; end;
+if ~exist('overflow', 'var'); overflow = 'clip'; end;
+if ~exist('in_q_max', 'var'); in_q_max = q_full_scale; end;
+
+% Scale
+q_data = in_q_max * in_data/in_full_scale;
+
+% Round
+if strcmp(rounding, 'half_away')
+    q_data = round(q_data);
+else
+    q_data = floor(q_data + q_bit/2);
+end
+
+% Overflow
+if isreal(q_data)
+    q_re = q_data;
+else
+    q_re = real(q_data);
+    q_im = imag(q_data);
+end
+if strcmp(overflow, 'clip')
+    q_re(q_re>q_max) = q_max;
+    q_re(q_re<q_min) = q_min;
+    if ~isreal(q_data)
+        q_im(q_im>q_max) = q_max;
+        q_im(q_im<q_min) = q_min;
+    end
+elseif strcmp(overflow, 'clip_sym')
+    q_re(q_re> q_max) =  q_max;
+    q_re(q_re<-q_max) = -q_max;
+    if ~isreal(q_data)
+        q_im(q_im> q_max) =  q_max;
+        q_im(q_im<-q_max) = -q_max;
+    end
+elseif strcmp(overflow, 'wrap')
+    q_re = mod(q_re - q_min, q_period) + q_min;
+    if ~isreal(q_data)
+        q_im = mod(q_im - q_min, q_period) + q_min;
+    end
+end
+if isreal(q_data)
+    q_data = q_re;
+else
+    q_data = complex(q_re, q_im);
+end
+% Back to original full scale
+out_data = in_full_scale * q_data/q_full_scale;
diff --git a/applications/lofar2/model/run_pfir_coeff.m b/applications/lofar2/model/run_pfir_coeff.m
index a16ef12190fa45322ed43d7a94c957b1a5ea4f33..da526ae98a499551c2da7d7118a905e98da34fb8 100644
--- a/applications/lofar2/model/run_pfir_coeff.m
+++ b/applications/lofar2/model/run_pfir_coeff.m
@@ -379,6 +379,8 @@ end
 title(['FIR filter coefficients for ', config.design, strNL]);
 xlabel(['Taps 1:', num2str(L)]);
 ylabel(['Channels 1:', num2str(N)]);
+file_name = ['plots/', file_name_prefix, '_coefficients.jpg'];
+print(file_name, '-djpeg')
 
 %% Plot FIR-filter DC response per polyphase
 if coeff_w>0
@@ -402,6 +404,8 @@ if coeff_w>0
     xlabel(['Polyphase 1:', num2str(N)]);
     ylabel(['Sum of taps (with median at zero is ', num2str(dc_polyphases_median), ')']);
     grid on;
+    file_name = ['plots/', file_name_prefix, '_dc_response_per_polyphase.jpg'];
+    print(file_name, '-djpeg')
 end
 
 % Plot DC adjustment for LOFAR subband FIR-filter coefficients
@@ -433,7 +437,8 @@ grid on;
 title(['Full FIR filter transfer function for ', config.design, strNL]);
 xlabel('Frequency [channels]');
 ylabel('Power [dB]');
-file_name = ['plots/', file_name_prefix, '_fir_transfer_function.jpg'];
+file_name = ['plots/', file_name_prefix, '_transfer_function_full.jpg'];
+print(file_name, '-djpeg')
 
 % . zoomed plot in power
 fig=fig+1;
@@ -447,7 +452,7 @@ grid on;
 title(['Zoomed FIR filter transfer function for ', config.design, strNL]);
 xlabel('Frequency [channels]');
 ylabel('Power');
-file_name = ['plots/', file_name_prefix, '_fir_transfer_function.jpg'];
+file_name = ['plots/', file_name_prefix, '_transfer_function_zoom.jpg'];
 print(file_name, '-djpeg')
 
 % Plot FIR-filter amplitude and phase characteristic using freqz()
@@ -491,3 +496,6 @@ title(['FIR filter sum of power responses between channels for ', config.design,
 xlabel('Frequency [channels]');
 ylabel('Power');
 
+file_name = ['plots/', file_name_prefix, '_transfer_function_two_channels.jpg'];
+print(file_name, '-djpeg')
+
diff --git a/libraries/dsp/fft/src/vhdl/fft_pkg.vhd b/libraries/dsp/fft/src/vhdl/fft_pkg.vhd
index 05eaa1ffb226920d9127c5d69ea56bdd2ecacefe..a177c9b2360167cb6ca9a00f118b3f452a61de4c 100644
--- a/libraries/dsp/fft/src/vhdl/fft_pkg.vhd
+++ b/libraries/dsp/fft/src/vhdl/fft_pkg.vhd
@@ -32,6 +32,16 @@ package fft_pkg is
 
   function fft_switch_new_seed(seed : std_logic_vector; offset : natural) return std_logic_vector;
 
+  -- The FFT gain for an real input sinus signal is 0.5, because a real input
+  -- sinus with amplitude A yields two subband phasors each with amplitude
+  -- A/2, one at -f_bin and one at +f_bin. The power stays the same, because
+  -- the power of an real input sinus is A**2 / 2 and the power of the f_bin
+  -- signal complex phasors is (A/2)**2 + (A/2)**2 = A**2 / 2.
+  -- For DC at bin 0 the real input gain is 1.0, because DC has only one
+  -- phasor component of frequency 0.
+  CONSTANT c_fft_real_input_gain_sine : REAL := 0.5;
+  CONSTANT c_fft_real_input_gain_dc   : REAL := 1.0;
+
   -- FFT parameters for pipelined FFT (fft_pipe), parallel FFT (fft_par) and wideband FFT (fft_wide)
   type t_fft is record
     use_reorder    : boolean;  -- = false for bit-reversed output, true for normal output
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b.dat b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b.dat
new file mode 100644
index 0000000000000000000000000000000000000000..20c9f1b27db52abf1b319c9a8ff5cb0388be8aba
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b.dat
@@ -0,0 +1,16384 @@
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+35
+35
+35
+35
+35
+35
+35
+35
+35
+34
+34
+34
+34
+34
+34
+34
+34
+34
+33
+33
+33
+33
+33
+33
+33
+33
+32
+32
+32
+32
+32
+32
+32
+32
+31
+31
+31
+31
+31
+31
+31
+31
+30
+30
+30
+30
+30
+30
+30
+29
+29
+29
+29
+29
+29
+29
+28
+28
+28
+28
+28
+28
+28
+27
+27
+27
+27
+27
+27
+27
+26
+26
+26
+26
+26
+26
+25
+25
+25
+25
+25
+25
+24
+24
+24
+24
+24
+24
+23
+23
+23
+23
+23
+23
+22
+22
+22
+22
+22
+22
+21
+21
+21
+21
+21
+20
+20
+20
+20
+20
+20
+19
+19
+19
+19
+19
+18
+18
+18
+18
+18
+17
+17
+17
+17
+17
+17
+16
+16
+16
+16
+16
+15
+15
+15
+15
+14
+14
+14
+14
+14
+13
+13
+13
+13
+13
+12
+12
+12
+12
+12
+11
+11
+11
+11
+10
+10
+10
+10
+10
+9
+9
+9
+9
+8
+8
+8
+8
+8
+7
+7
+7
+7
+6
+6
+6
+6
+5
+5
+5
+5
+4
+4
+4
+4
+4
+3
+3
+3
+3
+2
+2
+2
+2
+1
+1
+1
+1
+0
+0
+0
+0
+-1
+-1
+-1
+-1
+-2
+-2
+-2
+-3
+-3
+-3
+-3
+-4
+-4
+-4
+-4
+-5
+-5
+-5
+-5
+-6
+-6
+-6
+-7
+-7
+-7
+-7
+-8
+-8
+-8
+-8
+-9
+-9
+-9
+-10
+-10
+-10
+-10
+-11
+-11
+-11
+-12
+-12
+-12
+-12
+-13
+-13
+-13
+-14
+-14
+-14
+-14
+-15
+-15
+-15
+-16
+-16
+-16
+-17
+-17
+-17
+-17
+-18
+-18
+-18
+-19
+-19
+-19
+-20
+-20
+-20
+-20
+-21
+-21
+-21
+-22
+-22
+-22
+-23
+-23
+-23
+-24
+-24
+-24
+-25
+-25
+-25
+-25
+-26
+-26
+-26
+-27
+-27
+-27
+-28
+-28
+-28
+-29
+-29
+-29
+-30
+-30
+-30
+-31
+-31
+-31
+-32
+-32
+-32
+-33
+-33
+-33
+-34
+-34
+-34
+-35
+-35
+-35
+-36
+-36
+-36
+-37
+-37
+-37
+-38
+-38
+-38
+-39
+-39
+-39
+-40
+-40
+-40
+-41
+-41
+-42
+-42
+-42
+-43
+-43
+-43
+-44
+-44
+-44
+-45
+-45
+-45
+-46
+-46
+-46
+-47
+-47
+-48
+-48
+-48
+-49
+-49
+-49
+-50
+-50
+-50
+-51
+-51
+-52
+-52
+-52
+-53
+-53
+-53
+-54
+-54
+-54
+-55
+-55
+-56
+-56
+-56
+-57
+-57
+-57
+-58
+-58
+-59
+-59
+-59
+-60
+-60
+-60
+-61
+-61
+-62
+-62
+-62
+-63
+-63
+-63
+-64
+-64
+-65
+-65
+-65
+-66
+-66
+-67
+-67
+-67
+-68
+-68
+-68
+-69
+-69
+-70
+-70
+-70
+-71
+-71
+-72
+-72
+-72
+-73
+-73
+-74
+-74
+-74
+-75
+-75
+-76
+-76
+-76
+-77
+-77
+-78
+-78
+-78
+-79
+-79
+-79
+-80
+-80
+-81
+-81
+-81
+-82
+-82
+-83
+-83
+-83
+-84
+-84
+-85
+-85
+-86
+-86
+-86
+-87
+-87
+-88
+-88
+-88
+-89
+-89
+-90
+-90
+-90
+-91
+-91
+-92
+-92
+-92
+-93
+-93
+-94
+-94
+-94
+-95
+-95
+-96
+-96
+-96
+-97
+-97
+-98
+-98
+-99
+-99
+-99
+-100
+-100
+-101
+-101
+-101
+-102
+-102
+-103
+-103
+-103
+-104
+-104
+-105
+-105
+-106
+-106
+-106
+-107
+-107
+-108
+-108
+-108
+-109
+-109
+-110
+-110
+-111
+-111
+-111
+-112
+-112
+-113
+-113
+-113
+-114
+-114
+-115
+-115
+-115
+-116
+-116
+-117
+-117
+-118
+-118
+-118
+-119
+-119
+-120
+-120
+-120
+-121
+-121
+-122
+-122
+-123
+-123
+-123
+-124
+-124
+-125
+-125
+-125
+-126
+-126
+-127
+-127
+-127
+-128
+-128
+-129
+-129
+-130
+-130
+-130
+-131
+-131
+-132
+-132
+-132
+-133
+-133
+-134
+-134
+-135
+-135
+-135
+-136
+-136
+-137
+-137
+-137
+-138
+-138
+-139
+-139
+-139
+-140
+-140
+-141
+-141
+-141
+-142
+-142
+-143
+-143
+-143
+-144
+-144
+-145
+-145
+-146
+-146
+-146
+-147
+-147
+-148
+-148
+-148
+-149
+-149
+-150
+-150
+-150
+-151
+-151
+-152
+-152
+-152
+-153
+-153
+-154
+-154
+-154
+-155
+-155
+-156
+-156
+-156
+-157
+-157
+-157
+-158
+-158
+-159
+-159
+-159
+-160
+-160
+-161
+-161
+-161
+-162
+-162
+-163
+-163
+-163
+-164
+-164
+-164
+-165
+-165
+-166
+-166
+-166
+-167
+-167
+-168
+-168
+-168
+-169
+-169
+-169
+-170
+-170
+-171
+-171
+-171
+-172
+-172
+-172
+-173
+-173
+-173
+-174
+-174
+-175
+-175
+-175
+-176
+-176
+-176
+-177
+-177
+-177
+-178
+-178
+-179
+-179
+-179
+-180
+-180
+-180
+-181
+-181
+-181
+-182
+-182
+-182
+-183
+-183
+-184
+-184
+-184
+-185
+-185
+-185
+-186
+-186
+-186
+-187
+-187
+-187
+-188
+-188
+-188
+-189
+-189
+-189
+-190
+-190
+-190
+-191
+-191
+-191
+-192
+-192
+-192
+-193
+-193
+-193
+-193
+-194
+-194
+-194
+-195
+-195
+-195
+-196
+-196
+-196
+-197
+-197
+-197
+-198
+-198
+-198
+-198
+-199
+-199
+-199
+-200
+-200
+-200
+-201
+-201
+-201
+-201
+-202
+-202
+-202
+-203
+-203
+-203
+-203
+-204
+-204
+-204
+-205
+-205
+-205
+-205
+-206
+-206
+-206
+-206
+-207
+-207
+-207
+-208
+-208
+-208
+-208
+-209
+-209
+-209
+-209
+-210
+-210
+-210
+-210
+-211
+-211
+-211
+-211
+-212
+-212
+-212
+-212
+-213
+-213
+-213
+-213
+-213
+-214
+-214
+-214
+-214
+-215
+-215
+-215
+-215
+-215
+-216
+-216
+-216
+-216
+-217
+-217
+-217
+-217
+-217
+-218
+-218
+-218
+-218
+-218
+-219
+-219
+-219
+-219
+-219
+-220
+-220
+-220
+-220
+-220
+-220
+-221
+-221
+-221
+-221
+-221
+-222
+-222
+-222
+-222
+-222
+-222
+-223
+-223
+-223
+-223
+-223
+-223
+-223
+-224
+-224
+-224
+-224
+-224
+-224
+-224
+-225
+-225
+-225
+-225
+-225
+-225
+-225
+-225
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-225
+-225
+-225
+-225
+-225
+-225
+-225
+-224
+-224
+-224
+-224
+-224
+-224
+-223
+-223
+-223
+-223
+-223
+-223
+-222
+-222
+-222
+-222
+-222
+-221
+-221
+-221
+-221
+-221
+-220
+-220
+-220
+-220
+-220
+-219
+-219
+-219
+-219
+-219
+-218
+-218
+-218
+-218
+-217
+-217
+-217
+-217
+-216
+-216
+-216
+-216
+-215
+-215
+-215
+-215
+-214
+-214
+-214
+-213
+-213
+-213
+-213
+-212
+-212
+-212
+-211
+-211
+-211
+-211
+-210
+-210
+-210
+-209
+-209
+-209
+-208
+-208
+-208
+-207
+-207
+-207
+-206
+-206
+-206
+-205
+-205
+-205
+-204
+-204
+-204
+-203
+-203
+-202
+-202
+-202
+-201
+-201
+-201
+-200
+-200
+-199
+-199
+-199
+-198
+-198
+-197
+-197
+-197
+-196
+-196
+-195
+-195
+-195
+-194
+-194
+-193
+-193
+-192
+-192
+-191
+-191
+-191
+-190
+-190
+-189
+-189
+-188
+-188
+-187
+-187
+-186
+-186
+-186
+-185
+-185
+-184
+-184
+-183
+-183
+-182
+-182
+-181
+-181
+-180
+-180
+-179
+-179
+-178
+-178
+-177
+-177
+-176
+-175
+-175
+-174
+-174
+-173
+-173
+-172
+-172
+-171
+-171
+-170
+-169
+-169
+-168
+-168
+-167
+-167
+-166
+-165
+-165
+-164
+-164
+-163
+-163
+-162
+-161
+-161
+-160
+-160
+-159
+-158
+-158
+-157
+-156
+-156
+-155
+-155
+-154
+-153
+-153
+-152
+-151
+-151
+-150
+-149
+-149
+-148
+-147
+-147
+-146
+-145
+-145
+-144
+-143
+-143
+-142
+-141
+-141
+-140
+-139
+-139
+-138
+-137
+-137
+-136
+-135
+-134
+-134
+-133
+-132
+-132
+-131
+-130
+-129
+-129
+-128
+-127
+-126
+-126
+-125
+-124
+-123
+-123
+-122
+-121
+-120
+-120
+-119
+-118
+-117
+-117
+-116
+-115
+-114
+-113
+-113
+-112
+-111
+-110
+-110
+-109
+-108
+-107
+-106
+-105
+-105
+-104
+-103
+-102
+-101
+-101
+-100
+-99
+-98
+-97
+-96
+-96
+-95
+-94
+-93
+-92
+-91
+-90
+-90
+-89
+-88
+-87
+-86
+-85
+-84
+-83
+-83
+-82
+-81
+-80
+-79
+-78
+-77
+-76
+-75
+-75
+-74
+-73
+-72
+-71
+-70
+-69
+-68
+-67
+-66
+-65
+-64
+-64
+-63
+-62
+-61
+-60
+-59
+-58
+-57
+-56
+-55
+-54
+-53
+-52
+-51
+-50
+-49
+-48
+-47
+-46
+-45
+-44
+-43
+-42
+-41
+-40
+-39
+-38
+-37
+-36
+-35
+-34
+-33
+-32
+-31
+-30
+-29
+-28
+-27
+-26
+-25
+-24
+-23
+-22
+-21
+-20
+-19
+-18
+-17
+-16
+-15
+-14
+-13
+-12
+-11
+-10
+-9
+-8
+-6
+-5
+-4
+-3
+-2
+-1
+0
+1
+2
+3
+4
+5
+6
+8
+9
+10
+11
+12
+13
+14
+15
+16
+18
+19
+20
+21
+22
+23
+24
+25
+26
+28
+29
+30
+31
+32
+33
+34
+36
+37
+38
+39
+40
+41
+42
+44
+45
+46
+47
+48
+49
+51
+52
+53
+54
+55
+56
+58
+59
+60
+61
+62
+63
+65
+66
+67
+68
+69
+71
+72
+73
+74
+75
+77
+78
+79
+80
+81
+83
+84
+85
+86
+87
+89
+90
+91
+92
+94
+95
+96
+97
+98
+100
+101
+102
+103
+105
+106
+107
+108
+110
+111
+112
+113
+115
+116
+117
+118
+120
+121
+122
+123
+125
+126
+127
+128
+130
+131
+132
+133
+135
+136
+137
+138
+140
+141
+142
+144
+145
+146
+147
+149
+150
+151
+153
+154
+155
+156
+158
+159
+160
+162
+163
+164
+165
+167
+168
+169
+171
+172
+173
+174
+176
+177
+178
+180
+181
+182
+184
+185
+186
+187
+189
+190
+191
+193
+194
+195
+197
+198
+199
+200
+202
+203
+204
+206
+207
+208
+210
+211
+212
+214
+215
+216
+218
+219
+220
+221
+223
+224
+225
+227
+228
+229
+231
+232
+233
+235
+236
+237
+239
+240
+241
+243
+244
+245
+247
+248
+249
+250
+252
+253
+254
+256
+257
+258
+260
+261
+262
+264
+265
+266
+268
+269
+270
+272
+273
+274
+276
+277
+278
+280
+281
+282
+283
+285
+286
+287
+289
+290
+291
+293
+294
+295
+297
+298
+299
+301
+302
+303
+305
+306
+307
+308
+310
+311
+312
+314
+315
+316
+318
+319
+320
+322
+323
+324
+325
+327
+328
+329
+331
+332
+333
+335
+336
+337
+338
+340
+341
+342
+344
+345
+346
+347
+349
+350
+351
+353
+354
+355
+356
+358
+359
+360
+362
+363
+364
+365
+367
+368
+369
+370
+372
+373
+374
+376
+377
+378
+379
+381
+382
+383
+384
+386
+387
+388
+389
+391
+392
+393
+394
+396
+397
+398
+399
+400
+402
+403
+404
+405
+407
+408
+409
+410
+412
+413
+414
+415
+416
+418
+419
+420
+421
+422
+424
+425
+426
+427
+428
+430
+431
+432
+433
+434
+436
+437
+438
+439
+440
+441
+443
+444
+445
+446
+447
+448
+450
+451
+452
+453
+454
+455
+456
+458
+459
+460
+461
+462
+463
+464
+465
+467
+468
+469
+470
+471
+472
+473
+474
+475
+477
+478
+479
+480
+481
+482
+483
+484
+485
+486
+487
+488
+490
+491
+492
+493
+494
+495
+496
+497
+498
+499
+500
+501
+502
+503
+504
+505
+506
+507
+508
+509
+510
+511
+512
+513
+514
+515
+516
+517
+518
+519
+520
+521
+522
+523
+524
+525
+526
+527
+528
+529
+530
+531
+532
+532
+533
+534
+535
+536
+537
+538
+539
+540
+541
+542
+542
+543
+544
+545
+546
+547
+548
+549
+549
+550
+551
+552
+553
+554
+555
+555
+556
+557
+558
+559
+560
+560
+561
+562
+563
+564
+564
+565
+566
+567
+567
+568
+569
+570
+571
+571
+572
+573
+574
+574
+575
+576
+576
+577
+578
+579
+579
+580
+581
+581
+582
+583
+583
+584
+585
+585
+586
+587
+587
+588
+589
+589
+590
+591
+591
+592
+592
+593
+594
+594
+595
+596
+596
+597
+597
+598
+598
+599
+600
+600
+601
+601
+602
+602
+603
+603
+604
+604
+605
+605
+606
+606
+607
+607
+608
+608
+609
+609
+610
+610
+611
+611
+612
+612
+612
+613
+613
+614
+614
+614
+615
+615
+616
+616
+616
+617
+617
+618
+618
+618
+619
+619
+619
+620
+620
+620
+621
+621
+621
+621
+622
+622
+622
+623
+623
+623
+623
+624
+624
+624
+624
+625
+625
+625
+625
+625
+626
+626
+626
+626
+626
+627
+627
+627
+627
+627
+627
+628
+628
+628
+628
+628
+628
+628
+628
+628
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+628
+628
+628
+628
+628
+628
+628
+628
+627
+627
+627
+627
+627
+627
+627
+626
+626
+626
+626
+626
+625
+625
+625
+625
+624
+624
+624
+624
+623
+623
+623
+622
+622
+622
+622
+621
+621
+621
+620
+620
+620
+619
+619
+619
+618
+618
+617
+617
+617
+616
+616
+615
+615
+615
+614
+614
+613
+613
+612
+612
+611
+611
+610
+610
+609
+609
+608
+608
+607
+607
+606
+606
+605
+604
+604
+603
+603
+602
+602
+601
+600
+600
+599
+598
+598
+597
+596
+596
+595
+594
+594
+593
+592
+592
+591
+590
+590
+589
+588
+587
+587
+586
+585
+584
+583
+583
+582
+581
+580
+579
+579
+578
+577
+576
+575
+574
+574
+573
+572
+571
+570
+569
+568
+567
+566
+565
+565
+564
+563
+562
+561
+560
+559
+558
+557
+556
+555
+554
+553
+552
+551
+550
+549
+548
+546
+545
+544
+543
+542
+541
+540
+539
+538
+537
+535
+534
+533
+532
+531
+530
+529
+527
+526
+525
+524
+523
+521
+520
+519
+518
+516
+515
+514
+513
+511
+510
+509
+507
+506
+505
+504
+502
+501
+500
+498
+497
+496
+494
+493
+491
+490
+489
+487
+486
+484
+483
+482
+480
+479
+477
+476
+474
+473
+471
+470
+468
+467
+465
+464
+462
+461
+459
+458
+456
+455
+453
+451
+450
+448
+447
+445
+444
+442
+440
+439
+437
+435
+434
+432
+430
+429
+427
+425
+424
+422
+420
+419
+417
+415
+414
+412
+410
+408
+407
+405
+403
+401
+399
+398
+396
+394
+392
+390
+389
+387
+385
+383
+381
+379
+378
+376
+374
+372
+370
+368
+366
+364
+362
+360
+359
+357
+355
+353
+351
+349
+347
+345
+343
+341
+339
+337
+335
+333
+331
+329
+327
+325
+323
+321
+319
+317
+314
+312
+310
+308
+306
+304
+302
+300
+298
+296
+293
+291
+289
+287
+285
+283
+280
+278
+276
+274
+272
+270
+267
+265
+263
+261
+258
+256
+254
+252
+249
+247
+245
+243
+240
+238
+236
+233
+231
+229
+226
+224
+222
+219
+217
+215
+212
+210
+208
+205
+203
+201
+198
+196
+193
+191
+189
+186
+184
+181
+179
+176
+174
+172
+169
+167
+164
+162
+159
+157
+154
+152
+149
+147
+144
+142
+139
+137
+134
+132
+129
+127
+124
+121
+119
+116
+114
+111
+109
+106
+103
+101
+98
+96
+93
+90
+88
+85
+82
+80
+77
+74
+72
+69
+66
+64
+61
+58
+56
+53
+50
+48
+45
+42
+40
+37
+34
+31
+29
+26
+23
+20
+18
+15
+12
+9
+7
+4
+1
+-2
+-4
+-7
+-10
+-13
+-16
+-18
+-21
+-24
+-27
+-30
+-33
+-35
+-38
+-41
+-44
+-47
+-50
+-52
+-55
+-58
+-61
+-64
+-67
+-70
+-73
+-75
+-78
+-81
+-84
+-87
+-90
+-93
+-96
+-99
+-102
+-105
+-107
+-110
+-113
+-116
+-119
+-122
+-125
+-128
+-131
+-134
+-137
+-140
+-143
+-146
+-149
+-152
+-155
+-158
+-161
+-164
+-167
+-170
+-173
+-176
+-179
+-182
+-185
+-188
+-191
+-194
+-197
+-200
+-203
+-206
+-209
+-212
+-215
+-218
+-221
+-224
+-227
+-230
+-233
+-236
+-239
+-242
+-246
+-249
+-252
+-255
+-258
+-261
+-264
+-267
+-270
+-273
+-276
+-279
+-282
+-286
+-289
+-292
+-295
+-298
+-301
+-304
+-307
+-310
+-313
+-317
+-320
+-323
+-326
+-329
+-332
+-335
+-338
+-342
+-345
+-348
+-351
+-354
+-357
+-360
+-363
+-367
+-370
+-373
+-376
+-379
+-382
+-385
+-389
+-392
+-395
+-398
+-401
+-404
+-407
+-411
+-414
+-417
+-420
+-423
+-426
+-429
+-433
+-436
+-439
+-442
+-445
+-448
+-452
+-455
+-458
+-461
+-464
+-467
+-470
+-474
+-477
+-480
+-483
+-486
+-489
+-493
+-496
+-499
+-502
+-505
+-508
+-512
+-515
+-518
+-521
+-524
+-527
+-531
+-534
+-537
+-540
+-543
+-546
+-549
+-553
+-556
+-559
+-562
+-565
+-568
+-572
+-575
+-578
+-581
+-584
+-587
+-590
+-594
+-597
+-600
+-603
+-606
+-609
+-612
+-616
+-619
+-622
+-625
+-628
+-631
+-634
+-637
+-641
+-644
+-647
+-650
+-653
+-656
+-659
+-662
+-665
+-669
+-672
+-675
+-678
+-681
+-684
+-687
+-690
+-693
+-697
+-700
+-703
+-706
+-709
+-712
+-715
+-718
+-721
+-724
+-727
+-730
+-733
+-736
+-740
+-743
+-746
+-749
+-752
+-755
+-758
+-761
+-764
+-767
+-770
+-773
+-776
+-779
+-782
+-785
+-788
+-791
+-794
+-797
+-800
+-803
+-806
+-809
+-812
+-815
+-818
+-821
+-824
+-827
+-830
+-833
+-836
+-839
+-842
+-845
+-848
+-851
+-854
+-856
+-859
+-862
+-865
+-868
+-871
+-874
+-877
+-880
+-883
+-886
+-888
+-891
+-894
+-897
+-900
+-903
+-906
+-908
+-911
+-914
+-917
+-920
+-923
+-925
+-928
+-931
+-934
+-937
+-940
+-942
+-945
+-948
+-951
+-953
+-956
+-959
+-962
+-964
+-967
+-970
+-973
+-975
+-978
+-981
+-984
+-986
+-989
+-992
+-994
+-997
+-1000
+-1002
+-1005
+-1008
+-1010
+-1013
+-1016
+-1018
+-1021
+-1024
+-1026
+-1029
+-1031
+-1034
+-1037
+-1039
+-1042
+-1044
+-1047
+-1049
+-1052
+-1055
+-1057
+-1060
+-1062
+-1065
+-1067
+-1070
+-1072
+-1075
+-1077
+-1080
+-1082
+-1085
+-1087
+-1090
+-1092
+-1094
+-1097
+-1099
+-1102
+-1104
+-1107
+-1109
+-1111
+-1114
+-1116
+-1118
+-1121
+-1123
+-1125
+-1128
+-1130
+-1132
+-1135
+-1137
+-1139
+-1142
+-1144
+-1146
+-1149
+-1151
+-1153
+-1155
+-1157
+-1160
+-1162
+-1164
+-1166
+-1169
+-1171
+-1173
+-1175
+-1177
+-1179
+-1182
+-1184
+-1186
+-1188
+-1190
+-1192
+-1194
+-1196
+-1198
+-1200
+-1202
+-1205
+-1207
+-1209
+-1211
+-1213
+-1215
+-1217
+-1219
+-1221
+-1223
+-1224
+-1226
+-1228
+-1230
+-1232
+-1234
+-1236
+-1238
+-1240
+-1242
+-1244
+-1245
+-1247
+-1249
+-1251
+-1253
+-1255
+-1256
+-1258
+-1260
+-1262
+-1263
+-1265
+-1267
+-1269
+-1270
+-1272
+-1274
+-1275
+-1277
+-1279
+-1280
+-1282
+-1284
+-1285
+-1287
+-1289
+-1290
+-1292
+-1293
+-1295
+-1296
+-1298
+-1299
+-1301
+-1303
+-1304
+-1306
+-1307
+-1308
+-1310
+-1311
+-1313
+-1314
+-1316
+-1317
+-1318
+-1320
+-1321
+-1323
+-1324
+-1325
+-1327
+-1328
+-1329
+-1330
+-1332
+-1333
+-1334
+-1335
+-1337
+-1338
+-1339
+-1340
+-1342
+-1343
+-1344
+-1345
+-1346
+-1347
+-1348
+-1350
+-1351
+-1352
+-1353
+-1354
+-1355
+-1356
+-1357
+-1358
+-1359
+-1360
+-1361
+-1362
+-1363
+-1364
+-1365
+-1366
+-1367
+-1367
+-1368
+-1369
+-1370
+-1371
+-1372
+-1373
+-1373
+-1374
+-1375
+-1376
+-1376
+-1377
+-1378
+-1379
+-1379
+-1380
+-1381
+-1381
+-1382
+-1383
+-1383
+-1384
+-1385
+-1385
+-1386
+-1386
+-1387
+-1387
+-1388
+-1388
+-1389
+-1389
+-1390
+-1390
+-1391
+-1391
+-1392
+-1392
+-1392
+-1393
+-1393
+-1394
+-1394
+-1394
+-1395
+-1395
+-1395
+-1395
+-1396
+-1396
+-1396
+-1396
+-1397
+-1397
+-1397
+-1397
+-1397
+-1397
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1397
+-1397
+-1397
+-1397
+-1397
+-1397
+-1396
+-1396
+-1396
+-1396
+-1395
+-1395
+-1395
+-1395
+-1394
+-1394
+-1394
+-1393
+-1393
+-1392
+-1392
+-1392
+-1391
+-1391
+-1390
+-1390
+-1389
+-1389
+-1388
+-1388
+-1387
+-1387
+-1386
+-1385
+-1385
+-1384
+-1384
+-1383
+-1382
+-1382
+-1381
+-1380
+-1379
+-1379
+-1378
+-1377
+-1376
+-1376
+-1375
+-1374
+-1373
+-1372
+-1371
+-1370
+-1369
+-1369
+-1368
+-1367
+-1366
+-1365
+-1364
+-1363
+-1362
+-1361
+-1360
+-1359
+-1357
+-1356
+-1355
+-1354
+-1353
+-1352
+-1351
+-1349
+-1348
+-1347
+-1346
+-1345
+-1343
+-1342
+-1341
+-1339
+-1338
+-1337
+-1335
+-1334
+-1333
+-1331
+-1330
+-1328
+-1327
+-1325
+-1324
+-1322
+-1321
+-1319
+-1318
+-1316
+-1315
+-1313
+-1311
+-1310
+-1308
+-1307
+-1305
+-1303
+-1302
+-1300
+-1298
+-1296
+-1295
+-1293
+-1291
+-1289
+-1287
+-1286
+-1284
+-1282
+-1280
+-1278
+-1276
+-1274
+-1272
+-1270
+-1268
+-1266
+-1264
+-1262
+-1260
+-1258
+-1256
+-1254
+-1252
+-1250
+-1248
+-1246
+-1244
+-1241
+-1239
+-1237
+-1235
+-1232
+-1230
+-1228
+-1226
+-1223
+-1221
+-1219
+-1216
+-1214
+-1212
+-1209
+-1207
+-1204
+-1202
+-1200
+-1197
+-1195
+-1192
+-1190
+-1187
+-1185
+-1182
+-1179
+-1177
+-1174
+-1172
+-1169
+-1166
+-1164
+-1161
+-1158
+-1155
+-1153
+-1150
+-1147
+-1144
+-1142
+-1139
+-1136
+-1133
+-1130
+-1127
+-1125
+-1122
+-1119
+-1116
+-1113
+-1110
+-1107
+-1104
+-1101
+-1098
+-1095
+-1092
+-1089
+-1086
+-1083
+-1079
+-1076
+-1073
+-1070
+-1067
+-1064
+-1060
+-1057
+-1054
+-1051
+-1048
+-1044
+-1041
+-1038
+-1034
+-1031
+-1028
+-1024
+-1021
+-1017
+-1014
+-1011
+-1007
+-1004
+-1000
+-997
+-993
+-990
+-986
+-983
+-979
+-975
+-972
+-968
+-965
+-961
+-957
+-954
+-950
+-946
+-943
+-939
+-935
+-931
+-928
+-924
+-920
+-916
+-912
+-908
+-905
+-901
+-897
+-893
+-889
+-885
+-881
+-877
+-873
+-869
+-865
+-861
+-857
+-853
+-849
+-845
+-841
+-837
+-833
+-829
+-824
+-820
+-816
+-812
+-808
+-803
+-799
+-795
+-791
+-786
+-782
+-778
+-774
+-769
+-765
+-760
+-756
+-752
+-747
+-743
+-738
+-734
+-730
+-725
+-721
+-716
+-712
+-707
+-703
+-698
+-693
+-689
+-684
+-680
+-675
+-670
+-666
+-661
+-656
+-652
+-647
+-642
+-638
+-633
+-628
+-623
+-619
+-614
+-609
+-604
+-599
+-595
+-590
+-585
+-580
+-575
+-570
+-565
+-560
+-555
+-550
+-546
+-541
+-536
+-531
+-526
+-520
+-515
+-510
+-505
+-500
+-495
+-490
+-485
+-480
+-475
+-470
+-464
+-459
+-454
+-449
+-444
+-438
+-433
+-428
+-423
+-417
+-412
+-407
+-402
+-396
+-391
+-386
+-380
+-375
+-369
+-364
+-359
+-353
+-348
+-342
+-337
+-332
+-326
+-321
+-315
+-310
+-304
+-299
+-293
+-288
+-282
+-276
+-271
+-265
+-260
+-254
+-248
+-243
+-237
+-232
+-226
+-220
+-215
+-209
+-203
+-197
+-192
+-186
+-180
+-175
+-169
+-163
+-157
+-151
+-146
+-140
+-134
+-128
+-122
+-117
+-111
+-105
+-99
+-93
+-87
+-81
+-75
+-69
+-64
+-58
+-52
+-46
+-40
+-34
+-28
+-22
+-16
+-10
+-4
+2
+8
+14
+20
+26
+33
+39
+45
+51
+57
+63
+69
+75
+81
+87
+94
+100
+106
+112
+118
+124
+131
+137
+143
+149
+156
+162
+168
+174
+180
+187
+193
+199
+206
+212
+218
+224
+231
+237
+243
+250
+256
+262
+269
+275
+281
+288
+294
+301
+307
+313
+320
+326
+333
+339
+345
+352
+358
+365
+371
+378
+384
+390
+397
+403
+410
+416
+423
+429
+436
+442
+449
+455
+462
+468
+475
+481
+488
+494
+501
+508
+514
+521
+527
+534
+540
+547
+553
+560
+567
+573
+580
+586
+593
+600
+606
+613
+619
+626
+633
+639
+646
+652
+659
+666
+672
+679
+686
+692
+699
+706
+712
+719
+725
+732
+739
+745
+752
+759
+765
+772
+779
+785
+792
+799
+805
+812
+819
+825
+832
+839
+845
+852
+859
+866
+872
+879
+886
+892
+899
+906
+912
+919
+926
+932
+939
+946
+952
+959
+966
+972
+979
+986
+993
+999
+1006
+1013
+1019
+1026
+1033
+1039
+1046
+1053
+1059
+1066
+1073
+1079
+1086
+1093
+1099
+1106
+1113
+1119
+1126
+1133
+1139
+1146
+1153
+1159
+1166
+1173
+1179
+1186
+1193
+1199
+1206
+1213
+1219
+1226
+1232
+1239
+1246
+1252
+1259
+1266
+1272
+1279
+1285
+1292
+1299
+1305
+1312
+1318
+1325
+1331
+1338
+1345
+1351
+1358
+1364
+1371
+1377
+1384
+1390
+1397
+1404
+1410
+1417
+1423
+1430
+1436
+1443
+1449
+1456
+1462
+1469
+1475
+1482
+1488
+1494
+1501
+1507
+1514
+1520
+1527
+1533
+1539
+1546
+1552
+1559
+1565
+1571
+1578
+1584
+1591
+1597
+1603
+1610
+1616
+1622
+1629
+1635
+1641
+1647
+1654
+1660
+1666
+1673
+1679
+1685
+1691
+1698
+1704
+1710
+1716
+1722
+1729
+1735
+1741
+1747
+1753
+1759
+1766
+1772
+1778
+1784
+1790
+1796
+1802
+1808
+1814
+1820
+1826
+1832
+1839
+1845
+1851
+1857
+1863
+1869
+1874
+1880
+1886
+1892
+1898
+1904
+1910
+1916
+1922
+1928
+1934
+1939
+1945
+1951
+1957
+1963
+1969
+1974
+1980
+1986
+1992
+1997
+2003
+2009
+2014
+2020
+2026
+2031
+2037
+2043
+2048
+2054
+2060
+2065
+2071
+2076
+2082
+2087
+2093
+2098
+2104
+2109
+2115
+2120
+2126
+2131
+2137
+2142
+2147
+2153
+2158
+2164
+2169
+2174
+2180
+2185
+2190
+2195
+2201
+2206
+2211
+2216
+2221
+2227
+2232
+2237
+2242
+2247
+2252
+2257
+2262
+2268
+2273
+2278
+2283
+2288
+2293
+2298
+2302
+2307
+2312
+2317
+2322
+2327
+2332
+2337
+2341
+2346
+2351
+2356
+2361
+2365
+2370
+2375
+2379
+2384
+2389
+2393
+2398
+2402
+2407
+2412
+2416
+2421
+2425
+2430
+2434
+2439
+2443
+2447
+2452
+2456
+2461
+2465
+2469
+2474
+2478
+2482
+2486
+2491
+2495
+2499
+2503
+2507
+2511
+2516
+2520
+2524
+2528
+2532
+2536
+2540
+2544
+2548
+2552
+2556
+2560
+2563
+2567
+2571
+2575
+2579
+2582
+2586
+2590
+2594
+2597
+2601
+2605
+2608
+2612
+2616
+2619
+2623
+2626
+2630
+2633
+2637
+2640
+2644
+2647
+2650
+2654
+2657
+2660
+2664
+2667
+2670
+2673
+2677
+2680
+2683
+2686
+2689
+2692
+2695
+2698
+2701
+2704
+2707
+2710
+2713
+2716
+2719
+2722
+2725
+2728
+2730
+2733
+2736
+2739
+2741
+2744
+2747
+2749
+2752
+2754
+2757
+2760
+2762
+2765
+2767
+2769
+2772
+2774
+2777
+2779
+2781
+2784
+2786
+2788
+2790
+2792
+2795
+2797
+2799
+2801
+2803
+2805
+2807
+2809
+2811
+2813
+2815
+2817
+2819
+2820
+2822
+2824
+2826
+2828
+2829
+2831
+2833
+2834
+2836
+2837
+2839
+2841
+2842
+2844
+2845
+2846
+2848
+2849
+2851
+2852
+2853
+2854
+2856
+2857
+2858
+2859
+2860
+2861
+2863
+2864
+2865
+2866
+2867
+2867
+2868
+2869
+2870
+2871
+2872
+2873
+2873
+2874
+2875
+2875
+2876
+2877
+2877
+2878
+2878
+2879
+2879
+2880
+2880
+2881
+2881
+2881
+2881
+2882
+2882
+2882
+2882
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2882
+2882
+2882
+2882
+2881
+2881
+2881
+2880
+2880
+2880
+2879
+2879
+2878
+2878
+2877
+2877
+2876
+2875
+2875
+2874
+2873
+2872
+2872
+2871
+2870
+2869
+2868
+2867
+2866
+2865
+2864
+2863
+2862
+2861
+2859
+2858
+2857
+2856
+2855
+2853
+2852
+2850
+2849
+2848
+2846
+2845
+2843
+2842
+2840
+2838
+2837
+2835
+2833
+2832
+2830
+2828
+2826
+2824
+2822
+2820
+2818
+2816
+2814
+2812
+2810
+2808
+2806
+2804
+2802
+2799
+2797
+2795
+2792
+2790
+2788
+2785
+2783
+2780
+2778
+2775
+2773
+2770
+2767
+2765
+2762
+2759
+2756
+2754
+2751
+2748
+2745
+2742
+2739
+2736
+2733
+2730
+2727
+2724
+2721
+2718
+2714
+2711
+2708
+2704
+2701
+2698
+2694
+2691
+2687
+2684
+2680
+2677
+2673
+2670
+2666
+2662
+2659
+2655
+2651
+2647
+2643
+2639
+2635
+2632
+2628
+2624
+2619
+2615
+2611
+2607
+2603
+2599
+2595
+2590
+2586
+2582
+2577
+2573
+2568
+2564
+2559
+2555
+2550
+2546
+2541
+2536
+2532
+2527
+2522
+2518
+2513
+2508
+2503
+2498
+2493
+2488
+2483
+2478
+2473
+2468
+2463
+2458
+2452
+2447
+2442
+2437
+2431
+2426
+2421
+2415
+2410
+2404
+2399
+2393
+2388
+2382
+2376
+2371
+2365
+2359
+2353
+2348
+2342
+2336
+2330
+2324
+2318
+2312
+2306
+2300
+2294
+2288
+2282
+2275
+2269
+2263
+2257
+2250
+2244
+2238
+2231
+2225
+2218
+2212
+2205
+2199
+2192
+2185
+2179
+2172
+2165
+2159
+2152
+2145
+2138
+2131
+2124
+2117
+2110
+2103
+2096
+2089
+2082
+2075
+2068
+2061
+2053
+2046
+2039
+2032
+2024
+2017
+2009
+2002
+1995
+1987
+1979
+1972
+1964
+1957
+1949
+1941
+1934
+1926
+1918
+1910
+1902
+1895
+1887
+1879
+1871
+1863
+1855
+1847
+1839
+1831
+1822
+1814
+1806
+1798
+1790
+1781
+1773
+1765
+1756
+1748
+1739
+1731
+1722
+1714
+1705
+1697
+1688
+1679
+1671
+1662
+1653
+1645
+1636
+1627
+1618
+1609
+1600
+1591
+1582
+1573
+1564
+1555
+1546
+1537
+1528
+1519
+1510
+1500
+1491
+1482
+1473
+1463
+1454
+1444
+1435
+1426
+1416
+1407
+1397
+1387
+1378
+1368
+1359
+1349
+1339
+1329
+1320
+1310
+1300
+1290
+1280
+1270
+1261
+1251
+1241
+1231
+1221
+1211
+1200
+1190
+1180
+1170
+1160
+1150
+1139
+1129
+1119
+1108
+1098
+1088
+1077
+1067
+1056
+1046
+1036
+1025
+1014
+1004
+993
+983
+972
+961
+951
+940
+929
+918
+907
+897
+886
+875
+864
+853
+842
+831
+820
+809
+798
+787
+776
+765
+753
+742
+731
+720
+709
+697
+686
+675
+663
+652
+641
+629
+618
+606
+595
+583
+572
+560
+549
+537
+525
+514
+502
+490
+479
+467
+455
+444
+432
+420
+408
+396
+384
+372
+360
+349
+337
+325
+313
+301
+288
+276
+264
+252
+240
+228
+216
+204
+191
+179
+167
+155
+142
+130
+118
+105
+93
+80
+68
+56
+43
+31
+18
+6
+-7
+-19
+-32
+-44
+-57
+-70
+-82
+-95
+-108
+-120
+-133
+-146
+-159
+-171
+-184
+-197
+-210
+-222
+-235
+-248
+-261
+-274
+-287
+-300
+-313
+-326
+-339
+-352
+-365
+-378
+-391
+-404
+-417
+-430
+-443
+-456
+-469
+-482
+-496
+-509
+-522
+-535
+-548
+-562
+-575
+-588
+-601
+-615
+-628
+-641
+-655
+-668
+-681
+-695
+-708
+-722
+-735
+-748
+-762
+-775
+-789
+-802
+-816
+-829
+-843
+-856
+-870
+-883
+-897
+-910
+-924
+-938
+-951
+-965
+-978
+-992
+-1006
+-1019
+-1033
+-1047
+-1060
+-1074
+-1088
+-1101
+-1115
+-1129
+-1143
+-1156
+-1170
+-1184
+-1198
+-1212
+-1225
+-1239
+-1253
+-1267
+-1281
+-1294
+-1308
+-1322
+-1336
+-1350
+-1364
+-1378
+-1392
+-1405
+-1419
+-1433
+-1447
+-1461
+-1475
+-1489
+-1503
+-1517
+-1531
+-1545
+-1559
+-1573
+-1587
+-1601
+-1615
+-1629
+-1643
+-1657
+-1671
+-1685
+-1699
+-1713
+-1727
+-1741
+-1755
+-1769
+-1783
+-1797
+-1811
+-1825
+-1839
+-1853
+-1867
+-1881
+-1895
+-1910
+-1924
+-1938
+-1952
+-1966
+-1980
+-1994
+-2008
+-2022
+-2036
+-2050
+-2064
+-2079
+-2093
+-2107
+-2121
+-2135
+-2149
+-2163
+-2177
+-2191
+-2205
+-2220
+-2234
+-2248
+-2262
+-2276
+-2290
+-2304
+-2318
+-2332
+-2346
+-2360
+-2375
+-2389
+-2403
+-2417
+-2431
+-2445
+-2459
+-2473
+-2487
+-2501
+-2515
+-2529
+-2543
+-2557
+-2571
+-2585
+-2599
+-2613
+-2627
+-2641
+-2655
+-2669
+-2683
+-2697
+-2711
+-2725
+-2739
+-2753
+-2767
+-2781
+-2795
+-2809
+-2823
+-2837
+-2851
+-2865
+-2879
+-2893
+-2907
+-2921
+-2934
+-2948
+-2962
+-2976
+-2990
+-3004
+-3018
+-3031
+-3045
+-3059
+-3073
+-3087
+-3100
+-3114
+-3128
+-3142
+-3155
+-3169
+-3183
+-3197
+-3210
+-3224
+-3238
+-3251
+-3265
+-3279
+-3292
+-3306
+-3320
+-3333
+-3347
+-3360
+-3374
+-3387
+-3401
+-3415
+-3428
+-3442
+-3455
+-3468
+-3482
+-3495
+-3509
+-3522
+-3536
+-3549
+-3562
+-3576
+-3589
+-3602
+-3616
+-3629
+-3642
+-3656
+-3669
+-3682
+-3695
+-3708
+-3722
+-3735
+-3748
+-3761
+-3774
+-3787
+-3800
+-3814
+-3827
+-3840
+-3853
+-3866
+-3879
+-3892
+-3905
+-3917
+-3930
+-3943
+-3956
+-3969
+-3982
+-3995
+-4007
+-4020
+-4033
+-4046
+-4058
+-4071
+-4084
+-4096
+-4109
+-4122
+-4134
+-4147
+-4159
+-4172
+-4184
+-4197
+-4209
+-4222
+-4234
+-4246
+-4259
+-4271
+-4283
+-4296
+-4308
+-4320
+-4332
+-4345
+-4357
+-4369
+-4381
+-4393
+-4405
+-4417
+-4429
+-4441
+-4453
+-4465
+-4477
+-4489
+-4501
+-4513
+-4525
+-4537
+-4548
+-4560
+-4572
+-4583
+-4595
+-4607
+-4618
+-4630
+-4641
+-4653
+-4665
+-4676
+-4687
+-4699
+-4710
+-4722
+-4733
+-4744
+-4755
+-4767
+-4778
+-4789
+-4800
+-4811
+-4822
+-4834
+-4845
+-4856
+-4867
+-4877
+-4888
+-4899
+-4910
+-4921
+-4932
+-4942
+-4953
+-4964
+-4974
+-4985
+-4996
+-5006
+-5017
+-5027
+-5038
+-5048
+-5058
+-5069
+-5079
+-5089
+-5100
+-5110
+-5120
+-5130
+-5140
+-5150
+-5160
+-5170
+-5180
+-5190
+-5200
+-5210
+-5220
+-5230
+-5240
+-5249
+-5259
+-5269
+-5278
+-5288
+-5297
+-5307
+-5316
+-5326
+-5335
+-5344
+-5354
+-5363
+-5372
+-5381
+-5391
+-5400
+-5409
+-5418
+-5427
+-5436
+-5445
+-5454
+-5462
+-5471
+-5480
+-5489
+-5497
+-5506
+-5515
+-5523
+-5532
+-5540
+-5549
+-5557
+-5565
+-5574
+-5582
+-5590
+-5598
+-5606
+-5614
+-5623
+-5631
+-5639
+-5646
+-5654
+-5662
+-5670
+-5678
+-5685
+-5693
+-5701
+-5708
+-5716
+-5723
+-5731
+-5738
+-5745
+-5753
+-5760
+-5767
+-5774
+-5781
+-5789
+-5796
+-5803
+-5810
+-5816
+-5823
+-5830
+-5837
+-5844
+-5850
+-5857
+-5863
+-5870
+-5876
+-5883
+-5889
+-5895
+-5902
+-5908
+-5914
+-5920
+-5926
+-5932
+-5938
+-5944
+-5950
+-5956
+-5962
+-5967
+-5973
+-5979
+-5984
+-5990
+-5995
+-6001
+-6006
+-6012
+-6017
+-6022
+-6027
+-6032
+-6037
+-6042
+-6047
+-6052
+-6057
+-6062
+-6067
+-6071
+-6076
+-6081
+-6085
+-6090
+-6094
+-6099
+-6103
+-6107
+-6111
+-6116
+-6120
+-6124
+-6128
+-6132
+-6136
+-6139
+-6143
+-6147
+-6151
+-6154
+-6158
+-6161
+-6165
+-6168
+-6172
+-6175
+-6178
+-6181
+-6184
+-6188
+-6191
+-6193
+-6196
+-6199
+-6202
+-6205
+-6207
+-6210
+-6213
+-6215
+-6217
+-6220
+-6222
+-6224
+-6227
+-6229
+-6231
+-6233
+-6235
+-6237
+-6239
+-6240
+-6242
+-6244
+-6246
+-6247
+-6249
+-6250
+-6251
+-6253
+-6254
+-6255
+-6256
+-6257
+-6258
+-6259
+-6260
+-6261
+-6262
+-6263
+-6263
+-6264
+-6264
+-6265
+-6265
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6265
+-6265
+-6265
+-6264
+-6264
+-6263
+-6262
+-6262
+-6261
+-6260
+-6259
+-6258
+-6257
+-6256
+-6255
+-6254
+-6252
+-6251
+-6249
+-6248
+-6246
+-6245
+-6243
+-6241
+-6239
+-6238
+-6236
+-6234
+-6232
+-6229
+-6227
+-6225
+-6222
+-6220
+-6218
+-6215
+-6212
+-6210
+-6207
+-6204
+-6201
+-6198
+-6195
+-6192
+-6189
+-6186
+-6182
+-6179
+-6176
+-6172
+-6169
+-6165
+-6161
+-6158
+-6154
+-6150
+-6146
+-6142
+-6138
+-6133
+-6129
+-6125
+-6121
+-6116
+-6112
+-6107
+-6102
+-6098
+-6093
+-6088
+-6083
+-6078
+-6073
+-6068
+-6062
+-6057
+-6052
+-6046
+-6041
+-6035
+-6030
+-6024
+-6018
+-6012
+-6006
+-6000
+-5994
+-5988
+-5982
+-5976
+-5969
+-5963
+-5956
+-5950
+-5943
+-5937
+-5930
+-5923
+-5916
+-5909
+-5902
+-5895
+-5888
+-5880
+-5873
+-5866
+-5858
+-5850
+-5843
+-5835
+-5827
+-5819
+-5811
+-5803
+-5795
+-5787
+-5779
+-5771
+-5762
+-5754
+-5745
+-5737
+-5728
+-5719
+-5711
+-5702
+-5693
+-5684
+-5675
+-5665
+-5656
+-5647
+-5637
+-5628
+-5618
+-5609
+-5599
+-5589
+-5580
+-5570
+-5560
+-5550
+-5539
+-5529
+-5519
+-5509
+-5498
+-5488
+-5477
+-5466
+-5456
+-5445
+-5434
+-5423
+-5412
+-5401
+-5390
+-5378
+-5367
+-5356
+-5344
+-5333
+-5321
+-5309
+-5297
+-5286
+-5274
+-5262
+-5250
+-5237
+-5225
+-5213
+-5200
+-5188
+-5175
+-5163
+-5150
+-5137
+-5125
+-5112
+-5099
+-5086
+-5072
+-5059
+-5046
+-5032
+-5019
+-5006
+-4992
+-4978
+-4964
+-4951
+-4937
+-4923
+-4909
+-4895
+-4880
+-4866
+-4852
+-4837
+-4823
+-4808
+-4794
+-4779
+-4764
+-4749
+-4734
+-4719
+-4704
+-4689
+-4673
+-4658
+-4643
+-4627
+-4612
+-4596
+-4580
+-4564
+-4548
+-4532
+-4516
+-4500
+-4484
+-4468
+-4452
+-4435
+-4419
+-4402
+-4385
+-4369
+-4352
+-4335
+-4318
+-4301
+-4284
+-4267
+-4249
+-4232
+-4215
+-4197
+-4180
+-4162
+-4144
+-4126
+-4108
+-4091
+-4073
+-4054
+-4036
+-4018
+-4000
+-3981
+-3963
+-3944
+-3926
+-3907
+-3888
+-3869
+-3850
+-3831
+-3812
+-3793
+-3774
+-3754
+-3735
+-3715
+-3696
+-3676
+-3656
+-3637
+-3617
+-3597
+-3577
+-3557
+-3537
+-3516
+-3496
+-3476
+-3455
+-3435
+-3414
+-3393
+-3373
+-3352
+-3331
+-3310
+-3289
+-3268
+-3246
+-3225
+-3204
+-3182
+-3161
+-3139
+-3117
+-3096
+-3074
+-3052
+-3030
+-3008
+-2986
+-2963
+-2941
+-2919
+-2896
+-2874
+-2851
+-2829
+-2806
+-2783
+-2760
+-2737
+-2714
+-2691
+-2668
+-2645
+-2621
+-2598
+-2574
+-2551
+-2527
+-2503
+-2480
+-2456
+-2432
+-2408
+-2384
+-2360
+-2335
+-2311
+-2287
+-2262
+-2238
+-2213
+-2189
+-2164
+-2139
+-2114
+-2089
+-2064
+-2039
+-2014
+-1988
+-1963
+-1938
+-1912
+-1887
+-1861
+-1835
+-1810
+-1784
+-1758
+-1732
+-1706
+-1680
+-1653
+-1627
+-1601
+-1574
+-1548
+-1521
+-1495
+-1468
+-1441
+-1414
+-1387
+-1360
+-1333
+-1306
+-1279
+-1252
+-1224
+-1197
+-1170
+-1142
+-1114
+-1087
+-1059
+-1031
+-1003
+-975
+-947
+-919
+-891
+-862
+-834
+-806
+-777
+-749
+-720
+-691
+-663
+-634
+-605
+-576
+-547
+-518
+-488
+-459
+-430
+-401
+-371
+-342
+-312
+-282
+-253
+-223
+-193
+-163
+-133
+-103
+-73
+-43
+-12
+18
+48
+79
+109
+140
+171
+201
+232
+263
+294
+325
+356
+387
+418
+450
+481
+513
+544
+576
+607
+639
+670
+702
+734
+766
+798
+830
+862
+894
+927
+959
+991
+1024
+1056
+1089
+1121
+1154
+1187
+1220
+1253
+1286
+1319
+1352
+1385
+1418
+1451
+1485
+1518
+1551
+1585
+1618
+1652
+1686
+1720
+1753
+1787
+1821
+1855
+1889
+1923
+1957
+1992
+2026
+2060
+2095
+2129
+2164
+2198
+2233
+2268
+2303
+2337
+2372
+2407
+2442
+2477
+2512
+2548
+2583
+2618
+2653
+2689
+2724
+2760
+2795
+2831
+2867
+2903
+2938
+2974
+3010
+3046
+3082
+3118
+3154
+3191
+3227
+3263
+3300
+3336
+3372
+3409
+3446
+3482
+3519
+3556
+3592
+3629
+3666
+3703
+3740
+3777
+3814
+3852
+3889
+3926
+3963
+4001
+4038
+4076
+4113
+4151
+4188
+4226
+4264
+4302
+4340
+4377
+4415
+4453
+4491
+4529
+4568
+4606
+4644
+4682
+4721
+4759
+4797
+4836
+4874
+4913
+4952
+4990
+5029
+5068
+5107
+5146
+5184
+5223
+5262
+5301
+5341
+5380
+5419
+5458
+5497
+5537
+5576
+5615
+5655
+5694
+5734
+5774
+5813
+5853
+5893
+5932
+5972
+6012
+6052
+6092
+6132
+6172
+6212
+6252
+6292
+6332
+6373
+6413
+6453
+6493
+6534
+6574
+6615
+6655
+6696
+6736
+6777
+6818
+6858
+6899
+6940
+6981
+7022
+7062
+7103
+7144
+7185
+7226
+7268
+7309
+7350
+7391
+7432
+7474
+7515
+7556
+7598
+7639
+7680
+7722
+7763
+7805
+7846
+7888
+7930
+7971
+8013
+8055
+8097
+8138
+8180
+8222
+8264
+8306
+8348
+8390
+8432
+8474
+8516
+8558
+8601
+8643
+8685
+8727
+8769
+8812
+8854
+8897
+8939
+8981
+9024
+9066
+9109
+9151
+9194
+9236
+9279
+9322
+9364
+9407
+9450
+9493
+9535
+9578
+9621
+9664
+9707
+9750
+9793
+9836
+9878
+9921
+9965
+10008
+10051
+10094
+10137
+10180
+10223
+10266
+10310
+10353
+10396
+10439
+10483
+10526
+10569
+10613
+10656
+10699
+10743
+10786
+10830
+10873
+10917
+10960
+11004
+11047
+11091
+11134
+11178
+11221
+11265
+11309
+11352
+11396
+11440
+11483
+11527
+11571
+11615
+11658
+11702
+11746
+11790
+11834
+11878
+11921
+11965
+12009
+12053
+12097
+12141
+12185
+12229
+12273
+12317
+12361
+12405
+12449
+12493
+12537
+12581
+12625
+12669
+12713
+12757
+12801
+12845
+12889
+12933
+12977
+13021
+13066
+13110
+13154
+13198
+13242
+13286
+13330
+13375
+13419
+13463
+13507
+13551
+13596
+13640
+13684
+13728
+13772
+13817
+13861
+13905
+13949
+13993
+14038
+14082
+14126
+14170
+14215
+14259
+14303
+14347
+14391
+14436
+14480
+14524
+14568
+14613
+14657
+14701
+14745
+14790
+14834
+14878
+14922
+14966
+15011
+15055
+15099
+15143
+15187
+15232
+15276
+15320
+15364
+15408
+15452
+15497
+15541
+15585
+15629
+15673
+15717
+15761
+15806
+15850
+15894
+15938
+15982
+16026
+16070
+16114
+16158
+16202
+16246
+16290
+16334
+16378
+16422
+16466
+16510
+16554
+16598
+16642
+16686
+16730
+16774
+16817
+16861
+16905
+16949
+16993
+17037
+17080
+17124
+17168
+17212
+17255
+17299
+17343
+17386
+17430
+17474
+17517
+17561
+17605
+17648
+17692
+17735
+17779
+17822
+17866
+17909
+17953
+17996
+18039
+18083
+18126
+18169
+18213
+18256
+18299
+18343
+18386
+18429
+18472
+18515
+18559
+18602
+18645
+18688
+18731
+18774
+18817
+18860
+18903
+18946
+18989
+19031
+19074
+19117
+19160
+19203
+19245
+19288
+19331
+19373
+19416
+19459
+19501
+19544
+19586
+19629
+19671
+19714
+19756
+19798
+19841
+19883
+19925
+19967
+20010
+20052
+20094
+20136
+20178
+20220
+20262
+20304
+20346
+20388
+20430
+20472
+20514
+20555
+20597
+20639
+20680
+20722
+20764
+20805
+20847
+20888
+20930
+20971
+21013
+21054
+21095
+21136
+21178
+21219
+21260
+21301
+21342
+21383
+21424
+21465
+21506
+21547
+21588
+21629
+21669
+21710
+21751
+21791
+21832
+21872
+21913
+21953
+21994
+22034
+22074
+22115
+22155
+22195
+22235
+22275
+22315
+22356
+22395
+22435
+22475
+22515
+22555
+22595
+22634
+22674
+22714
+22753
+22793
+22832
+22871
+22911
+22950
+22989
+23029
+23068
+23107
+23146
+23185
+23224
+23263
+23302
+23340
+23379
+23418
+23457
+23495
+23534
+23572
+23611
+23649
+23687
+23726
+23764
+23802
+23840
+23878
+23916
+23954
+23992
+24030
+24068
+24106
+24143
+24181
+24218
+24256
+24293
+24331
+24368
+24405
+24443
+24480
+24517
+24554
+24591
+24628
+24665
+24702
+24738
+24775
+24812
+24848
+24885
+24921
+24958
+24994
+25030
+25067
+25103
+25139
+25175
+25211
+25247
+25283
+25318
+25354
+25390
+25425
+25461
+25496
+25532
+25567
+25602
+25638
+25673
+25708
+25743
+25778
+25813
+25847
+25882
+25917
+25951
+25986
+26021
+26055
+26089
+26124
+26158
+26192
+26226
+26260
+26294
+26328
+26362
+26395
+26429
+26463
+26496
+26530
+26563
+26596
+26629
+26663
+26696
+26729
+26762
+26795
+26827
+26860
+26893
+26925
+26958
+26990
+27023
+27055
+27087
+27119
+27152
+27184
+27215
+27247
+27279
+27311
+27342
+27374
+27405
+27437
+27468
+27499
+27531
+27562
+27593
+27624
+27655
+27685
+27716
+27747
+27777
+27808
+27838
+27868
+27899
+27929
+27959
+27989
+28019
+28049
+28079
+28108
+28138
+28167
+28197
+28226
+28255
+28285
+28314
+28343
+28372
+28401
+28430
+28458
+28487
+28515
+28544
+28572
+28601
+28629
+28657
+28685
+28713
+28741
+28769
+28796
+28824
+28852
+28879
+28906
+28934
+28961
+28988
+29015
+29042
+29069
+29096
+29123
+29149
+29176
+29202
+29228
+29255
+29281
+29307
+29333
+29359
+29385
+29410
+29436
+29462
+29487
+29513
+29538
+29563
+29588
+29613
+29638
+29663
+29688
+29713
+29737
+29762
+29786
+29810
+29835
+29859
+29883
+29907
+29931
+29954
+29978
+30002
+30025
+30049
+30072
+30095
+30118
+30141
+30164
+30187
+30210
+30232
+30255
+30278
+30300
+30322
+30344
+30366
+30389
+30410
+30432
+30454
+30476
+30497
+30519
+30540
+30561
+30582
+30603
+30624
+30645
+30666
+30687
+30707
+30728
+30748
+30769
+30789
+30809
+30829
+30849
+30869
+30888
+30908
+30927
+30947
+30966
+30985
+31005
+31024
+31043
+31061
+31080
+31099
+31117
+31136
+31154
+31172
+31191
+31209
+31227
+31244
+31262
+31280
+31297
+31315
+31332
+31349
+31367
+31384
+31401
+31417
+31434
+31451
+31467
+31484
+31500
+31516
+31533
+31549
+31565
+31580
+31596
+31612
+31627
+31643
+31658
+31673
+31689
+31704
+31719
+31733
+31748
+31763
+31777
+31792
+31806
+31820
+31834
+31848
+31862
+31876
+31890
+31903
+31917
+31930
+31943
+31957
+31970
+31983
+31996
+32008
+32021
+32034
+32046
+32058
+32071
+32083
+32095
+32107
+32118
+32130
+32142
+32153
+32165
+32176
+32187
+32198
+32209
+32220
+32231
+32242
+32252
+32263
+32273
+32283
+32293
+32303
+32313
+32323
+32333
+32342
+32352
+32361
+32371
+32380
+32389
+32398
+32407
+32415
+32424
+32433
+32441
+32449
+32458
+32466
+32474
+32482
+32489
+32497
+32505
+32512
+32520
+32527
+32534
+32541
+32548
+32555
+32562
+32568
+32575
+32581
+32587
+32594
+32600
+32606
+32611
+32617
+32623
+32628
+32634
+32639
+32644
+32649
+32654
+32659
+32664
+32669
+32673
+32678
+32682
+32686
+32691
+32695
+32698
+32702
+32706
+32710
+32713
+32716
+32720
+32723
+32726
+32729
+32732
+32734
+32737
+32739
+32742
+32744
+32746
+32748
+32750
+32752
+32754
+32756
+32757
+32759
+32760
+32761
+32762
+32763
+32764
+32765
+32765
+32766
+32766
+32767
+32767
+32767
+32767
+32767
+32767
+32766
+32766
+32765
+32765
+32764
+32763
+32762
+32761
+32760
+32759
+32757
+32756
+32754
+32752
+32750
+32748
+32746
+32744
+32742
+32739
+32737
+32734
+32732
+32729
+32726
+32723
+32720
+32716
+32713
+32710
+32706
+32702
+32698
+32695
+32691
+32686
+32682
+32678
+32673
+32669
+32664
+32659
+32654
+32649
+32644
+32639
+32634
+32628
+32623
+32617
+32611
+32606
+32600
+32594
+32587
+32581
+32575
+32568
+32562
+32555
+32548
+32541
+32534
+32527
+32520
+32512
+32505
+32497
+32489
+32482
+32474
+32466
+32458
+32449
+32441
+32433
+32424
+32415
+32407
+32398
+32389
+32380
+32371
+32361
+32352
+32342
+32333
+32323
+32313
+32303
+32293
+32283
+32273
+32263
+32252
+32242
+32231
+32220
+32209
+32198
+32187
+32176
+32165
+32153
+32142
+32130
+32118
+32107
+32095
+32083
+32071
+32058
+32046
+32034
+32021
+32008
+31996
+31983
+31970
+31957
+31943
+31930
+31917
+31903
+31890
+31876
+31862
+31848
+31834
+31820
+31806
+31792
+31777
+31763
+31748
+31733
+31719
+31704
+31689
+31673
+31658
+31643
+31627
+31612
+31596
+31580
+31565
+31549
+31533
+31516
+31500
+31484
+31467
+31451
+31434
+31417
+31401
+31384
+31367
+31349
+31332
+31315
+31297
+31280
+31262
+31244
+31227
+31209
+31191
+31172
+31154
+31136
+31117
+31099
+31080
+31061
+31043
+31024
+31005
+30985
+30966
+30947
+30927
+30908
+30888
+30869
+30849
+30829
+30809
+30789
+30769
+30748
+30728
+30707
+30687
+30666
+30645
+30624
+30603
+30582
+30561
+30540
+30519
+30497
+30476
+30454
+30432
+30410
+30389
+30366
+30344
+30322
+30300
+30278
+30255
+30232
+30210
+30187
+30164
+30141
+30118
+30095
+30072
+30049
+30025
+30002
+29978
+29954
+29931
+29907
+29883
+29859
+29835
+29810
+29786
+29762
+29737
+29713
+29688
+29663
+29638
+29613
+29588
+29563
+29538
+29513
+29487
+29462
+29436
+29410
+29385
+29359
+29333
+29307
+29281
+29255
+29228
+29202
+29176
+29149
+29123
+29096
+29069
+29042
+29015
+28988
+28961
+28934
+28906
+28879
+28852
+28824
+28796
+28769
+28741
+28713
+28685
+28657
+28629
+28601
+28572
+28544
+28515
+28487
+28458
+28430
+28401
+28372
+28343
+28314
+28285
+28255
+28226
+28197
+28167
+28138
+28108
+28079
+28049
+28019
+27989
+27959
+27929
+27899
+27868
+27838
+27808
+27777
+27747
+27716
+27685
+27655
+27624
+27593
+27562
+27531
+27499
+27468
+27437
+27405
+27374
+27342
+27311
+27279
+27247
+27215
+27184
+27152
+27119
+27087
+27055
+27023
+26990
+26958
+26925
+26893
+26860
+26827
+26795
+26762
+26729
+26696
+26663
+26629
+26596
+26563
+26530
+26496
+26463
+26429
+26395
+26362
+26328
+26294
+26260
+26226
+26192
+26158
+26124
+26089
+26055
+26021
+25986
+25951
+25917
+25882
+25847
+25813
+25778
+25743
+25708
+25673
+25638
+25602
+25567
+25532
+25496
+25461
+25425
+25390
+25354
+25318
+25283
+25247
+25211
+25175
+25139
+25103
+25067
+25030
+24994
+24958
+24921
+24885
+24848
+24812
+24775
+24738
+24702
+24665
+24628
+24591
+24554
+24517
+24480
+24443
+24405
+24368
+24331
+24293
+24256
+24218
+24181
+24143
+24106
+24068
+24030
+23992
+23954
+23916
+23878
+23840
+23802
+23764
+23726
+23687
+23649
+23611
+23572
+23534
+23495
+23457
+23418
+23379
+23340
+23302
+23263
+23224
+23185
+23146
+23107
+23068
+23029
+22989
+22950
+22911
+22871
+22832
+22793
+22753
+22714
+22674
+22634
+22595
+22555
+22515
+22475
+22435
+22395
+22356
+22315
+22275
+22235
+22195
+22155
+22115
+22074
+22034
+21994
+21953
+21913
+21872
+21832
+21791
+21751
+21710
+21669
+21629
+21588
+21547
+21506
+21465
+21424
+21383
+21342
+21301
+21260
+21219
+21178
+21136
+21095
+21054
+21013
+20971
+20930
+20888
+20847
+20805
+20764
+20722
+20680
+20639
+20597
+20555
+20514
+20472
+20430
+20388
+20346
+20304
+20262
+20220
+20178
+20136
+20094
+20052
+20010
+19967
+19925
+19883
+19841
+19798
+19756
+19714
+19671
+19629
+19586
+19544
+19501
+19459
+19416
+19373
+19331
+19288
+19245
+19203
+19160
+19117
+19074
+19031
+18989
+18946
+18903
+18860
+18817
+18774
+18731
+18688
+18645
+18602
+18559
+18515
+18472
+18429
+18386
+18343
+18299
+18256
+18213
+18169
+18126
+18083
+18039
+17996
+17953
+17909
+17866
+17822
+17779
+17735
+17692
+17648
+17605
+17561
+17517
+17474
+17430
+17386
+17343
+17299
+17255
+17212
+17168
+17124
+17080
+17037
+16993
+16949
+16905
+16861
+16817
+16774
+16730
+16686
+16642
+16598
+16554
+16510
+16466
+16422
+16378
+16334
+16290
+16246
+16202
+16158
+16114
+16070
+16026
+15982
+15938
+15894
+15850
+15806
+15761
+15717
+15673
+15629
+15585
+15541
+15497
+15452
+15408
+15364
+15320
+15276
+15232
+15187
+15143
+15099
+15055
+15011
+14966
+14922
+14878
+14834
+14790
+14745
+14701
+14657
+14613
+14568
+14524
+14480
+14436
+14391
+14347
+14303
+14259
+14215
+14170
+14126
+14082
+14038
+13993
+13949
+13905
+13861
+13817
+13772
+13728
+13684
+13640
+13596
+13551
+13507
+13463
+13419
+13375
+13330
+13286
+13242
+13198
+13154
+13110
+13066
+13021
+12977
+12933
+12889
+12845
+12801
+12757
+12713
+12669
+12625
+12581
+12537
+12493
+12449
+12405
+12361
+12317
+12273
+12229
+12185
+12141
+12097
+12053
+12009
+11965
+11921
+11878
+11834
+11790
+11746
+11702
+11658
+11615
+11571
+11527
+11483
+11440
+11396
+11352
+11309
+11265
+11221
+11178
+11134
+11091
+11047
+11004
+10960
+10917
+10873
+10830
+10786
+10743
+10699
+10656
+10613
+10569
+10526
+10483
+10439
+10396
+10353
+10310
+10266
+10223
+10180
+10137
+10094
+10051
+10008
+9965
+9921
+9878
+9836
+9793
+9750
+9707
+9664
+9621
+9578
+9535
+9493
+9450
+9407
+9364
+9322
+9279
+9236
+9194
+9151
+9109
+9066
+9024
+8981
+8939
+8897
+8854
+8812
+8769
+8727
+8685
+8643
+8601
+8558
+8516
+8474
+8432
+8390
+8348
+8306
+8264
+8222
+8180
+8138
+8097
+8055
+8013
+7971
+7930
+7888
+7846
+7805
+7763
+7722
+7680
+7639
+7598
+7556
+7515
+7474
+7432
+7391
+7350
+7309
+7268
+7226
+7185
+7144
+7103
+7062
+7022
+6981
+6940
+6899
+6858
+6818
+6777
+6736
+6696
+6655
+6615
+6574
+6534
+6493
+6453
+6413
+6373
+6332
+6292
+6252
+6212
+6172
+6132
+6092
+6052
+6012
+5972
+5932
+5893
+5853
+5813
+5774
+5734
+5694
+5655
+5615
+5576
+5537
+5497
+5458
+5419
+5380
+5341
+5301
+5262
+5223
+5184
+5146
+5107
+5068
+5029
+4990
+4952
+4913
+4874
+4836
+4797
+4759
+4721
+4682
+4644
+4606
+4568
+4529
+4491
+4453
+4415
+4377
+4340
+4302
+4264
+4226
+4188
+4151
+4113
+4076
+4038
+4001
+3963
+3926
+3889
+3852
+3814
+3777
+3740
+3703
+3666
+3629
+3592
+3556
+3519
+3482
+3446
+3409
+3372
+3336
+3300
+3263
+3227
+3191
+3154
+3118
+3082
+3046
+3010
+2974
+2938
+2903
+2867
+2831
+2795
+2760
+2724
+2689
+2653
+2618
+2583
+2548
+2512
+2477
+2442
+2407
+2372
+2337
+2303
+2268
+2233
+2198
+2164
+2129
+2095
+2060
+2026
+1992
+1957
+1923
+1889
+1855
+1821
+1787
+1753
+1720
+1686
+1652
+1618
+1585
+1551
+1518
+1485
+1451
+1418
+1385
+1352
+1319
+1286
+1253
+1220
+1187
+1154
+1121
+1089
+1056
+1024
+991
+959
+927
+894
+862
+830
+798
+766
+734
+702
+670
+639
+607
+576
+544
+513
+481
+450
+418
+387
+356
+325
+294
+263
+232
+201
+171
+140
+109
+79
+48
+18
+-12
+-43
+-73
+-103
+-133
+-163
+-193
+-223
+-253
+-282
+-312
+-342
+-371
+-401
+-430
+-459
+-488
+-518
+-547
+-576
+-605
+-634
+-663
+-691
+-720
+-749
+-777
+-806
+-834
+-862
+-891
+-919
+-947
+-975
+-1003
+-1031
+-1059
+-1087
+-1114
+-1142
+-1170
+-1197
+-1224
+-1252
+-1279
+-1306
+-1333
+-1360
+-1387
+-1414
+-1441
+-1468
+-1495
+-1521
+-1548
+-1574
+-1601
+-1627
+-1653
+-1680
+-1706
+-1732
+-1758
+-1784
+-1810
+-1835
+-1861
+-1887
+-1912
+-1938
+-1963
+-1988
+-2014
+-2039
+-2064
+-2089
+-2114
+-2139
+-2164
+-2189
+-2213
+-2238
+-2262
+-2287
+-2311
+-2335
+-2360
+-2384
+-2408
+-2432
+-2456
+-2480
+-2503
+-2527
+-2551
+-2574
+-2598
+-2621
+-2645
+-2668
+-2691
+-2714
+-2737
+-2760
+-2783
+-2806
+-2829
+-2851
+-2874
+-2896
+-2919
+-2941
+-2963
+-2986
+-3008
+-3030
+-3052
+-3074
+-3096
+-3117
+-3139
+-3161
+-3182
+-3204
+-3225
+-3246
+-3268
+-3289
+-3310
+-3331
+-3352
+-3373
+-3393
+-3414
+-3435
+-3455
+-3476
+-3496
+-3516
+-3537
+-3557
+-3577
+-3597
+-3617
+-3637
+-3656
+-3676
+-3696
+-3715
+-3735
+-3754
+-3774
+-3793
+-3812
+-3831
+-3850
+-3869
+-3888
+-3907
+-3926
+-3944
+-3963
+-3981
+-4000
+-4018
+-4036
+-4054
+-4073
+-4091
+-4108
+-4126
+-4144
+-4162
+-4180
+-4197
+-4215
+-4232
+-4249
+-4267
+-4284
+-4301
+-4318
+-4335
+-4352
+-4369
+-4385
+-4402
+-4419
+-4435
+-4452
+-4468
+-4484
+-4500
+-4516
+-4532
+-4548
+-4564
+-4580
+-4596
+-4612
+-4627
+-4643
+-4658
+-4673
+-4689
+-4704
+-4719
+-4734
+-4749
+-4764
+-4779
+-4794
+-4808
+-4823
+-4837
+-4852
+-4866
+-4880
+-4895
+-4909
+-4923
+-4937
+-4951
+-4964
+-4978
+-4992
+-5006
+-5019
+-5032
+-5046
+-5059
+-5072
+-5086
+-5099
+-5112
+-5125
+-5137
+-5150
+-5163
+-5175
+-5188
+-5200
+-5213
+-5225
+-5237
+-5250
+-5262
+-5274
+-5286
+-5297
+-5309
+-5321
+-5333
+-5344
+-5356
+-5367
+-5378
+-5390
+-5401
+-5412
+-5423
+-5434
+-5445
+-5456
+-5466
+-5477
+-5488
+-5498
+-5509
+-5519
+-5529
+-5539
+-5550
+-5560
+-5570
+-5580
+-5589
+-5599
+-5609
+-5618
+-5628
+-5637
+-5647
+-5656
+-5665
+-5675
+-5684
+-5693
+-5702
+-5711
+-5719
+-5728
+-5737
+-5745
+-5754
+-5762
+-5771
+-5779
+-5787
+-5795
+-5803
+-5811
+-5819
+-5827
+-5835
+-5843
+-5850
+-5858
+-5866
+-5873
+-5880
+-5888
+-5895
+-5902
+-5909
+-5916
+-5923
+-5930
+-5937
+-5943
+-5950
+-5956
+-5963
+-5969
+-5976
+-5982
+-5988
+-5994
+-6000
+-6006
+-6012
+-6018
+-6024
+-6030
+-6035
+-6041
+-6046
+-6052
+-6057
+-6062
+-6068
+-6073
+-6078
+-6083
+-6088
+-6093
+-6098
+-6102
+-6107
+-6112
+-6116
+-6121
+-6125
+-6129
+-6133
+-6138
+-6142
+-6146
+-6150
+-6154
+-6158
+-6161
+-6165
+-6169
+-6172
+-6176
+-6179
+-6182
+-6186
+-6189
+-6192
+-6195
+-6198
+-6201
+-6204
+-6207
+-6210
+-6212
+-6215
+-6218
+-6220
+-6222
+-6225
+-6227
+-6229
+-6232
+-6234
+-6236
+-6238
+-6239
+-6241
+-6243
+-6245
+-6246
+-6248
+-6249
+-6251
+-6252
+-6254
+-6255
+-6256
+-6257
+-6258
+-6259
+-6260
+-6261
+-6262
+-6262
+-6263
+-6264
+-6264
+-6265
+-6265
+-6265
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6266
+-6265
+-6265
+-6264
+-6264
+-6263
+-6263
+-6262
+-6261
+-6260
+-6259
+-6258
+-6257
+-6256
+-6255
+-6254
+-6253
+-6251
+-6250
+-6249
+-6247
+-6246
+-6244
+-6242
+-6240
+-6239
+-6237
+-6235
+-6233
+-6231
+-6229
+-6227
+-6224
+-6222
+-6220
+-6217
+-6215
+-6213
+-6210
+-6207
+-6205
+-6202
+-6199
+-6196
+-6193
+-6191
+-6188
+-6184
+-6181
+-6178
+-6175
+-6172
+-6168
+-6165
+-6161
+-6158
+-6154
+-6151
+-6147
+-6143
+-6139
+-6136
+-6132
+-6128
+-6124
+-6120
+-6116
+-6111
+-6107
+-6103
+-6099
+-6094
+-6090
+-6085
+-6081
+-6076
+-6071
+-6067
+-6062
+-6057
+-6052
+-6047
+-6042
+-6037
+-6032
+-6027
+-6022
+-6017
+-6012
+-6006
+-6001
+-5995
+-5990
+-5984
+-5979
+-5973
+-5967
+-5962
+-5956
+-5950
+-5944
+-5938
+-5932
+-5926
+-5920
+-5914
+-5908
+-5902
+-5895
+-5889
+-5883
+-5876
+-5870
+-5863
+-5857
+-5850
+-5844
+-5837
+-5830
+-5823
+-5816
+-5810
+-5803
+-5796
+-5789
+-5781
+-5774
+-5767
+-5760
+-5753
+-5745
+-5738
+-5731
+-5723
+-5716
+-5708
+-5701
+-5693
+-5685
+-5678
+-5670
+-5662
+-5654
+-5646
+-5639
+-5631
+-5623
+-5614
+-5606
+-5598
+-5590
+-5582
+-5574
+-5565
+-5557
+-5549
+-5540
+-5532
+-5523
+-5515
+-5506
+-5497
+-5489
+-5480
+-5471
+-5462
+-5454
+-5445
+-5436
+-5427
+-5418
+-5409
+-5400
+-5391
+-5381
+-5372
+-5363
+-5354
+-5344
+-5335
+-5326
+-5316
+-5307
+-5297
+-5288
+-5278
+-5269
+-5259
+-5249
+-5240
+-5230
+-5220
+-5210
+-5200
+-5190
+-5180
+-5170
+-5160
+-5150
+-5140
+-5130
+-5120
+-5110
+-5100
+-5089
+-5079
+-5069
+-5058
+-5048
+-5038
+-5027
+-5017
+-5006
+-4996
+-4985
+-4974
+-4964
+-4953
+-4942
+-4932
+-4921
+-4910
+-4899
+-4888
+-4877
+-4867
+-4856
+-4845
+-4834
+-4822
+-4811
+-4800
+-4789
+-4778
+-4767
+-4755
+-4744
+-4733
+-4722
+-4710
+-4699
+-4687
+-4676
+-4665
+-4653
+-4641
+-4630
+-4618
+-4607
+-4595
+-4583
+-4572
+-4560
+-4548
+-4537
+-4525
+-4513
+-4501
+-4489
+-4477
+-4465
+-4453
+-4441
+-4429
+-4417
+-4405
+-4393
+-4381
+-4369
+-4357
+-4345
+-4332
+-4320
+-4308
+-4296
+-4283
+-4271
+-4259
+-4246
+-4234
+-4222
+-4209
+-4197
+-4184
+-4172
+-4159
+-4147
+-4134
+-4122
+-4109
+-4096
+-4084
+-4071
+-4058
+-4046
+-4033
+-4020
+-4007
+-3995
+-3982
+-3969
+-3956
+-3943
+-3930
+-3917
+-3905
+-3892
+-3879
+-3866
+-3853
+-3840
+-3827
+-3814
+-3800
+-3787
+-3774
+-3761
+-3748
+-3735
+-3722
+-3708
+-3695
+-3682
+-3669
+-3656
+-3642
+-3629
+-3616
+-3602
+-3589
+-3576
+-3562
+-3549
+-3536
+-3522
+-3509
+-3495
+-3482
+-3468
+-3455
+-3442
+-3428
+-3415
+-3401
+-3387
+-3374
+-3360
+-3347
+-3333
+-3320
+-3306
+-3292
+-3279
+-3265
+-3251
+-3238
+-3224
+-3210
+-3197
+-3183
+-3169
+-3155
+-3142
+-3128
+-3114
+-3100
+-3087
+-3073
+-3059
+-3045
+-3031
+-3018
+-3004
+-2990
+-2976
+-2962
+-2948
+-2934
+-2921
+-2907
+-2893
+-2879
+-2865
+-2851
+-2837
+-2823
+-2809
+-2795
+-2781
+-2767
+-2753
+-2739
+-2725
+-2711
+-2697
+-2683
+-2669
+-2655
+-2641
+-2627
+-2613
+-2599
+-2585
+-2571
+-2557
+-2543
+-2529
+-2515
+-2501
+-2487
+-2473
+-2459
+-2445
+-2431
+-2417
+-2403
+-2389
+-2375
+-2360
+-2346
+-2332
+-2318
+-2304
+-2290
+-2276
+-2262
+-2248
+-2234
+-2220
+-2205
+-2191
+-2177
+-2163
+-2149
+-2135
+-2121
+-2107
+-2093
+-2079
+-2064
+-2050
+-2036
+-2022
+-2008
+-1994
+-1980
+-1966
+-1952
+-1938
+-1924
+-1910
+-1895
+-1881
+-1867
+-1853
+-1839
+-1825
+-1811
+-1797
+-1783
+-1769
+-1755
+-1741
+-1727
+-1713
+-1699
+-1685
+-1671
+-1657
+-1643
+-1629
+-1615
+-1601
+-1587
+-1573
+-1559
+-1545
+-1531
+-1517
+-1503
+-1489
+-1475
+-1461
+-1447
+-1433
+-1419
+-1405
+-1392
+-1378
+-1364
+-1350
+-1336
+-1322
+-1308
+-1294
+-1281
+-1267
+-1253
+-1239
+-1225
+-1212
+-1198
+-1184
+-1170
+-1156
+-1143
+-1129
+-1115
+-1101
+-1088
+-1074
+-1060
+-1047
+-1033
+-1019
+-1006
+-992
+-978
+-965
+-951
+-938
+-924
+-910
+-897
+-883
+-870
+-856
+-843
+-829
+-816
+-802
+-789
+-775
+-762
+-748
+-735
+-722
+-708
+-695
+-681
+-668
+-655
+-641
+-628
+-615
+-601
+-588
+-575
+-562
+-548
+-535
+-522
+-509
+-496
+-482
+-469
+-456
+-443
+-430
+-417
+-404
+-391
+-378
+-365
+-352
+-339
+-326
+-313
+-300
+-287
+-274
+-261
+-248
+-235
+-222
+-210
+-197
+-184
+-171
+-159
+-146
+-133
+-120
+-108
+-95
+-82
+-70
+-57
+-44
+-32
+-19
+-7
+6
+18
+31
+43
+56
+68
+80
+93
+105
+118
+130
+142
+155
+167
+179
+191
+204
+216
+228
+240
+252
+264
+276
+288
+301
+313
+325
+337
+349
+360
+372
+384
+396
+408
+420
+432
+444
+455
+467
+479
+490
+502
+514
+525
+537
+549
+560
+572
+583
+595
+606
+618
+629
+641
+652
+663
+675
+686
+697
+709
+720
+731
+742
+753
+765
+776
+787
+798
+809
+820
+831
+842
+853
+864
+875
+886
+897
+907
+918
+929
+940
+951
+961
+972
+983
+993
+1004
+1014
+1025
+1036
+1046
+1056
+1067
+1077
+1088
+1098
+1108
+1119
+1129
+1139
+1150
+1160
+1170
+1180
+1190
+1200
+1211
+1221
+1231
+1241
+1251
+1261
+1270
+1280
+1290
+1300
+1310
+1320
+1329
+1339
+1349
+1359
+1368
+1378
+1387
+1397
+1407
+1416
+1426
+1435
+1444
+1454
+1463
+1473
+1482
+1491
+1500
+1510
+1519
+1528
+1537
+1546
+1555
+1564
+1573
+1582
+1591
+1600
+1609
+1618
+1627
+1636
+1645
+1653
+1662
+1671
+1679
+1688
+1697
+1705
+1714
+1722
+1731
+1739
+1748
+1756
+1765
+1773
+1781
+1790
+1798
+1806
+1814
+1822
+1831
+1839
+1847
+1855
+1863
+1871
+1879
+1887
+1895
+1902
+1910
+1918
+1926
+1934
+1941
+1949
+1957
+1964
+1972
+1979
+1987
+1995
+2002
+2009
+2017
+2024
+2032
+2039
+2046
+2053
+2061
+2068
+2075
+2082
+2089
+2096
+2103
+2110
+2117
+2124
+2131
+2138
+2145
+2152
+2159
+2165
+2172
+2179
+2185
+2192
+2199
+2205
+2212
+2218
+2225
+2231
+2238
+2244
+2250
+2257
+2263
+2269
+2275
+2282
+2288
+2294
+2300
+2306
+2312
+2318
+2324
+2330
+2336
+2342
+2348
+2353
+2359
+2365
+2371
+2376
+2382
+2388
+2393
+2399
+2404
+2410
+2415
+2421
+2426
+2431
+2437
+2442
+2447
+2452
+2458
+2463
+2468
+2473
+2478
+2483
+2488
+2493
+2498
+2503
+2508
+2513
+2518
+2522
+2527
+2532
+2536
+2541
+2546
+2550
+2555
+2559
+2564
+2568
+2573
+2577
+2582
+2586
+2590
+2595
+2599
+2603
+2607
+2611
+2615
+2619
+2624
+2628
+2632
+2635
+2639
+2643
+2647
+2651
+2655
+2659
+2662
+2666
+2670
+2673
+2677
+2680
+2684
+2687
+2691
+2694
+2698
+2701
+2704
+2708
+2711
+2714
+2718
+2721
+2724
+2727
+2730
+2733
+2736
+2739
+2742
+2745
+2748
+2751
+2754
+2756
+2759
+2762
+2765
+2767
+2770
+2773
+2775
+2778
+2780
+2783
+2785
+2788
+2790
+2792
+2795
+2797
+2799
+2802
+2804
+2806
+2808
+2810
+2812
+2814
+2816
+2818
+2820
+2822
+2824
+2826
+2828
+2830
+2832
+2833
+2835
+2837
+2838
+2840
+2842
+2843
+2845
+2846
+2848
+2849
+2850
+2852
+2853
+2855
+2856
+2857
+2858
+2859
+2861
+2862
+2863
+2864
+2865
+2866
+2867
+2868
+2869
+2870
+2871
+2872
+2872
+2873
+2874
+2875
+2875
+2876
+2877
+2877
+2878
+2878
+2879
+2879
+2880
+2880
+2880
+2881
+2881
+2881
+2882
+2882
+2882
+2882
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2883
+2882
+2882
+2882
+2882
+2881
+2881
+2881
+2881
+2880
+2880
+2879
+2879
+2878
+2878
+2877
+2877
+2876
+2875
+2875
+2874
+2873
+2873
+2872
+2871
+2870
+2869
+2868
+2867
+2867
+2866
+2865
+2864
+2863
+2861
+2860
+2859
+2858
+2857
+2856
+2854
+2853
+2852
+2851
+2849
+2848
+2846
+2845
+2844
+2842
+2841
+2839
+2837
+2836
+2834
+2833
+2831
+2829
+2828
+2826
+2824
+2822
+2820
+2819
+2817
+2815
+2813
+2811
+2809
+2807
+2805
+2803
+2801
+2799
+2797
+2795
+2792
+2790
+2788
+2786
+2784
+2781
+2779
+2777
+2774
+2772
+2769
+2767
+2765
+2762
+2760
+2757
+2754
+2752
+2749
+2747
+2744
+2741
+2739
+2736
+2733
+2730
+2728
+2725
+2722
+2719
+2716
+2713
+2710
+2707
+2704
+2701
+2698
+2695
+2692
+2689
+2686
+2683
+2680
+2677
+2673
+2670
+2667
+2664
+2660
+2657
+2654
+2650
+2647
+2644
+2640
+2637
+2633
+2630
+2626
+2623
+2619
+2616
+2612
+2608
+2605
+2601
+2597
+2594
+2590
+2586
+2582
+2579
+2575
+2571
+2567
+2563
+2560
+2556
+2552
+2548
+2544
+2540
+2536
+2532
+2528
+2524
+2520
+2516
+2511
+2507
+2503
+2499
+2495
+2491
+2486
+2482
+2478
+2474
+2469
+2465
+2461
+2456
+2452
+2447
+2443
+2439
+2434
+2430
+2425
+2421
+2416
+2412
+2407
+2402
+2398
+2393
+2389
+2384
+2379
+2375
+2370
+2365
+2361
+2356
+2351
+2346
+2341
+2337
+2332
+2327
+2322
+2317
+2312
+2307
+2302
+2298
+2293
+2288
+2283
+2278
+2273
+2268
+2262
+2257
+2252
+2247
+2242
+2237
+2232
+2227
+2221
+2216
+2211
+2206
+2201
+2195
+2190
+2185
+2180
+2174
+2169
+2164
+2158
+2153
+2147
+2142
+2137
+2131
+2126
+2120
+2115
+2109
+2104
+2098
+2093
+2087
+2082
+2076
+2071
+2065
+2060
+2054
+2048
+2043
+2037
+2031
+2026
+2020
+2014
+2009
+2003
+1997
+1992
+1986
+1980
+1974
+1969
+1963
+1957
+1951
+1945
+1939
+1934
+1928
+1922
+1916
+1910
+1904
+1898
+1892
+1886
+1880
+1874
+1869
+1863
+1857
+1851
+1845
+1839
+1832
+1826
+1820
+1814
+1808
+1802
+1796
+1790
+1784
+1778
+1772
+1766
+1759
+1753
+1747
+1741
+1735
+1729
+1722
+1716
+1710
+1704
+1698
+1691
+1685
+1679
+1673
+1666
+1660
+1654
+1647
+1641
+1635
+1629
+1622
+1616
+1610
+1603
+1597
+1591
+1584
+1578
+1571
+1565
+1559
+1552
+1546
+1539
+1533
+1527
+1520
+1514
+1507
+1501
+1494
+1488
+1482
+1475
+1469
+1462
+1456
+1449
+1443
+1436
+1430
+1423
+1417
+1410
+1404
+1397
+1390
+1384
+1377
+1371
+1364
+1358
+1351
+1345
+1338
+1331
+1325
+1318
+1312
+1305
+1299
+1292
+1285
+1279
+1272
+1266
+1259
+1252
+1246
+1239
+1232
+1226
+1219
+1213
+1206
+1199
+1193
+1186
+1179
+1173
+1166
+1159
+1153
+1146
+1139
+1133
+1126
+1119
+1113
+1106
+1099
+1093
+1086
+1079
+1073
+1066
+1059
+1053
+1046
+1039
+1033
+1026
+1019
+1013
+1006
+999
+993
+986
+979
+972
+966
+959
+952
+946
+939
+932
+926
+919
+912
+906
+899
+892
+886
+879
+872
+866
+859
+852
+845
+839
+832
+825
+819
+812
+805
+799
+792
+785
+779
+772
+765
+759
+752
+745
+739
+732
+725
+719
+712
+706
+699
+692
+686
+679
+672
+666
+659
+652
+646
+639
+633
+626
+619
+613
+606
+600
+593
+586
+580
+573
+567
+560
+553
+547
+540
+534
+527
+521
+514
+508
+501
+494
+488
+481
+475
+468
+462
+455
+449
+442
+436
+429
+423
+416
+410
+403
+397
+390
+384
+378
+371
+365
+358
+352
+345
+339
+333
+326
+320
+313
+307
+301
+294
+288
+281
+275
+269
+262
+256
+250
+243
+237
+231
+224
+218
+212
+206
+199
+193
+187
+180
+174
+168
+162
+156
+149
+143
+137
+131
+124
+118
+112
+106
+100
+94
+87
+81
+75
+69
+63
+57
+51
+45
+39
+33
+26
+20
+14
+8
+2
+-4
+-10
+-16
+-22
+-28
+-34
+-40
+-46
+-52
+-58
+-64
+-69
+-75
+-81
+-87
+-93
+-99
+-105
+-111
+-117
+-122
+-128
+-134
+-140
+-146
+-151
+-157
+-163
+-169
+-175
+-180
+-186
+-192
+-197
+-203
+-209
+-215
+-220
+-226
+-232
+-237
+-243
+-248
+-254
+-260
+-265
+-271
+-276
+-282
+-288
+-293
+-299
+-304
+-310
+-315
+-321
+-326
+-332
+-337
+-342
+-348
+-353
+-359
+-364
+-369
+-375
+-380
+-386
+-391
+-396
+-402
+-407
+-412
+-417
+-423
+-428
+-433
+-438
+-444
+-449
+-454
+-459
+-464
+-470
+-475
+-480
+-485
+-490
+-495
+-500
+-505
+-510
+-515
+-520
+-526
+-531
+-536
+-541
+-546
+-550
+-555
+-560
+-565
+-570
+-575
+-580
+-585
+-590
+-595
+-599
+-604
+-609
+-614
+-619
+-623
+-628
+-633
+-638
+-642
+-647
+-652
+-656
+-661
+-666
+-670
+-675
+-680
+-684
+-689
+-693
+-698
+-703
+-707
+-712
+-716
+-721
+-725
+-730
+-734
+-738
+-743
+-747
+-752
+-756
+-760
+-765
+-769
+-774
+-778
+-782
+-786
+-791
+-795
+-799
+-803
+-808
+-812
+-816
+-820
+-824
+-829
+-833
+-837
+-841
+-845
+-849
+-853
+-857
+-861
+-865
+-869
+-873
+-877
+-881
+-885
+-889
+-893
+-897
+-901
+-905
+-908
+-912
+-916
+-920
+-924
+-928
+-931
+-935
+-939
+-943
+-946
+-950
+-954
+-957
+-961
+-965
+-968
+-972
+-975
+-979
+-983
+-986
+-990
+-993
+-997
+-1000
+-1004
+-1007
+-1011
+-1014
+-1017
+-1021
+-1024
+-1028
+-1031
+-1034
+-1038
+-1041
+-1044
+-1048
+-1051
+-1054
+-1057
+-1060
+-1064
+-1067
+-1070
+-1073
+-1076
+-1079
+-1083
+-1086
+-1089
+-1092
+-1095
+-1098
+-1101
+-1104
+-1107
+-1110
+-1113
+-1116
+-1119
+-1122
+-1125
+-1127
+-1130
+-1133
+-1136
+-1139
+-1142
+-1144
+-1147
+-1150
+-1153
+-1155
+-1158
+-1161
+-1164
+-1166
+-1169
+-1172
+-1174
+-1177
+-1179
+-1182
+-1185
+-1187
+-1190
+-1192
+-1195
+-1197
+-1200
+-1202
+-1204
+-1207
+-1209
+-1212
+-1214
+-1216
+-1219
+-1221
+-1223
+-1226
+-1228
+-1230
+-1232
+-1235
+-1237
+-1239
+-1241
+-1244
+-1246
+-1248
+-1250
+-1252
+-1254
+-1256
+-1258
+-1260
+-1262
+-1264
+-1266
+-1268
+-1270
+-1272
+-1274
+-1276
+-1278
+-1280
+-1282
+-1284
+-1286
+-1287
+-1289
+-1291
+-1293
+-1295
+-1296
+-1298
+-1300
+-1302
+-1303
+-1305
+-1307
+-1308
+-1310
+-1311
+-1313
+-1315
+-1316
+-1318
+-1319
+-1321
+-1322
+-1324
+-1325
+-1327
+-1328
+-1330
+-1331
+-1333
+-1334
+-1335
+-1337
+-1338
+-1339
+-1341
+-1342
+-1343
+-1345
+-1346
+-1347
+-1348
+-1349
+-1351
+-1352
+-1353
+-1354
+-1355
+-1356
+-1357
+-1359
+-1360
+-1361
+-1362
+-1363
+-1364
+-1365
+-1366
+-1367
+-1368
+-1369
+-1369
+-1370
+-1371
+-1372
+-1373
+-1374
+-1375
+-1376
+-1376
+-1377
+-1378
+-1379
+-1379
+-1380
+-1381
+-1382
+-1382
+-1383
+-1384
+-1384
+-1385
+-1385
+-1386
+-1387
+-1387
+-1388
+-1388
+-1389
+-1389
+-1390
+-1390
+-1391
+-1391
+-1392
+-1392
+-1392
+-1393
+-1393
+-1394
+-1394
+-1394
+-1395
+-1395
+-1395
+-1395
+-1396
+-1396
+-1396
+-1396
+-1397
+-1397
+-1397
+-1397
+-1397
+-1397
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1398
+-1397
+-1397
+-1397
+-1397
+-1397
+-1397
+-1396
+-1396
+-1396
+-1396
+-1395
+-1395
+-1395
+-1395
+-1394
+-1394
+-1394
+-1393
+-1393
+-1392
+-1392
+-1392
+-1391
+-1391
+-1390
+-1390
+-1389
+-1389
+-1388
+-1388
+-1387
+-1387
+-1386
+-1386
+-1385
+-1385
+-1384
+-1383
+-1383
+-1382
+-1381
+-1381
+-1380
+-1379
+-1379
+-1378
+-1377
+-1376
+-1376
+-1375
+-1374
+-1373
+-1373
+-1372
+-1371
+-1370
+-1369
+-1368
+-1367
+-1367
+-1366
+-1365
+-1364
+-1363
+-1362
+-1361
+-1360
+-1359
+-1358
+-1357
+-1356
+-1355
+-1354
+-1353
+-1352
+-1351
+-1350
+-1348
+-1347
+-1346
+-1345
+-1344
+-1343
+-1342
+-1340
+-1339
+-1338
+-1337
+-1335
+-1334
+-1333
+-1332
+-1330
+-1329
+-1328
+-1327
+-1325
+-1324
+-1323
+-1321
+-1320
+-1318
+-1317
+-1316
+-1314
+-1313
+-1311
+-1310
+-1308
+-1307
+-1306
+-1304
+-1303
+-1301
+-1299
+-1298
+-1296
+-1295
+-1293
+-1292
+-1290
+-1289
+-1287
+-1285
+-1284
+-1282
+-1280
+-1279
+-1277
+-1275
+-1274
+-1272
+-1270
+-1269
+-1267
+-1265
+-1263
+-1262
+-1260
+-1258
+-1256
+-1255
+-1253
+-1251
+-1249
+-1247
+-1245
+-1244
+-1242
+-1240
+-1238
+-1236
+-1234
+-1232
+-1230
+-1228
+-1226
+-1224
+-1223
+-1221
+-1219
+-1217
+-1215
+-1213
+-1211
+-1209
+-1207
+-1205
+-1202
+-1200
+-1198
+-1196
+-1194
+-1192
+-1190
+-1188
+-1186
+-1184
+-1182
+-1179
+-1177
+-1175
+-1173
+-1171
+-1169
+-1166
+-1164
+-1162
+-1160
+-1157
+-1155
+-1153
+-1151
+-1149
+-1146
+-1144
+-1142
+-1139
+-1137
+-1135
+-1132
+-1130
+-1128
+-1125
+-1123
+-1121
+-1118
+-1116
+-1114
+-1111
+-1109
+-1107
+-1104
+-1102
+-1099
+-1097
+-1094
+-1092
+-1090
+-1087
+-1085
+-1082
+-1080
+-1077
+-1075
+-1072
+-1070
+-1067
+-1065
+-1062
+-1060
+-1057
+-1055
+-1052
+-1049
+-1047
+-1044
+-1042
+-1039
+-1037
+-1034
+-1031
+-1029
+-1026
+-1024
+-1021
+-1018
+-1016
+-1013
+-1010
+-1008
+-1005
+-1002
+-1000
+-997
+-994
+-992
+-989
+-986
+-984
+-981
+-978
+-975
+-973
+-970
+-967
+-964
+-962
+-959
+-956
+-953
+-951
+-948
+-945
+-942
+-940
+-937
+-934
+-931
+-928
+-925
+-923
+-920
+-917
+-914
+-911
+-908
+-906
+-903
+-900
+-897
+-894
+-891
+-888
+-886
+-883
+-880
+-877
+-874
+-871
+-868
+-865
+-862
+-859
+-856
+-854
+-851
+-848
+-845
+-842
+-839
+-836
+-833
+-830
+-827
+-824
+-821
+-818
+-815
+-812
+-809
+-806
+-803
+-800
+-797
+-794
+-791
+-788
+-785
+-782
+-779
+-776
+-773
+-770
+-767
+-764
+-761
+-758
+-755
+-752
+-749
+-746
+-743
+-740
+-736
+-733
+-730
+-727
+-724
+-721
+-718
+-715
+-712
+-709
+-706
+-703
+-700
+-697
+-693
+-690
+-687
+-684
+-681
+-678
+-675
+-672
+-669
+-665
+-662
+-659
+-656
+-653
+-650
+-647
+-644
+-641
+-637
+-634
+-631
+-628
+-625
+-622
+-619
+-616
+-612
+-609
+-606
+-603
+-600
+-597
+-594
+-590
+-587
+-584
+-581
+-578
+-575
+-572
+-568
+-565
+-562
+-559
+-556
+-553
+-549
+-546
+-543
+-540
+-537
+-534
+-531
+-527
+-524
+-521
+-518
+-515
+-512
+-508
+-505
+-502
+-499
+-496
+-493
+-489
+-486
+-483
+-480
+-477
+-474
+-470
+-467
+-464
+-461
+-458
+-455
+-452
+-448
+-445
+-442
+-439
+-436
+-433
+-429
+-426
+-423
+-420
+-417
+-414
+-411
+-407
+-404
+-401
+-398
+-395
+-392
+-389
+-385
+-382
+-379
+-376
+-373
+-370
+-367
+-363
+-360
+-357
+-354
+-351
+-348
+-345
+-342
+-338
+-335
+-332
+-329
+-326
+-323
+-320
+-317
+-313
+-310
+-307
+-304
+-301
+-298
+-295
+-292
+-289
+-286
+-282
+-279
+-276
+-273
+-270
+-267
+-264
+-261
+-258
+-255
+-252
+-249
+-246
+-242
+-239
+-236
+-233
+-230
+-227
+-224
+-221
+-218
+-215
+-212
+-209
+-206
+-203
+-200
+-197
+-194
+-191
+-188
+-185
+-182
+-179
+-176
+-173
+-170
+-167
+-164
+-161
+-158
+-155
+-152
+-149
+-146
+-143
+-140
+-137
+-134
+-131
+-128
+-125
+-122
+-119
+-116
+-113
+-110
+-107
+-105
+-102
+-99
+-96
+-93
+-90
+-87
+-84
+-81
+-78
+-75
+-73
+-70
+-67
+-64
+-61
+-58
+-55
+-52
+-50
+-47
+-44
+-41
+-38
+-35
+-33
+-30
+-27
+-24
+-21
+-18
+-16
+-13
+-10
+-7
+-4
+-2
+1
+4
+7
+9
+12
+15
+18
+20
+23
+26
+29
+31
+34
+37
+40
+42
+45
+48
+50
+53
+56
+58
+61
+64
+66
+69
+72
+74
+77
+80
+82
+85
+88
+90
+93
+96
+98
+101
+103
+106
+109
+111
+114
+116
+119
+121
+124
+127
+129
+132
+134
+137
+139
+142
+144
+147
+149
+152
+154
+157
+159
+162
+164
+167
+169
+172
+174
+176
+179
+181
+184
+186
+189
+191
+193
+196
+198
+201
+203
+205
+208
+210
+212
+215
+217
+219
+222
+224
+226
+229
+231
+233
+236
+238
+240
+243
+245
+247
+249
+252
+254
+256
+258
+261
+263
+265
+267
+270
+272
+274
+276
+278
+280
+283
+285
+287
+289
+291
+293
+296
+298
+300
+302
+304
+306
+308
+310
+312
+314
+317
+319
+321
+323
+325
+327
+329
+331
+333
+335
+337
+339
+341
+343
+345
+347
+349
+351
+353
+355
+357
+359
+360
+362
+364
+366
+368
+370
+372
+374
+376
+378
+379
+381
+383
+385
+387
+389
+390
+392
+394
+396
+398
+399
+401
+403
+405
+407
+408
+410
+412
+414
+415
+417
+419
+420
+422
+424
+425
+427
+429
+430
+432
+434
+435
+437
+439
+440
+442
+444
+445
+447
+448
+450
+451
+453
+455
+456
+458
+459
+461
+462
+464
+465
+467
+468
+470
+471
+473
+474
+476
+477
+479
+480
+482
+483
+484
+486
+487
+489
+490
+491
+493
+494
+496
+497
+498
+500
+501
+502
+504
+505
+506
+507
+509
+510
+511
+513
+514
+515
+516
+518
+519
+520
+521
+523
+524
+525
+526
+527
+529
+530
+531
+532
+533
+534
+535
+537
+538
+539
+540
+541
+542
+543
+544
+545
+546
+548
+549
+550
+551
+552
+553
+554
+555
+556
+557
+558
+559
+560
+561
+562
+563
+564
+565
+565
+566
+567
+568
+569
+570
+571
+572
+573
+574
+574
+575
+576
+577
+578
+579
+579
+580
+581
+582
+583
+583
+584
+585
+586
+587
+587
+588
+589
+590
+590
+591
+592
+592
+593
+594
+594
+595
+596
+596
+597
+598
+598
+599
+600
+600
+601
+602
+602
+603
+603
+604
+604
+605
+606
+606
+607
+607
+608
+608
+609
+609
+610
+610
+611
+611
+612
+612
+613
+613
+614
+614
+615
+615
+615
+616
+616
+617
+617
+617
+618
+618
+619
+619
+619
+620
+620
+620
+621
+621
+621
+622
+622
+622
+622
+623
+623
+623
+624
+624
+624
+624
+625
+625
+625
+625
+626
+626
+626
+626
+626
+627
+627
+627
+627
+627
+627
+627
+628
+628
+628
+628
+628
+628
+628
+628
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+629
+628
+628
+628
+628
+628
+628
+628
+628
+628
+627
+627
+627
+627
+627
+627
+626
+626
+626
+626
+626
+625
+625
+625
+625
+625
+624
+624
+624
+624
+623
+623
+623
+623
+622
+622
+622
+621
+621
+621
+621
+620
+620
+620
+619
+619
+619
+618
+618
+618
+617
+617
+616
+616
+616
+615
+615
+614
+614
+614
+613
+613
+612
+612
+612
+611
+611
+610
+610
+609
+609
+608
+608
+607
+607
+606
+606
+605
+605
+604
+604
+603
+603
+602
+602
+601
+601
+600
+600
+599
+598
+598
+597
+597
+596
+596
+595
+594
+594
+593
+592
+592
+591
+591
+590
+589
+589
+588
+587
+587
+586
+585
+585
+584
+583
+583
+582
+581
+581
+580
+579
+579
+578
+577
+576
+576
+575
+574
+574
+573
+572
+571
+571
+570
+569
+568
+567
+567
+566
+565
+564
+564
+563
+562
+561
+560
+560
+559
+558
+557
+556
+555
+555
+554
+553
+552
+551
+550
+549
+549
+548
+547
+546
+545
+544
+543
+542
+542
+541
+540
+539
+538
+537
+536
+535
+534
+533
+532
+532
+531
+530
+529
+528
+527
+526
+525
+524
+523
+522
+521
+520
+519
+518
+517
+516
+515
+514
+513
+512
+511
+510
+509
+508
+507
+506
+505
+504
+503
+502
+501
+500
+499
+498
+497
+496
+495
+494
+493
+492
+491
+490
+488
+487
+486
+485
+484
+483
+482
+481
+480
+479
+478
+477
+475
+474
+473
+472
+471
+470
+469
+468
+467
+465
+464
+463
+462
+461
+460
+459
+458
+456
+455
+454
+453
+452
+451
+450
+448
+447
+446
+445
+444
+443
+441
+440
+439
+438
+437
+436
+434
+433
+432
+431
+430
+428
+427
+426
+425
+424
+422
+421
+420
+419
+418
+416
+415
+414
+413
+412
+410
+409
+408
+407
+405
+404
+403
+402
+400
+399
+398
+397
+396
+394
+393
+392
+391
+389
+388
+387
+386
+384
+383
+382
+381
+379
+378
+377
+376
+374
+373
+372
+370
+369
+368
+367
+365
+364
+363
+362
+360
+359
+358
+356
+355
+354
+353
+351
+350
+349
+347
+346
+345
+344
+342
+341
+340
+338
+337
+336
+335
+333
+332
+331
+329
+328
+327
+325
+324
+323
+322
+320
+319
+318
+316
+315
+314
+312
+311
+310
+308
+307
+306
+305
+303
+302
+301
+299
+298
+297
+295
+294
+293
+291
+290
+289
+287
+286
+285
+283
+282
+281
+280
+278
+277
+276
+274
+273
+272
+270
+269
+268
+266
+265
+264
+262
+261
+260
+258
+257
+256
+254
+253
+252
+250
+249
+248
+247
+245
+244
+243
+241
+240
+239
+237
+236
+235
+233
+232
+231
+229
+228
+227
+225
+224
+223
+221
+220
+219
+218
+216
+215
+214
+212
+211
+210
+208
+207
+206
+204
+203
+202
+200
+199
+198
+197
+195
+194
+193
+191
+190
+189
+187
+186
+185
+184
+182
+181
+180
+178
+177
+176
+174
+173
+172
+171
+169
+168
+167
+165
+164
+163
+162
+160
+159
+158
+156
+155
+154
+153
+151
+150
+149
+147
+146
+145
+144
+142
+141
+140
+138
+137
+136
+135
+133
+132
+131
+130
+128
+127
+126
+125
+123
+122
+121
+120
+118
+117
+116
+115
+113
+112
+111
+110
+108
+107
+106
+105
+103
+102
+101
+100
+98
+97
+96
+95
+94
+92
+91
+90
+89
+87
+86
+85
+84
+83
+81
+80
+79
+78
+77
+75
+74
+73
+72
+71
+69
+68
+67
+66
+65
+63
+62
+61
+60
+59
+58
+56
+55
+54
+53
+52
+51
+49
+48
+47
+46
+45
+44
+42
+41
+40
+39
+38
+37
+36
+34
+33
+32
+31
+30
+29
+28
+26
+25
+24
+23
+22
+21
+20
+19
+18
+16
+15
+14
+13
+12
+11
+10
+9
+8
+6
+5
+4
+3
+2
+1
+0
+-1
+-2
+-3
+-4
+-5
+-6
+-8
+-9
+-10
+-11
+-12
+-13
+-14
+-15
+-16
+-17
+-18
+-19
+-20
+-21
+-22
+-23
+-24
+-25
+-26
+-27
+-28
+-29
+-30
+-31
+-32
+-33
+-34
+-35
+-36
+-37
+-38
+-39
+-40
+-41
+-42
+-43
+-44
+-45
+-46
+-47
+-48
+-49
+-50
+-51
+-52
+-53
+-54
+-55
+-56
+-57
+-58
+-59
+-60
+-61
+-62
+-63
+-64
+-64
+-65
+-66
+-67
+-68
+-69
+-70
+-71
+-72
+-73
+-74
+-75
+-75
+-76
+-77
+-78
+-79
+-80
+-81
+-82
+-83
+-83
+-84
+-85
+-86
+-87
+-88
+-89
+-90
+-90
+-91
+-92
+-93
+-94
+-95
+-96
+-96
+-97
+-98
+-99
+-100
+-101
+-101
+-102
+-103
+-104
+-105
+-105
+-106
+-107
+-108
+-109
+-110
+-110
+-111
+-112
+-113
+-113
+-114
+-115
+-116
+-117
+-117
+-118
+-119
+-120
+-120
+-121
+-122
+-123
+-123
+-124
+-125
+-126
+-126
+-127
+-128
+-129
+-129
+-130
+-131
+-132
+-132
+-133
+-134
+-134
+-135
+-136
+-137
+-137
+-138
+-139
+-139
+-140
+-141
+-141
+-142
+-143
+-143
+-144
+-145
+-145
+-146
+-147
+-147
+-148
+-149
+-149
+-150
+-151
+-151
+-152
+-153
+-153
+-154
+-155
+-155
+-156
+-156
+-157
+-158
+-158
+-159
+-160
+-160
+-161
+-161
+-162
+-163
+-163
+-164
+-164
+-165
+-165
+-166
+-167
+-167
+-168
+-168
+-169
+-169
+-170
+-171
+-171
+-172
+-172
+-173
+-173
+-174
+-174
+-175
+-175
+-176
+-177
+-177
+-178
+-178
+-179
+-179
+-180
+-180
+-181
+-181
+-182
+-182
+-183
+-183
+-184
+-184
+-185
+-185
+-186
+-186
+-186
+-187
+-187
+-188
+-188
+-189
+-189
+-190
+-190
+-191
+-191
+-191
+-192
+-192
+-193
+-193
+-194
+-194
+-195
+-195
+-195
+-196
+-196
+-197
+-197
+-197
+-198
+-198
+-199
+-199
+-199
+-200
+-200
+-201
+-201
+-201
+-202
+-202
+-202
+-203
+-203
+-204
+-204
+-204
+-205
+-205
+-205
+-206
+-206
+-206
+-207
+-207
+-207
+-208
+-208
+-208
+-209
+-209
+-209
+-210
+-210
+-210
+-211
+-211
+-211
+-211
+-212
+-212
+-212
+-213
+-213
+-213
+-213
+-214
+-214
+-214
+-215
+-215
+-215
+-215
+-216
+-216
+-216
+-216
+-217
+-217
+-217
+-217
+-218
+-218
+-218
+-218
+-219
+-219
+-219
+-219
+-219
+-220
+-220
+-220
+-220
+-220
+-221
+-221
+-221
+-221
+-221
+-222
+-222
+-222
+-222
+-222
+-223
+-223
+-223
+-223
+-223
+-223
+-224
+-224
+-224
+-224
+-224
+-224
+-225
+-225
+-225
+-225
+-225
+-225
+-225
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-229
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-228
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-227
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-226
+-225
+-225
+-225
+-225
+-225
+-225
+-225
+-225
+-224
+-224
+-224
+-224
+-224
+-224
+-224
+-223
+-223
+-223
+-223
+-223
+-223
+-223
+-222
+-222
+-222
+-222
+-222
+-222
+-221
+-221
+-221
+-221
+-221
+-220
+-220
+-220
+-220
+-220
+-220
+-219
+-219
+-219
+-219
+-219
+-218
+-218
+-218
+-218
+-218
+-217
+-217
+-217
+-217
+-217
+-216
+-216
+-216
+-216
+-215
+-215
+-215
+-215
+-215
+-214
+-214
+-214
+-214
+-213
+-213
+-213
+-213
+-213
+-212
+-212
+-212
+-212
+-211
+-211
+-211
+-211
+-210
+-210
+-210
+-210
+-209
+-209
+-209
+-209
+-208
+-208
+-208
+-208
+-207
+-207
+-207
+-206
+-206
+-206
+-206
+-205
+-205
+-205
+-205
+-204
+-204
+-204
+-203
+-203
+-203
+-203
+-202
+-202
+-202
+-201
+-201
+-201
+-201
+-200
+-200
+-200
+-199
+-199
+-199
+-198
+-198
+-198
+-198
+-197
+-197
+-197
+-196
+-196
+-196
+-195
+-195
+-195
+-194
+-194
+-194
+-193
+-193
+-193
+-193
+-192
+-192
+-192
+-191
+-191
+-191
+-190
+-190
+-190
+-189
+-189
+-189
+-188
+-188
+-188
+-187
+-187
+-187
+-186
+-186
+-186
+-185
+-185
+-185
+-184
+-184
+-184
+-183
+-183
+-182
+-182
+-182
+-181
+-181
+-181
+-180
+-180
+-180
+-179
+-179
+-179
+-178
+-178
+-177
+-177
+-177
+-176
+-176
+-176
+-175
+-175
+-175
+-174
+-174
+-173
+-173
+-173
+-172
+-172
+-172
+-171
+-171
+-171
+-170
+-170
+-169
+-169
+-169
+-168
+-168
+-168
+-167
+-167
+-166
+-166
+-166
+-165
+-165
+-164
+-164
+-164
+-163
+-163
+-163
+-162
+-162
+-161
+-161
+-161
+-160
+-160
+-159
+-159
+-159
+-158
+-158
+-157
+-157
+-157
+-156
+-156
+-156
+-155
+-155
+-154
+-154
+-154
+-153
+-153
+-152
+-152
+-152
+-151
+-151
+-150
+-150
+-150
+-149
+-149
+-148
+-148
+-148
+-147
+-147
+-146
+-146
+-146
+-145
+-145
+-144
+-144
+-143
+-143
+-143
+-142
+-142
+-141
+-141
+-141
+-140
+-140
+-139
+-139
+-139
+-138
+-138
+-137
+-137
+-137
+-136
+-136
+-135
+-135
+-135
+-134
+-134
+-133
+-133
+-132
+-132
+-132
+-131
+-131
+-130
+-130
+-130
+-129
+-129
+-128
+-128
+-127
+-127
+-127
+-126
+-126
+-125
+-125
+-125
+-124
+-124
+-123
+-123
+-123
+-122
+-122
+-121
+-121
+-120
+-120
+-120
+-119
+-119
+-118
+-118
+-118
+-117
+-117
+-116
+-116
+-115
+-115
+-115
+-114
+-114
+-113
+-113
+-113
+-112
+-112
+-111
+-111
+-111
+-110
+-110
+-109
+-109
+-108
+-108
+-108
+-107
+-107
+-106
+-106
+-106
+-105
+-105
+-104
+-104
+-103
+-103
+-103
+-102
+-102
+-101
+-101
+-101
+-100
+-100
+-99
+-99
+-99
+-98
+-98
+-97
+-97
+-96
+-96
+-96
+-95
+-95
+-94
+-94
+-94
+-93
+-93
+-92
+-92
+-92
+-91
+-91
+-90
+-90
+-90
+-89
+-89
+-88
+-88
+-88
+-87
+-87
+-86
+-86
+-86
+-85
+-85
+-84
+-84
+-83
+-83
+-83
+-82
+-82
+-81
+-81
+-81
+-80
+-80
+-79
+-79
+-79
+-78
+-78
+-78
+-77
+-77
+-76
+-76
+-76
+-75
+-75
+-74
+-74
+-74
+-73
+-73
+-72
+-72
+-72
+-71
+-71
+-70
+-70
+-70
+-69
+-69
+-68
+-68
+-68
+-67
+-67
+-67
+-66
+-66
+-65
+-65
+-65
+-64
+-64
+-63
+-63
+-63
+-62
+-62
+-62
+-61
+-61
+-60
+-60
+-60
+-59
+-59
+-59
+-58
+-58
+-57
+-57
+-57
+-56
+-56
+-56
+-55
+-55
+-54
+-54
+-54
+-53
+-53
+-53
+-52
+-52
+-52
+-51
+-51
+-50
+-50
+-50
+-49
+-49
+-49
+-48
+-48
+-48
+-47
+-47
+-46
+-46
+-46
+-45
+-45
+-45
+-44
+-44
+-44
+-43
+-43
+-43
+-42
+-42
+-42
+-41
+-41
+-40
+-40
+-40
+-39
+-39
+-39
+-38
+-38
+-38
+-37
+-37
+-37
+-36
+-36
+-36
+-35
+-35
+-35
+-34
+-34
+-34
+-33
+-33
+-33
+-32
+-32
+-32
+-31
+-31
+-31
+-30
+-30
+-30
+-29
+-29
+-29
+-28
+-28
+-28
+-27
+-27
+-27
+-26
+-26
+-26
+-25
+-25
+-25
+-25
+-24
+-24
+-24
+-23
+-23
+-23
+-22
+-22
+-22
+-21
+-21
+-21
+-20
+-20
+-20
+-20
+-19
+-19
+-19
+-18
+-18
+-18
+-17
+-17
+-17
+-17
+-16
+-16
+-16
+-15
+-15
+-15
+-14
+-14
+-14
+-14
+-13
+-13
+-13
+-12
+-12
+-12
+-12
+-11
+-11
+-11
+-10
+-10
+-10
+-10
+-9
+-9
+-9
+-8
+-8
+-8
+-8
+-7
+-7
+-7
+-7
+-6
+-6
+-6
+-5
+-5
+-5
+-5
+-4
+-4
+-4
+-4
+-3
+-3
+-3
+-3
+-2
+-2
+-2
+-1
+-1
+-1
+-1
+0
+0
+0
+0
+1
+1
+1
+1
+2
+2
+2
+2
+3
+3
+3
+3
+4
+4
+4
+4
+4
+5
+5
+5
+5
+6
+6
+6
+6
+7
+7
+7
+7
+8
+8
+8
+8
+8
+9
+9
+9
+9
+10
+10
+10
+10
+10
+11
+11
+11
+11
+12
+12
+12
+12
+12
+13
+13
+13
+13
+13
+14
+14
+14
+14
+14
+15
+15
+15
+15
+16
+16
+16
+16
+16
+17
+17
+17
+17
+17
+17
+18
+18
+18
+18
+18
+19
+19
+19
+19
+19
+20
+20
+20
+20
+20
+20
+21
+21
+21
+21
+21
+22
+22
+22
+22
+22
+22
+23
+23
+23
+23
+23
+23
+24
+24
+24
+24
+24
+24
+25
+25
+25
+25
+25
+25
+26
+26
+26
+26
+26
+26
+27
+27
+27
+27
+27
+27
+27
+28
+28
+28
+28
+28
+28
+28
+29
+29
+29
+29
+29
+29
+29
+30
+30
+30
+30
+30
+30
+30
+31
+31
+31
+31
+31
+31
+31
+31
+32
+32
+32
+32
+32
+32
+32
+32
+33
+33
+33
+33
+33
+33
+33
+33
+34
+34
+34
+34
+34
+34
+34
+34
+34
+35
+35
+35
+35
+35
+35
+35
+35
+35
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+44
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+43
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+42
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+41
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+40
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+39
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+38
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+37
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+36
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+35
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+34
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+33
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+32
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+31
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+29
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+28
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+26
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+25
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+24
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+23
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+22
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+21
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+19
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_0.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_0.mif
new file mode 100644
index 0000000000000000000000000000000000000000..d170e2c22b177d4a00d6c4cfc43894f0fbdbf192
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_0.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  13 ; 
+ 1   :  13 ; 
+ 2   :  13 ; 
+ 3   :  13 ; 
+ 4   :  13 ; 
+ 5   :  13 ; 
+ 6   :  13 ; 
+ 7   :  13 ; 
+ 8   :  13 ; 
+ 9   :  13 ; 
+ a   :  13 ; 
+ b   :  13 ; 
+ c   :  13 ; 
+ d   :  13 ; 
+ e   :  13 ; 
+ f   :  13 ; 
+ 10   :  12 ; 
+ 11   :  12 ; 
+ 12   :  12 ; 
+ 13   :  12 ; 
+ 14   :  12 ; 
+ 15   :  12 ; 
+ 16   :  12 ; 
+ 17   :  12 ; 
+ 18   :  12 ; 
+ 19   :  12 ; 
+ 1a   :  12 ; 
+ 1b   :  12 ; 
+ 1c   :  12 ; 
+ 1d   :  12 ; 
+ 1e   :  12 ; 
+ 1f   :  12 ; 
+ 20   :  12 ; 
+ 21   :  11 ; 
+ 22   :  11 ; 
+ 23   :  11 ; 
+ 24   :  11 ; 
+ 25   :  11 ; 
+ 26   :  11 ; 
+ 27   :  11 ; 
+ 28   :  11 ; 
+ 29   :  11 ; 
+ 2a   :  11 ; 
+ 2b   :  11 ; 
+ 2c   :  11 ; 
+ 2d   :  11 ; 
+ 2e   :  11 ; 
+ 2f   :  11 ; 
+ 30   :  11 ; 
+ 31   :  11 ; 
+ 32   :  10 ; 
+ 33   :  10 ; 
+ 34   :  10 ; 
+ 35   :  10 ; 
+ 36   :  10 ; 
+ 37   :  10 ; 
+ 38   :  10 ; 
+ 39   :  10 ; 
+ 3a   :  10 ; 
+ 3b   :  10 ; 
+ 3c   :  10 ; 
+ 3d   :  10 ; 
+ 3e   :  10 ; 
+ 3f   :  10 ; 
+ 40   :  10 ; 
+ 41   :  10 ; 
+ 42   :  10 ; 
+ 43   :  10 ; 
+ 44   :  f ; 
+ 45   :  f ; 
+ 46   :  f ; 
+ 47   :  f ; 
+ 48   :  f ; 
+ 49   :  f ; 
+ 4a   :  f ; 
+ 4b   :  f ; 
+ 4c   :  f ; 
+ 4d   :  f ; 
+ 4e   :  f ; 
+ 4f   :  f ; 
+ 50   :  f ; 
+ 51   :  f ; 
+ 52   :  f ; 
+ 53   :  f ; 
+ 54   :  f ; 
+ 55   :  f ; 
+ 56   :  f ; 
+ 57   :  e ; 
+ 58   :  e ; 
+ 59   :  e ; 
+ 5a   :  e ; 
+ 5b   :  e ; 
+ 5c   :  e ; 
+ 5d   :  e ; 
+ 5e   :  e ; 
+ 5f   :  e ; 
+ 60   :  e ; 
+ 61   :  e ; 
+ 62   :  e ; 
+ 63   :  e ; 
+ 64   :  e ; 
+ 65   :  e ; 
+ 66   :  e ; 
+ 67   :  e ; 
+ 68   :  e ; 
+ 69   :  e ; 
+ 6a   :  d ; 
+ 6b   :  d ; 
+ 6c   :  d ; 
+ 6d   :  d ; 
+ 6e   :  d ; 
+ 6f   :  d ; 
+ 70   :  d ; 
+ 71   :  d ; 
+ 72   :  d ; 
+ 73   :  d ; 
+ 74   :  d ; 
+ 75   :  d ; 
+ 76   :  d ; 
+ 77   :  d ; 
+ 78   :  d ; 
+ 79   :  d ; 
+ 7a   :  d ; 
+ 7b   :  d ; 
+ 7c   :  d ; 
+ 7d   :  d ; 
+ 7e   :  c ; 
+ 7f   :  c ; 
+ 80   :  c ; 
+ 81   :  c ; 
+ 82   :  c ; 
+ 83   :  c ; 
+ 84   :  c ; 
+ 85   :  c ; 
+ 86   :  c ; 
+ 87   :  c ; 
+ 88   :  c ; 
+ 89   :  c ; 
+ 8a   :  c ; 
+ 8b   :  c ; 
+ 8c   :  c ; 
+ 8d   :  c ; 
+ 8e   :  c ; 
+ 8f   :  c ; 
+ 90   :  c ; 
+ 91   :  c ; 
+ 92   :  c ; 
+ 93   :  b ; 
+ 94   :  b ; 
+ 95   :  b ; 
+ 96   :  b ; 
+ 97   :  b ; 
+ 98   :  b ; 
+ 99   :  b ; 
+ 9a   :  b ; 
+ 9b   :  b ; 
+ 9c   :  b ; 
+ 9d   :  b ; 
+ 9e   :  b ; 
+ 9f   :  b ; 
+ a0   :  b ; 
+ a1   :  b ; 
+ a2   :  b ; 
+ a3   :  b ; 
+ a4   :  b ; 
+ a5   :  b ; 
+ a6   :  b ; 
+ a7   :  b ; 
+ a8   :  b ; 
+ a9   :  a ; 
+ aa   :  a ; 
+ ab   :  a ; 
+ ac   :  a ; 
+ ad   :  a ; 
+ ae   :  a ; 
+ af   :  a ; 
+ b0   :  a ; 
+ b1   :  a ; 
+ b2   :  a ; 
+ b3   :  a ; 
+ b4   :  a ; 
+ b5   :  a ; 
+ b6   :  a ; 
+ b7   :  a ; 
+ b8   :  a ; 
+ b9   :  a ; 
+ ba   :  a ; 
+ bb   :  a ; 
+ bc   :  a ; 
+ bd   :  a ; 
+ be   :  a ; 
+ bf   :  a ; 
+ c0   :  a ; 
+ c1   :  9 ; 
+ c2   :  9 ; 
+ c3   :  9 ; 
+ c4   :  9 ; 
+ c5   :  9 ; 
+ c6   :  9 ; 
+ c7   :  9 ; 
+ c8   :  9 ; 
+ c9   :  9 ; 
+ ca   :  9 ; 
+ cb   :  9 ; 
+ cc   :  9 ; 
+ cd   :  9 ; 
+ ce   :  9 ; 
+ cf   :  9 ; 
+ d0   :  9 ; 
+ d1   :  9 ; 
+ d2   :  9 ; 
+ d3   :  9 ; 
+ d4   :  9 ; 
+ d5   :  9 ; 
+ d6   :  9 ; 
+ d7   :  9 ; 
+ d8   :  9 ; 
+ d9   :  9 ; 
+ da   :  8 ; 
+ db   :  8 ; 
+ dc   :  8 ; 
+ dd   :  8 ; 
+ de   :  8 ; 
+ df   :  8 ; 
+ e0   :  8 ; 
+ e1   :  8 ; 
+ e2   :  8 ; 
+ e3   :  8 ; 
+ e4   :  8 ; 
+ e5   :  8 ; 
+ e6   :  8 ; 
+ e7   :  8 ; 
+ e8   :  8 ; 
+ e9   :  8 ; 
+ ea   :  8 ; 
+ eb   :  8 ; 
+ ec   :  8 ; 
+ ed   :  8 ; 
+ ee   :  8 ; 
+ ef   :  8 ; 
+ f0   :  8 ; 
+ f1   :  8 ; 
+ f2   :  8 ; 
+ f3   :  8 ; 
+ f4   :  8 ; 
+ f5   :  7 ; 
+ f6   :  7 ; 
+ f7   :  7 ; 
+ f8   :  7 ; 
+ f9   :  7 ; 
+ fa   :  7 ; 
+ fb   :  7 ; 
+ fc   :  7 ; 
+ fd   :  7 ; 
+ fe   :  7 ; 
+ ff   :  7 ; 
+ 100   :  7 ; 
+ 101   :  7 ; 
+ 102   :  7 ; 
+ 103   :  7 ; 
+ 104   :  7 ; 
+ 105   :  7 ; 
+ 106   :  7 ; 
+ 107   :  7 ; 
+ 108   :  7 ; 
+ 109   :  7 ; 
+ 10a   :  7 ; 
+ 10b   :  7 ; 
+ 10c   :  7 ; 
+ 10d   :  7 ; 
+ 10e   :  7 ; 
+ 10f   :  7 ; 
+ 110   :  7 ; 
+ 111   :  7 ; 
+ 112   :  7 ; 
+ 113   :  6 ; 
+ 114   :  6 ; 
+ 115   :  6 ; 
+ 116   :  6 ; 
+ 117   :  6 ; 
+ 118   :  6 ; 
+ 119   :  6 ; 
+ 11a   :  6 ; 
+ 11b   :  6 ; 
+ 11c   :  6 ; 
+ 11d   :  6 ; 
+ 11e   :  6 ; 
+ 11f   :  6 ; 
+ 120   :  6 ; 
+ 121   :  6 ; 
+ 122   :  6 ; 
+ 123   :  6 ; 
+ 124   :  6 ; 
+ 125   :  6 ; 
+ 126   :  6 ; 
+ 127   :  6 ; 
+ 128   :  6 ; 
+ 129   :  6 ; 
+ 12a   :  6 ; 
+ 12b   :  6 ; 
+ 12c   :  6 ; 
+ 12d   :  6 ; 
+ 12e   :  6 ; 
+ 12f   :  6 ; 
+ 130   :  6 ; 
+ 131   :  6 ; 
+ 132   :  6 ; 
+ 133   :  6 ; 
+ 134   :  5 ; 
+ 135   :  5 ; 
+ 136   :  5 ; 
+ 137   :  5 ; 
+ 138   :  5 ; 
+ 139   :  5 ; 
+ 13a   :  5 ; 
+ 13b   :  5 ; 
+ 13c   :  5 ; 
+ 13d   :  5 ; 
+ 13e   :  5 ; 
+ 13f   :  5 ; 
+ 140   :  5 ; 
+ 141   :  5 ; 
+ 142   :  5 ; 
+ 143   :  5 ; 
+ 144   :  5 ; 
+ 145   :  5 ; 
+ 146   :  5 ; 
+ 147   :  5 ; 
+ 148   :  5 ; 
+ 149   :  5 ; 
+ 14a   :  5 ; 
+ 14b   :  5 ; 
+ 14c   :  5 ; 
+ 14d   :  5 ; 
+ 14e   :  5 ; 
+ 14f   :  5 ; 
+ 150   :  5 ; 
+ 151   :  5 ; 
+ 152   :  5 ; 
+ 153   :  5 ; 
+ 154   :  5 ; 
+ 155   :  5 ; 
+ 156   :  5 ; 
+ 157   :  5 ; 
+ 158   :  5 ; 
+ 159   :  5 ; 
+ 15a   :  4 ; 
+ 15b   :  4 ; 
+ 15c   :  4 ; 
+ 15d   :  4 ; 
+ 15e   :  4 ; 
+ 15f   :  4 ; 
+ 160   :  4 ; 
+ 161   :  4 ; 
+ 162   :  4 ; 
+ 163   :  4 ; 
+ 164   :  4 ; 
+ 165   :  4 ; 
+ 166   :  4 ; 
+ 167   :  4 ; 
+ 168   :  4 ; 
+ 169   :  4 ; 
+ 16a   :  4 ; 
+ 16b   :  4 ; 
+ 16c   :  4 ; 
+ 16d   :  4 ; 
+ 16e   :  4 ; 
+ 16f   :  4 ; 
+ 170   :  4 ; 
+ 171   :  4 ; 
+ 172   :  4 ; 
+ 173   :  4 ; 
+ 174   :  4 ; 
+ 175   :  4 ; 
+ 176   :  4 ; 
+ 177   :  4 ; 
+ 178   :  4 ; 
+ 179   :  4 ; 
+ 17a   :  4 ; 
+ 17b   :  4 ; 
+ 17c   :  4 ; 
+ 17d   :  4 ; 
+ 17e   :  4 ; 
+ 17f   :  4 ; 
+ 180   :  4 ; 
+ 181   :  4 ; 
+ 182   :  4 ; 
+ 183   :  4 ; 
+ 184   :  4 ; 
+ 185   :  4 ; 
+ 186   :  3 ; 
+ 187   :  3 ; 
+ 188   :  3 ; 
+ 189   :  3 ; 
+ 18a   :  3 ; 
+ 18b   :  3 ; 
+ 18c   :  3 ; 
+ 18d   :  3 ; 
+ 18e   :  3 ; 
+ 18f   :  3 ; 
+ 190   :  3 ; 
+ 191   :  3 ; 
+ 192   :  3 ; 
+ 193   :  3 ; 
+ 194   :  3 ; 
+ 195   :  3 ; 
+ 196   :  3 ; 
+ 197   :  3 ; 
+ 198   :  3 ; 
+ 199   :  3 ; 
+ 19a   :  3 ; 
+ 19b   :  3 ; 
+ 19c   :  3 ; 
+ 19d   :  3 ; 
+ 19e   :  3 ; 
+ 19f   :  3 ; 
+ 1a0   :  3 ; 
+ 1a1   :  3 ; 
+ 1a2   :  3 ; 
+ 1a3   :  3 ; 
+ 1a4   :  3 ; 
+ 1a5   :  3 ; 
+ 1a6   :  3 ; 
+ 1a7   :  3 ; 
+ 1a8   :  3 ; 
+ 1a9   :  3 ; 
+ 1aa   :  3 ; 
+ 1ab   :  3 ; 
+ 1ac   :  3 ; 
+ 1ad   :  3 ; 
+ 1ae   :  3 ; 
+ 1af   :  3 ; 
+ 1b0   :  3 ; 
+ 1b1   :  3 ; 
+ 1b2   :  3 ; 
+ 1b3   :  3 ; 
+ 1b4   :  3 ; 
+ 1b5   :  3 ; 
+ 1b6   :  3 ; 
+ 1b7   :  3 ; 
+ 1b8   :  3 ; 
+ 1b9   :  3 ; 
+ 1ba   :  3 ; 
+ 1bb   :  3 ; 
+ 1bc   :  3 ; 
+ 1bd   :  2 ; 
+ 1be   :  2 ; 
+ 1bf   :  2 ; 
+ 1c0   :  2 ; 
+ 1c1   :  2 ; 
+ 1c2   :  2 ; 
+ 1c3   :  2 ; 
+ 1c4   :  2 ; 
+ 1c5   :  2 ; 
+ 1c6   :  2 ; 
+ 1c7   :  2 ; 
+ 1c8   :  2 ; 
+ 1c9   :  2 ; 
+ 1ca   :  2 ; 
+ 1cb   :  2 ; 
+ 1cc   :  2 ; 
+ 1cd   :  2 ; 
+ 1ce   :  2 ; 
+ 1cf   :  2 ; 
+ 1d0   :  2 ; 
+ 1d1   :  2 ; 
+ 1d2   :  2 ; 
+ 1d3   :  2 ; 
+ 1d4   :  2 ; 
+ 1d5   :  2 ; 
+ 1d6   :  2 ; 
+ 1d7   :  2 ; 
+ 1d8   :  2 ; 
+ 1d9   :  2 ; 
+ 1da   :  2 ; 
+ 1db   :  2 ; 
+ 1dc   :  2 ; 
+ 1dd   :  2 ; 
+ 1de   :  2 ; 
+ 1df   :  2 ; 
+ 1e0   :  2 ; 
+ 1e1   :  2 ; 
+ 1e2   :  2 ; 
+ 1e3   :  2 ; 
+ 1e4   :  2 ; 
+ 1e5   :  2 ; 
+ 1e6   :  2 ; 
+ 1e7   :  2 ; 
+ 1e8   :  2 ; 
+ 1e9   :  2 ; 
+ 1ea   :  2 ; 
+ 1eb   :  2 ; 
+ 1ec   :  2 ; 
+ 1ed   :  2 ; 
+ 1ee   :  2 ; 
+ 1ef   :  2 ; 
+ 1f0   :  2 ; 
+ 1f1   :  2 ; 
+ 1f2   :  2 ; 
+ 1f3   :  2 ; 
+ 1f4   :  2 ; 
+ 1f5   :  2 ; 
+ 1f6   :  2 ; 
+ 1f7   :  2 ; 
+ 1f8   :  2 ; 
+ 1f9   :  2 ; 
+ 1fa   :  2 ; 
+ 1fb   :  2 ; 
+ 1fc   :  2 ; 
+ 1fd   :  2 ; 
+ 1fe   :  2 ; 
+ 1ff   :  2 ; 
+ 200   :  2 ; 
+ 201   :  2 ; 
+ 202   :  2 ; 
+ 203   :  2 ; 
+ 204   :  2 ; 
+ 205   :  2 ; 
+ 206   :  2 ; 
+ 207   :  1 ; 
+ 208   :  1 ; 
+ 209   :  1 ; 
+ 20a   :  1 ; 
+ 20b   :  1 ; 
+ 20c   :  1 ; 
+ 20d   :  1 ; 
+ 20e   :  1 ; 
+ 20f   :  1 ; 
+ 210   :  1 ; 
+ 211   :  1 ; 
+ 212   :  1 ; 
+ 213   :  1 ; 
+ 214   :  1 ; 
+ 215   :  1 ; 
+ 216   :  1 ; 
+ 217   :  1 ; 
+ 218   :  1 ; 
+ 219   :  1 ; 
+ 21a   :  1 ; 
+ 21b   :  1 ; 
+ 21c   :  1 ; 
+ 21d   :  1 ; 
+ 21e   :  1 ; 
+ 21f   :  1 ; 
+ 220   :  1 ; 
+ 221   :  1 ; 
+ 222   :  1 ; 
+ 223   :  1 ; 
+ 224   :  1 ; 
+ 225   :  1 ; 
+ 226   :  1 ; 
+ 227   :  1 ; 
+ 228   :  1 ; 
+ 229   :  1 ; 
+ 22a   :  1 ; 
+ 22b   :  1 ; 
+ 22c   :  1 ; 
+ 22d   :  1 ; 
+ 22e   :  1 ; 
+ 22f   :  1 ; 
+ 230   :  1 ; 
+ 231   :  1 ; 
+ 232   :  1 ; 
+ 233   :  1 ; 
+ 234   :  1 ; 
+ 235   :  1 ; 
+ 236   :  1 ; 
+ 237   :  1 ; 
+ 238   :  1 ; 
+ 239   :  1 ; 
+ 23a   :  1 ; 
+ 23b   :  1 ; 
+ 23c   :  1 ; 
+ 23d   :  1 ; 
+ 23e   :  1 ; 
+ 23f   :  1 ; 
+ 240   :  1 ; 
+ 241   :  1 ; 
+ 242   :  1 ; 
+ 243   :  1 ; 
+ 244   :  1 ; 
+ 245   :  1 ; 
+ 246   :  1 ; 
+ 247   :  1 ; 
+ 248   :  1 ; 
+ 249   :  1 ; 
+ 24a   :  1 ; 
+ 24b   :  1 ; 
+ 24c   :  1 ; 
+ 24d   :  1 ; 
+ 24e   :  1 ; 
+ 24f   :  1 ; 
+ 250   :  1 ; 
+ 251   :  1 ; 
+ 252   :  1 ; 
+ 253   :  1 ; 
+ 254   :  1 ; 
+ 255   :  1 ; 
+ 256   :  1 ; 
+ 257   :  1 ; 
+ 258   :  1 ; 
+ 259   :  1 ; 
+ 25a   :  1 ; 
+ 25b   :  1 ; 
+ 25c   :  1 ; 
+ 25d   :  1 ; 
+ 25e   :  1 ; 
+ 25f   :  1 ; 
+ 260   :  1 ; 
+ 261   :  1 ; 
+ 262   :  1 ; 
+ 263   :  1 ; 
+ 264   :  1 ; 
+ 265   :  1 ; 
+ 266   :  1 ; 
+ 267   :  1 ; 
+ 268   :  1 ; 
+ 269   :  1 ; 
+ 26a   :  1 ; 
+ 26b   :  1 ; 
+ 26c   :  1 ; 
+ 26d   :  1 ; 
+ 26e   :  1 ; 
+ 26f   :  1 ; 
+ 270   :  1 ; 
+ 271   :  1 ; 
+ 272   :  1 ; 
+ 273   :  1 ; 
+ 274   :  1 ; 
+ 275   :  1 ; 
+ 276   :  1 ; 
+ 277   :  1 ; 
+ 278   :  1 ; 
+ 279   :  1 ; 
+ 27a   :  1 ; 
+ 27b   :  1 ; 
+ 27c   :  1 ; 
+ 27d   :  1 ; 
+ 27e   :  1 ; 
+ 27f   :  1 ; 
+ 280   :  1 ; 
+ 281   :  1 ; 
+ 282   :  1 ; 
+ 283   :  1 ; 
+ 284   :  1 ; 
+ 285   :  1 ; 
+ 286   :  1 ; 
+ 287   :  1 ; 
+ 288   :  1 ; 
+ 289   :  1 ; 
+ 28a   :  1 ; 
+ 28b   :  1 ; 
+ 28c   :  0 ; 
+ 28d   :  0 ; 
+ 28e   :  0 ; 
+ 28f   :  0 ; 
+ 290   :  0 ; 
+ 291   :  0 ; 
+ 292   :  0 ; 
+ 293   :  0 ; 
+ 294   :  0 ; 
+ 295   :  0 ; 
+ 296   :  0 ; 
+ 297   :  0 ; 
+ 298   :  0 ; 
+ 299   :  0 ; 
+ 29a   :  0 ; 
+ 29b   :  0 ; 
+ 29c   :  0 ; 
+ 29d   :  0 ; 
+ 29e   :  0 ; 
+ 29f   :  0 ; 
+ 2a0   :  0 ; 
+ 2a1   :  0 ; 
+ 2a2   :  0 ; 
+ 2a3   :  0 ; 
+ 2a4   :  0 ; 
+ 2a5   :  0 ; 
+ 2a6   :  0 ; 
+ 2a7   :  0 ; 
+ 2a8   :  0 ; 
+ 2a9   :  0 ; 
+ 2aa   :  0 ; 
+ 2ab   :  0 ; 
+ 2ac   :  0 ; 
+ 2ad   :  0 ; 
+ 2ae   :  0 ; 
+ 2af   :  0 ; 
+ 2b0   :  0 ; 
+ 2b1   :  0 ; 
+ 2b2   :  0 ; 
+ 2b3   :  0 ; 
+ 2b4   :  0 ; 
+ 2b5   :  0 ; 
+ 2b6   :  0 ; 
+ 2b7   :  0 ; 
+ 2b8   :  0 ; 
+ 2b9   :  0 ; 
+ 2ba   :  0 ; 
+ 2bb   :  0 ; 
+ 2bc   :  0 ; 
+ 2bd   :  0 ; 
+ 2be   :  0 ; 
+ 2bf   :  0 ; 
+ 2c0   :  0 ; 
+ 2c1   :  0 ; 
+ 2c2   :  0 ; 
+ 2c3   :  0 ; 
+ 2c4   :  0 ; 
+ 2c5   :  0 ; 
+ 2c6   :  0 ; 
+ 2c7   :  0 ; 
+ 2c8   :  0 ; 
+ 2c9   :  0 ; 
+ 2ca   :  0 ; 
+ 2cb   :  0 ; 
+ 2cc   :  0 ; 
+ 2cd   :  0 ; 
+ 2ce   :  0 ; 
+ 2cf   :  0 ; 
+ 2d0   :  0 ; 
+ 2d1   :  0 ; 
+ 2d2   :  0 ; 
+ 2d3   :  0 ; 
+ 2d4   :  0 ; 
+ 2d5   :  0 ; 
+ 2d6   :  0 ; 
+ 2d7   :  0 ; 
+ 2d8   :  0 ; 
+ 2d9   :  0 ; 
+ 2da   :  0 ; 
+ 2db   :  0 ; 
+ 2dc   :  0 ; 
+ 2dd   :  0 ; 
+ 2de   :  0 ; 
+ 2df   :  0 ; 
+ 2e0   :  0 ; 
+ 2e1   :  0 ; 
+ 2e2   :  0 ; 
+ 2e3   :  0 ; 
+ 2e4   :  0 ; 
+ 2e5   :  0 ; 
+ 2e6   :  0 ; 
+ 2e7   :  0 ; 
+ 2e8   :  0 ; 
+ 2e9   :  0 ; 
+ 2ea   :  0 ; 
+ 2eb   :  0 ; 
+ 2ec   :  0 ; 
+ 2ed   :  0 ; 
+ 2ee   :  0 ; 
+ 2ef   :  0 ; 
+ 2f0   :  0 ; 
+ 2f1   :  0 ; 
+ 2f2   :  0 ; 
+ 2f3   :  0 ; 
+ 2f4   :  0 ; 
+ 2f5   :  0 ; 
+ 2f6   :  0 ; 
+ 2f7   :  0 ; 
+ 2f8   :  0 ; 
+ 2f9   :  0 ; 
+ 2fa   :  0 ; 
+ 2fb   :  0 ; 
+ 2fc   :  0 ; 
+ 2fd   :  0 ; 
+ 2fe   :  0 ; 
+ 2ff   :  0 ; 
+ 300   :  0 ; 
+ 301   :  0 ; 
+ 302   :  0 ; 
+ 303   :  0 ; 
+ 304   :  0 ; 
+ 305   :  0 ; 
+ 306   :  0 ; 
+ 307   :  0 ; 
+ 308   :  0 ; 
+ 309   :  0 ; 
+ 30a   :  0 ; 
+ 30b   :  0 ; 
+ 30c   :  0 ; 
+ 30d   :  0 ; 
+ 30e   :  0 ; 
+ 30f   :  0 ; 
+ 310   :  0 ; 
+ 311   :  0 ; 
+ 312   :  0 ; 
+ 313   :  0 ; 
+ 314   :  0 ; 
+ 315   :  0 ; 
+ 316   :  0 ; 
+ 317   :  0 ; 
+ 318   :  0 ; 
+ 319   :  0 ; 
+ 31a   :  0 ; 
+ 31b   :  0 ; 
+ 31c   :  0 ; 
+ 31d   :  0 ; 
+ 31e   :  0 ; 
+ 31f   :  0 ; 
+ 320   :  0 ; 
+ 321   :  0 ; 
+ 322   :  0 ; 
+ 323   :  0 ; 
+ 324   :  0 ; 
+ 325   :  0 ; 
+ 326   :  0 ; 
+ 327   :  0 ; 
+ 328   :  0 ; 
+ 329   :  0 ; 
+ 32a   :  0 ; 
+ 32b   :  0 ; 
+ 32c   :  0 ; 
+ 32d   :  0 ; 
+ 32e   :  0 ; 
+ 32f   :  0 ; 
+ 330   :  0 ; 
+ 331   :  0 ; 
+ 332   :  0 ; 
+ 333   :  0 ; 
+ 334   :  0 ; 
+ 335   :  0 ; 
+ 336   :  0 ; 
+ 337   :  0 ; 
+ 338   :  0 ; 
+ 339   :  0 ; 
+ 33a   :  0 ; 
+ 33b   :  0 ; 
+ 33c   :  0 ; 
+ 33d   :  0 ; 
+ 33e   :  0 ; 
+ 33f   :  0 ; 
+ 340   :  0 ; 
+ 341   :  0 ; 
+ 342   :  0 ; 
+ 343   :  0 ; 
+ 344   :  0 ; 
+ 345   :  0 ; 
+ 346   :  0 ; 
+ 347   :  0 ; 
+ 348   :  0 ; 
+ 349   :  0 ; 
+ 34a   :  0 ; 
+ 34b   :  0 ; 
+ 34c   :  0 ; 
+ 34d   :  0 ; 
+ 34e   :  0 ; 
+ 34f   :  0 ; 
+ 350   :  0 ; 
+ 351   :  0 ; 
+ 352   :  0 ; 
+ 353   :  0 ; 
+ 354   :  0 ; 
+ 355   :  0 ; 
+ 356   :  0 ; 
+ 357   :  0 ; 
+ 358   :  0 ; 
+ 359   :  0 ; 
+ 35a   :  0 ; 
+ 35b   :  0 ; 
+ 35c   :  0 ; 
+ 35d   :  0 ; 
+ 35e   :  0 ; 
+ 35f   :  0 ; 
+ 360   :  0 ; 
+ 361   :  0 ; 
+ 362   :  0 ; 
+ 363   :  0 ; 
+ 364   :  0 ; 
+ 365   :  0 ; 
+ 366   :  0 ; 
+ 367   :  0 ; 
+ 368   :  0 ; 
+ 369   :  0 ; 
+ 36a   :  0 ; 
+ 36b   :  0 ; 
+ 36c   :  0 ; 
+ 36d   :  0 ; 
+ 36e   :  0 ; 
+ 36f   :  0 ; 
+ 370   :  0 ; 
+ 371   :  0 ; 
+ 372   :  0 ; 
+ 373   :  0 ; 
+ 374   :  0 ; 
+ 375   :  0 ; 
+ 376   :  0 ; 
+ 377   :  0 ; 
+ 378   :  0 ; 
+ 379   :  0 ; 
+ 37a   :  0 ; 
+ 37b   :  0 ; 
+ 37c   :  0 ; 
+ 37d   :  0 ; 
+ 37e   :  0 ; 
+ 37f   :  0 ; 
+ 380   :  0 ; 
+ 381   :  0 ; 
+ 382   :  0 ; 
+ 383   :  0 ; 
+ 384   :  0 ; 
+ 385   :  0 ; 
+ 386   :  0 ; 
+ 387   :  0 ; 
+ 388   :  0 ; 
+ 389   :  0 ; 
+ 38a   :  0 ; 
+ 38b   :  0 ; 
+ 38c   :  0 ; 
+ 38d   :  0 ; 
+ 38e   :  0 ; 
+ 38f   :  0 ; 
+ 390   :  0 ; 
+ 391   :  0 ; 
+ 392   :  0 ; 
+ 393   :  0 ; 
+ 394   :  0 ; 
+ 395   :  0 ; 
+ 396   :  0 ; 
+ 397   :  0 ; 
+ 398   :  0 ; 
+ 399   :  0 ; 
+ 39a   :  0 ; 
+ 39b   :  0 ; 
+ 39c   :  0 ; 
+ 39d   :  0 ; 
+ 39e   :  0 ; 
+ 39f   :  0 ; 
+ 3a0   :  0 ; 
+ 3a1   :  0 ; 
+ 3a2   :  0 ; 
+ 3a3   :  0 ; 
+ 3a4   :  0 ; 
+ 3a5   :  0 ; 
+ 3a6   :  0 ; 
+ 3a7   :  0 ; 
+ 3a8   :  0 ; 
+ 3a9   :  0 ; 
+ 3aa   :  0 ; 
+ 3ab   :  0 ; 
+ 3ac   :  0 ; 
+ 3ad   :  0 ; 
+ 3ae   :  0 ; 
+ 3af   :  0 ; 
+ 3b0   :  0 ; 
+ 3b1   :  0 ; 
+ 3b2   :  0 ; 
+ 3b3   :  0 ; 
+ 3b4   :  0 ; 
+ 3b5   :  0 ; 
+ 3b6   :  0 ; 
+ 3b7   :  0 ; 
+ 3b8   :  0 ; 
+ 3b9   :  0 ; 
+ 3ba   :  0 ; 
+ 3bb   :  0 ; 
+ 3bc   :  0 ; 
+ 3bd   :  0 ; 
+ 3be   :  0 ; 
+ 3bf   :  0 ; 
+ 3c0   :  0 ; 
+ 3c1   :  0 ; 
+ 3c2   :  0 ; 
+ 3c3   :  0 ; 
+ 3c4   :  0 ; 
+ 3c5   :  0 ; 
+ 3c6   :  0 ; 
+ 3c7   :  0 ; 
+ 3c8   :  0 ; 
+ 3c9   :  0 ; 
+ 3ca   :  0 ; 
+ 3cb   :  0 ; 
+ 3cc   :  0 ; 
+ 3cd   :  0 ; 
+ 3ce   :  0 ; 
+ 3cf   :  0 ; 
+ 3d0   :  0 ; 
+ 3d1   :  0 ; 
+ 3d2   :  0 ; 
+ 3d3   :  0 ; 
+ 3d4   :  0 ; 
+ 3d5   :  0 ; 
+ 3d6   :  0 ; 
+ 3d7   :  0 ; 
+ 3d8   :  0 ; 
+ 3d9   :  0 ; 
+ 3da   :  0 ; 
+ 3db   :  0 ; 
+ 3dc   :  0 ; 
+ 3dd   :  0 ; 
+ 3de   :  0 ; 
+ 3df   :  0 ; 
+ 3e0   :  0 ; 
+ 3e1   :  0 ; 
+ 3e2   :  0 ; 
+ 3e3   :  0 ; 
+ 3e4   :  0 ; 
+ 3e5   :  0 ; 
+ 3e6   :  0 ; 
+ 3e7   :  0 ; 
+ 3e8   :  0 ; 
+ 3e9   :  0 ; 
+ 3ea   :  0 ; 
+ 3eb   :  0 ; 
+ 3ec   :  0 ; 
+ 3ed   :  0 ; 
+ 3ee   :  0 ; 
+ 3ef   :  0 ; 
+ 3f0   :  0 ; 
+ 3f1   :  0 ; 
+ 3f2   :  0 ; 
+ 3f3   :  0 ; 
+ 3f4   :  0 ; 
+ 3f5   :  0 ; 
+ 3f6   :  0 ; 
+ 3f7   :  0 ; 
+ 3f8   :  0 ; 
+ 3f9   :  0 ; 
+ 3fa   :  0 ; 
+ 3fb   :  0 ; 
+ 3fc   :  0 ; 
+ 3fd   :  0 ; 
+ 3fe   :  0 ; 
+ 3ff   :  0 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_1.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_1.mif
new file mode 100644
index 0000000000000000000000000000000000000000..2cc0a0370beaeaefc4c317ce4dea2d06e126b787
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_1.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  ffcf ; 
+ 1   :  ffd0 ; 
+ 2   :  ffd0 ; 
+ 3   :  ffd0 ; 
+ 4   :  ffd1 ; 
+ 5   :  ffd1 ; 
+ 6   :  ffd2 ; 
+ 7   :  ffd2 ; 
+ 8   :  ffd2 ; 
+ 9   :  ffd3 ; 
+ a   :  ffd3 ; 
+ b   :  ffd3 ; 
+ c   :  ffd4 ; 
+ d   :  ffd4 ; 
+ e   :  ffd4 ; 
+ f   :  ffd5 ; 
+ 10   :  ffd5 ; 
+ 11   :  ffd5 ; 
+ 12   :  ffd6 ; 
+ 13   :  ffd6 ; 
+ 14   :  ffd6 ; 
+ 15   :  ffd7 ; 
+ 16   :  ffd7 ; 
+ 17   :  ffd8 ; 
+ 18   :  ffd8 ; 
+ 19   :  ffd8 ; 
+ 1a   :  ffd9 ; 
+ 1b   :  ffd9 ; 
+ 1c   :  ffd9 ; 
+ 1d   :  ffda ; 
+ 1e   :  ffda ; 
+ 1f   :  ffda ; 
+ 20   :  ffdb ; 
+ 21   :  ffdb ; 
+ 22   :  ffdb ; 
+ 23   :  ffdc ; 
+ 24   :  ffdc ; 
+ 25   :  ffdc ; 
+ 26   :  ffdd ; 
+ 27   :  ffdd ; 
+ 28   :  ffdd ; 
+ 29   :  ffde ; 
+ 2a   :  ffde ; 
+ 2b   :  ffde ; 
+ 2c   :  ffdf ; 
+ 2d   :  ffdf ; 
+ 2e   :  ffdf ; 
+ 2f   :  ffe0 ; 
+ 30   :  ffe0 ; 
+ 31   :  ffe0 ; 
+ 32   :  ffe1 ; 
+ 33   :  ffe1 ; 
+ 34   :  ffe1 ; 
+ 35   :  ffe2 ; 
+ 36   :  ffe2 ; 
+ 37   :  ffe2 ; 
+ 38   :  ffe3 ; 
+ 39   :  ffe3 ; 
+ 3a   :  ffe3 ; 
+ 3b   :  ffe4 ; 
+ 3c   :  ffe4 ; 
+ 3d   :  ffe4 ; 
+ 3e   :  ffe5 ; 
+ 3f   :  ffe5 ; 
+ 40   :  ffe5 ; 
+ 41   :  ffe6 ; 
+ 42   :  ffe6 ; 
+ 43   :  ffe6 ; 
+ 44   :  ffe7 ; 
+ 45   :  ffe7 ; 
+ 46   :  ffe7 ; 
+ 47   :  ffe7 ; 
+ 48   :  ffe8 ; 
+ 49   :  ffe8 ; 
+ 4a   :  ffe8 ; 
+ 4b   :  ffe9 ; 
+ 4c   :  ffe9 ; 
+ 4d   :  ffe9 ; 
+ 4e   :  ffea ; 
+ 4f   :  ffea ; 
+ 50   :  ffea ; 
+ 51   :  ffeb ; 
+ 52   :  ffeb ; 
+ 53   :  ffeb ; 
+ 54   :  ffec ; 
+ 55   :  ffec ; 
+ 56   :  ffec ; 
+ 57   :  ffec ; 
+ 58   :  ffed ; 
+ 59   :  ffed ; 
+ 5a   :  ffed ; 
+ 5b   :  ffee ; 
+ 5c   :  ffee ; 
+ 5d   :  ffee ; 
+ 5e   :  ffef ; 
+ 5f   :  ffef ; 
+ 60   :  ffef ; 
+ 61   :  ffef ; 
+ 62   :  fff0 ; 
+ 63   :  fff0 ; 
+ 64   :  fff0 ; 
+ 65   :  fff1 ; 
+ 66   :  fff1 ; 
+ 67   :  fff1 ; 
+ 68   :  fff2 ; 
+ 69   :  fff2 ; 
+ 6a   :  fff2 ; 
+ 6b   :  fff2 ; 
+ 6c   :  fff3 ; 
+ 6d   :  fff3 ; 
+ 6e   :  fff3 ; 
+ 6f   :  fff4 ; 
+ 70   :  fff4 ; 
+ 71   :  fff4 ; 
+ 72   :  fff4 ; 
+ 73   :  fff5 ; 
+ 74   :  fff5 ; 
+ 75   :  fff5 ; 
+ 76   :  fff6 ; 
+ 77   :  fff6 ; 
+ 78   :  fff6 ; 
+ 79   :  fff6 ; 
+ 7a   :  fff7 ; 
+ 7b   :  fff7 ; 
+ 7c   :  fff7 ; 
+ 7d   :  fff8 ; 
+ 7e   :  fff8 ; 
+ 7f   :  fff8 ; 
+ 80   :  fff8 ; 
+ 81   :  fff9 ; 
+ 82   :  fff9 ; 
+ 83   :  fff9 ; 
+ 84   :  fff9 ; 
+ 85   :  fffa ; 
+ 86   :  fffa ; 
+ 87   :  fffa ; 
+ 88   :  fffb ; 
+ 89   :  fffb ; 
+ 8a   :  fffb ; 
+ 8b   :  fffb ; 
+ 8c   :  fffc ; 
+ 8d   :  fffc ; 
+ 8e   :  fffc ; 
+ 8f   :  fffc ; 
+ 90   :  fffd ; 
+ 91   :  fffd ; 
+ 92   :  fffd ; 
+ 93   :  fffd ; 
+ 94   :  fffe ; 
+ 95   :  fffe ; 
+ 96   :  fffe ; 
+ 97   :  ffff ; 
+ 98   :  ffff ; 
+ 99   :  ffff ; 
+ 9a   :  ffff ; 
+ 9b   :  0 ; 
+ 9c   :  0 ; 
+ 9d   :  0 ; 
+ 9e   :  0 ; 
+ 9f   :  1 ; 
+ a0   :  1 ; 
+ a1   :  1 ; 
+ a2   :  1 ; 
+ a3   :  2 ; 
+ a4   :  2 ; 
+ a5   :  2 ; 
+ a6   :  2 ; 
+ a7   :  3 ; 
+ a8   :  3 ; 
+ a9   :  3 ; 
+ aa   :  3 ; 
+ ab   :  4 ; 
+ ac   :  4 ; 
+ ad   :  4 ; 
+ ae   :  4 ; 
+ af   :  4 ; 
+ b0   :  5 ; 
+ b1   :  5 ; 
+ b2   :  5 ; 
+ b3   :  5 ; 
+ b4   :  6 ; 
+ b5   :  6 ; 
+ b6   :  6 ; 
+ b7   :  6 ; 
+ b8   :  7 ; 
+ b9   :  7 ; 
+ ba   :  7 ; 
+ bb   :  7 ; 
+ bc   :  8 ; 
+ bd   :  8 ; 
+ be   :  8 ; 
+ bf   :  8 ; 
+ c0   :  8 ; 
+ c1   :  9 ; 
+ c2   :  9 ; 
+ c3   :  9 ; 
+ c4   :  9 ; 
+ c5   :  a ; 
+ c6   :  a ; 
+ c7   :  a ; 
+ c8   :  a ; 
+ c9   :  a ; 
+ ca   :  b ; 
+ cb   :  b ; 
+ cc   :  b ; 
+ cd   :  b ; 
+ ce   :  c ; 
+ cf   :  c ; 
+ d0   :  c ; 
+ d1   :  c ; 
+ d2   :  c ; 
+ d3   :  d ; 
+ d4   :  d ; 
+ d5   :  d ; 
+ d6   :  d ; 
+ d7   :  d ; 
+ d8   :  e ; 
+ d9   :  e ; 
+ da   :  e ; 
+ db   :  e ; 
+ dc   :  e ; 
+ dd   :  f ; 
+ de   :  f ; 
+ df   :  f ; 
+ e0   :  f ; 
+ e1   :  10 ; 
+ e2   :  10 ; 
+ e3   :  10 ; 
+ e4   :  10 ; 
+ e5   :  10 ; 
+ e6   :  11 ; 
+ e7   :  11 ; 
+ e8   :  11 ; 
+ e9   :  11 ; 
+ ea   :  11 ; 
+ eb   :  11 ; 
+ ec   :  12 ; 
+ ed   :  12 ; 
+ ee   :  12 ; 
+ ef   :  12 ; 
+ f0   :  12 ; 
+ f1   :  13 ; 
+ f2   :  13 ; 
+ f3   :  13 ; 
+ f4   :  13 ; 
+ f5   :  13 ; 
+ f6   :  14 ; 
+ f7   :  14 ; 
+ f8   :  14 ; 
+ f9   :  14 ; 
+ fa   :  14 ; 
+ fb   :  14 ; 
+ fc   :  15 ; 
+ fd   :  15 ; 
+ fe   :  15 ; 
+ ff   :  15 ; 
+ 100   :  15 ; 
+ 101   :  16 ; 
+ 102   :  16 ; 
+ 103   :  16 ; 
+ 104   :  16 ; 
+ 105   :  16 ; 
+ 106   :  16 ; 
+ 107   :  17 ; 
+ 108   :  17 ; 
+ 109   :  17 ; 
+ 10a   :  17 ; 
+ 10b   :  17 ; 
+ 10c   :  17 ; 
+ 10d   :  18 ; 
+ 10e   :  18 ; 
+ 10f   :  18 ; 
+ 110   :  18 ; 
+ 111   :  18 ; 
+ 112   :  18 ; 
+ 113   :  19 ; 
+ 114   :  19 ; 
+ 115   :  19 ; 
+ 116   :  19 ; 
+ 117   :  19 ; 
+ 118   :  19 ; 
+ 119   :  1a ; 
+ 11a   :  1a ; 
+ 11b   :  1a ; 
+ 11c   :  1a ; 
+ 11d   :  1a ; 
+ 11e   :  1a ; 
+ 11f   :  1b ; 
+ 120   :  1b ; 
+ 121   :  1b ; 
+ 122   :  1b ; 
+ 123   :  1b ; 
+ 124   :  1b ; 
+ 125   :  1b ; 
+ 126   :  1c ; 
+ 127   :  1c ; 
+ 128   :  1c ; 
+ 129   :  1c ; 
+ 12a   :  1c ; 
+ 12b   :  1c ; 
+ 12c   :  1c ; 
+ 12d   :  1d ; 
+ 12e   :  1d ; 
+ 12f   :  1d ; 
+ 130   :  1d ; 
+ 131   :  1d ; 
+ 132   :  1d ; 
+ 133   :  1d ; 
+ 134   :  1e ; 
+ 135   :  1e ; 
+ 136   :  1e ; 
+ 137   :  1e ; 
+ 138   :  1e ; 
+ 139   :  1e ; 
+ 13a   :  1e ; 
+ 13b   :  1f ; 
+ 13c   :  1f ; 
+ 13d   :  1f ; 
+ 13e   :  1f ; 
+ 13f   :  1f ; 
+ 140   :  1f ; 
+ 141   :  1f ; 
+ 142   :  1f ; 
+ 143   :  20 ; 
+ 144   :  20 ; 
+ 145   :  20 ; 
+ 146   :  20 ; 
+ 147   :  20 ; 
+ 148   :  20 ; 
+ 149   :  20 ; 
+ 14a   :  20 ; 
+ 14b   :  21 ; 
+ 14c   :  21 ; 
+ 14d   :  21 ; 
+ 14e   :  21 ; 
+ 14f   :  21 ; 
+ 150   :  21 ; 
+ 151   :  21 ; 
+ 152   :  21 ; 
+ 153   :  22 ; 
+ 154   :  22 ; 
+ 155   :  22 ; 
+ 156   :  22 ; 
+ 157   :  22 ; 
+ 158   :  22 ; 
+ 159   :  22 ; 
+ 15a   :  22 ; 
+ 15b   :  22 ; 
+ 15c   :  23 ; 
+ 15d   :  23 ; 
+ 15e   :  23 ; 
+ 15f   :  23 ; 
+ 160   :  23 ; 
+ 161   :  23 ; 
+ 162   :  23 ; 
+ 163   :  23 ; 
+ 164   :  23 ; 
+ 165   :  24 ; 
+ 166   :  24 ; 
+ 167   :  24 ; 
+ 168   :  24 ; 
+ 169   :  24 ; 
+ 16a   :  24 ; 
+ 16b   :  24 ; 
+ 16c   :  24 ; 
+ 16d   :  24 ; 
+ 16e   :  24 ; 
+ 16f   :  25 ; 
+ 170   :  25 ; 
+ 171   :  25 ; 
+ 172   :  25 ; 
+ 173   :  25 ; 
+ 174   :  25 ; 
+ 175   :  25 ; 
+ 176   :  25 ; 
+ 177   :  25 ; 
+ 178   :  25 ; 
+ 179   :  26 ; 
+ 17a   :  26 ; 
+ 17b   :  26 ; 
+ 17c   :  26 ; 
+ 17d   :  26 ; 
+ 17e   :  26 ; 
+ 17f   :  26 ; 
+ 180   :  26 ; 
+ 181   :  26 ; 
+ 182   :  26 ; 
+ 183   :  26 ; 
+ 184   :  26 ; 
+ 185   :  27 ; 
+ 186   :  27 ; 
+ 187   :  27 ; 
+ 188   :  27 ; 
+ 189   :  27 ; 
+ 18a   :  27 ; 
+ 18b   :  27 ; 
+ 18c   :  27 ; 
+ 18d   :  27 ; 
+ 18e   :  27 ; 
+ 18f   :  27 ; 
+ 190   :  27 ; 
+ 191   :  28 ; 
+ 192   :  28 ; 
+ 193   :  28 ; 
+ 194   :  28 ; 
+ 195   :  28 ; 
+ 196   :  28 ; 
+ 197   :  28 ; 
+ 198   :  28 ; 
+ 199   :  28 ; 
+ 19a   :  28 ; 
+ 19b   :  28 ; 
+ 19c   :  28 ; 
+ 19d   :  28 ; 
+ 19e   :  28 ; 
+ 19f   :  29 ; 
+ 1a0   :  29 ; 
+ 1a1   :  29 ; 
+ 1a2   :  29 ; 
+ 1a3   :  29 ; 
+ 1a4   :  29 ; 
+ 1a5   :  29 ; 
+ 1a6   :  29 ; 
+ 1a7   :  29 ; 
+ 1a8   :  29 ; 
+ 1a9   :  29 ; 
+ 1aa   :  29 ; 
+ 1ab   :  29 ; 
+ 1ac   :  29 ; 
+ 1ad   :  29 ; 
+ 1ae   :  29 ; 
+ 1af   :  29 ; 
+ 1b0   :  2a ; 
+ 1b1   :  2a ; 
+ 1b2   :  2a ; 
+ 1b3   :  2a ; 
+ 1b4   :  2a ; 
+ 1b5   :  2a ; 
+ 1b6   :  2a ; 
+ 1b7   :  2a ; 
+ 1b8   :  2a ; 
+ 1b9   :  2a ; 
+ 1ba   :  2a ; 
+ 1bb   :  2a ; 
+ 1bc   :  2a ; 
+ 1bd   :  2a ; 
+ 1be   :  2a ; 
+ 1bf   :  2a ; 
+ 1c0   :  2a ; 
+ 1c1   :  2a ; 
+ 1c2   :  2a ; 
+ 1c3   :  2b ; 
+ 1c4   :  2b ; 
+ 1c5   :  2b ; 
+ 1c6   :  2b ; 
+ 1c7   :  2b ; 
+ 1c8   :  2b ; 
+ 1c9   :  2b ; 
+ 1ca   :  2b ; 
+ 1cb   :  2b ; 
+ 1cc   :  2b ; 
+ 1cd   :  2b ; 
+ 1ce   :  2b ; 
+ 1cf   :  2b ; 
+ 1d0   :  2b ; 
+ 1d1   :  2b ; 
+ 1d2   :  2b ; 
+ 1d3   :  2b ; 
+ 1d4   :  2b ; 
+ 1d5   :  2b ; 
+ 1d6   :  2b ; 
+ 1d7   :  2b ; 
+ 1d8   :  2b ; 
+ 1d9   :  2b ; 
+ 1da   :  2b ; 
+ 1db   :  2b ; 
+ 1dc   :  2b ; 
+ 1dd   :  2c ; 
+ 1de   :  2c ; 
+ 1df   :  2c ; 
+ 1e0   :  2c ; 
+ 1e1   :  2c ; 
+ 1e2   :  2c ; 
+ 1e3   :  2c ; 
+ 1e4   :  2c ; 
+ 1e5   :  2c ; 
+ 1e6   :  2c ; 
+ 1e7   :  2c ; 
+ 1e8   :  2c ; 
+ 1e9   :  2c ; 
+ 1ea   :  2c ; 
+ 1eb   :  2c ; 
+ 1ec   :  2c ; 
+ 1ed   :  2c ; 
+ 1ee   :  2c ; 
+ 1ef   :  2c ; 
+ 1f0   :  2c ; 
+ 1f1   :  2c ; 
+ 1f2   :  2c ; 
+ 1f3   :  2c ; 
+ 1f4   :  2c ; 
+ 1f5   :  2c ; 
+ 1f6   :  2c ; 
+ 1f7   :  2c ; 
+ 1f8   :  2c ; 
+ 1f9   :  2c ; 
+ 1fa   :  2c ; 
+ 1fb   :  2c ; 
+ 1fc   :  2c ; 
+ 1fd   :  2c ; 
+ 1fe   :  2c ; 
+ 1ff   :  2c ; 
+ 200   :  2c ; 
+ 201   :  2c ; 
+ 202   :  2c ; 
+ 203   :  2c ; 
+ 204   :  2c ; 
+ 205   :  2c ; 
+ 206   :  2c ; 
+ 207   :  2c ; 
+ 208   :  2c ; 
+ 209   :  2c ; 
+ 20a   :  2c ; 
+ 20b   :  2c ; 
+ 20c   :  2c ; 
+ 20d   :  2c ; 
+ 20e   :  2c ; 
+ 20f   :  2c ; 
+ 210   :  2c ; 
+ 211   :  2c ; 
+ 212   :  2c ; 
+ 213   :  2c ; 
+ 214   :  2c ; 
+ 215   :  2c ; 
+ 216   :  2c ; 
+ 217   :  2c ; 
+ 218   :  2c ; 
+ 219   :  2c ; 
+ 21a   :  2c ; 
+ 21b   :  2c ; 
+ 21c   :  2c ; 
+ 21d   :  2c ; 
+ 21e   :  2c ; 
+ 21f   :  2c ; 
+ 220   :  2c ; 
+ 221   :  2c ; 
+ 222   :  2c ; 
+ 223   :  2c ; 
+ 224   :  2c ; 
+ 225   :  2c ; 
+ 226   :  2c ; 
+ 227   :  2c ; 
+ 228   :  2c ; 
+ 229   :  2c ; 
+ 22a   :  2c ; 
+ 22b   :  2c ; 
+ 22c   :  2c ; 
+ 22d   :  2c ; 
+ 22e   :  2c ; 
+ 22f   :  2c ; 
+ 230   :  2c ; 
+ 231   :  2c ; 
+ 232   :  2c ; 
+ 233   :  2c ; 
+ 234   :  2c ; 
+ 235   :  2c ; 
+ 236   :  2c ; 
+ 237   :  2c ; 
+ 238   :  2c ; 
+ 239   :  2c ; 
+ 23a   :  2c ; 
+ 23b   :  2c ; 
+ 23c   :  2c ; 
+ 23d   :  2c ; 
+ 23e   :  2c ; 
+ 23f   :  2c ; 
+ 240   :  2c ; 
+ 241   :  2c ; 
+ 242   :  2c ; 
+ 243   :  2c ; 
+ 244   :  2c ; 
+ 245   :  2c ; 
+ 246   :  2c ; 
+ 247   :  2c ; 
+ 248   :  2c ; 
+ 249   :  2c ; 
+ 24a   :  2c ; 
+ 24b   :  2c ; 
+ 24c   :  2c ; 
+ 24d   :  2c ; 
+ 24e   :  2c ; 
+ 24f   :  2c ; 
+ 250   :  2c ; 
+ 251   :  2c ; 
+ 252   :  2c ; 
+ 253   :  2c ; 
+ 254   :  2c ; 
+ 255   :  2c ; 
+ 256   :  2c ; 
+ 257   :  2c ; 
+ 258   :  2c ; 
+ 259   :  2c ; 
+ 25a   :  2c ; 
+ 25b   :  2c ; 
+ 25c   :  2c ; 
+ 25d   :  2c ; 
+ 25e   :  2c ; 
+ 25f   :  2c ; 
+ 260   :  2c ; 
+ 261   :  2c ; 
+ 262   :  2c ; 
+ 263   :  2c ; 
+ 264   :  2c ; 
+ 265   :  2b ; 
+ 266   :  2b ; 
+ 267   :  2b ; 
+ 268   :  2b ; 
+ 269   :  2b ; 
+ 26a   :  2b ; 
+ 26b   :  2b ; 
+ 26c   :  2b ; 
+ 26d   :  2b ; 
+ 26e   :  2b ; 
+ 26f   :  2b ; 
+ 270   :  2b ; 
+ 271   :  2b ; 
+ 272   :  2b ; 
+ 273   :  2b ; 
+ 274   :  2b ; 
+ 275   :  2b ; 
+ 276   :  2b ; 
+ 277   :  2b ; 
+ 278   :  2b ; 
+ 279   :  2b ; 
+ 27a   :  2b ; 
+ 27b   :  2b ; 
+ 27c   :  2b ; 
+ 27d   :  2b ; 
+ 27e   :  2b ; 
+ 27f   :  2b ; 
+ 280   :  2b ; 
+ 281   :  2b ; 
+ 282   :  2b ; 
+ 283   :  2b ; 
+ 284   :  2a ; 
+ 285   :  2a ; 
+ 286   :  2a ; 
+ 287   :  2a ; 
+ 288   :  2a ; 
+ 289   :  2a ; 
+ 28a   :  2a ; 
+ 28b   :  2a ; 
+ 28c   :  2a ; 
+ 28d   :  2a ; 
+ 28e   :  2a ; 
+ 28f   :  2a ; 
+ 290   :  2a ; 
+ 291   :  2a ; 
+ 292   :  2a ; 
+ 293   :  2a ; 
+ 294   :  2a ; 
+ 295   :  2a ; 
+ 296   :  2a ; 
+ 297   :  2a ; 
+ 298   :  2a ; 
+ 299   :  2a ; 
+ 29a   :  2a ; 
+ 29b   :  2a ; 
+ 29c   :  2a ; 
+ 29d   :  29 ; 
+ 29e   :  29 ; 
+ 29f   :  29 ; 
+ 2a0   :  29 ; 
+ 2a1   :  29 ; 
+ 2a2   :  29 ; 
+ 2a3   :  29 ; 
+ 2a4   :  29 ; 
+ 2a5   :  29 ; 
+ 2a6   :  29 ; 
+ 2a7   :  29 ; 
+ 2a8   :  29 ; 
+ 2a9   :  29 ; 
+ 2aa   :  29 ; 
+ 2ab   :  29 ; 
+ 2ac   :  29 ; 
+ 2ad   :  29 ; 
+ 2ae   :  29 ; 
+ 2af   :  29 ; 
+ 2b0   :  29 ; 
+ 2b1   :  29 ; 
+ 2b2   :  29 ; 
+ 2b3   :  28 ; 
+ 2b4   :  28 ; 
+ 2b5   :  28 ; 
+ 2b6   :  28 ; 
+ 2b7   :  28 ; 
+ 2b8   :  28 ; 
+ 2b9   :  28 ; 
+ 2ba   :  28 ; 
+ 2bb   :  28 ; 
+ 2bc   :  28 ; 
+ 2bd   :  28 ; 
+ 2be   :  28 ; 
+ 2bf   :  28 ; 
+ 2c0   :  28 ; 
+ 2c1   :  28 ; 
+ 2c2   :  28 ; 
+ 2c3   :  28 ; 
+ 2c4   :  28 ; 
+ 2c5   :  28 ; 
+ 2c6   :  28 ; 
+ 2c7   :  27 ; 
+ 2c8   :  27 ; 
+ 2c9   :  27 ; 
+ 2ca   :  27 ; 
+ 2cb   :  27 ; 
+ 2cc   :  27 ; 
+ 2cd   :  27 ; 
+ 2ce   :  27 ; 
+ 2cf   :  27 ; 
+ 2d0   :  27 ; 
+ 2d1   :  27 ; 
+ 2d2   :  27 ; 
+ 2d3   :  27 ; 
+ 2d4   :  27 ; 
+ 2d5   :  27 ; 
+ 2d6   :  27 ; 
+ 2d7   :  27 ; 
+ 2d8   :  27 ; 
+ 2d9   :  27 ; 
+ 2da   :  26 ; 
+ 2db   :  26 ; 
+ 2dc   :  26 ; 
+ 2dd   :  26 ; 
+ 2de   :  26 ; 
+ 2df   :  26 ; 
+ 2e0   :  26 ; 
+ 2e1   :  26 ; 
+ 2e2   :  26 ; 
+ 2e3   :  26 ; 
+ 2e4   :  26 ; 
+ 2e5   :  26 ; 
+ 2e6   :  26 ; 
+ 2e7   :  26 ; 
+ 2e8   :  26 ; 
+ 2e9   :  26 ; 
+ 2ea   :  26 ; 
+ 2eb   :  25 ; 
+ 2ec   :  25 ; 
+ 2ed   :  25 ; 
+ 2ee   :  25 ; 
+ 2ef   :  25 ; 
+ 2f0   :  25 ; 
+ 2f1   :  25 ; 
+ 2f2   :  25 ; 
+ 2f3   :  25 ; 
+ 2f4   :  25 ; 
+ 2f5   :  25 ; 
+ 2f6   :  25 ; 
+ 2f7   :  25 ; 
+ 2f8   :  25 ; 
+ 2f9   :  25 ; 
+ 2fa   :  25 ; 
+ 2fb   :  25 ; 
+ 2fc   :  24 ; 
+ 2fd   :  24 ; 
+ 2fe   :  24 ; 
+ 2ff   :  24 ; 
+ 300   :  24 ; 
+ 301   :  24 ; 
+ 302   :  24 ; 
+ 303   :  24 ; 
+ 304   :  24 ; 
+ 305   :  24 ; 
+ 306   :  24 ; 
+ 307   :  24 ; 
+ 308   :  24 ; 
+ 309   :  24 ; 
+ 30a   :  24 ; 
+ 30b   :  24 ; 
+ 30c   :  23 ; 
+ 30d   :  23 ; 
+ 30e   :  23 ; 
+ 30f   :  23 ; 
+ 310   :  23 ; 
+ 311   :  23 ; 
+ 312   :  23 ; 
+ 313   :  23 ; 
+ 314   :  23 ; 
+ 315   :  23 ; 
+ 316   :  23 ; 
+ 317   :  23 ; 
+ 318   :  23 ; 
+ 319   :  23 ; 
+ 31a   :  23 ; 
+ 31b   :  23 ; 
+ 31c   :  22 ; 
+ 31d   :  22 ; 
+ 31e   :  22 ; 
+ 31f   :  22 ; 
+ 320   :  22 ; 
+ 321   :  22 ; 
+ 322   :  22 ; 
+ 323   :  22 ; 
+ 324   :  22 ; 
+ 325   :  22 ; 
+ 326   :  22 ; 
+ 327   :  22 ; 
+ 328   :  22 ; 
+ 329   :  22 ; 
+ 32a   :  22 ; 
+ 32b   :  22 ; 
+ 32c   :  21 ; 
+ 32d   :  21 ; 
+ 32e   :  21 ; 
+ 32f   :  21 ; 
+ 330   :  21 ; 
+ 331   :  21 ; 
+ 332   :  21 ; 
+ 333   :  21 ; 
+ 334   :  21 ; 
+ 335   :  21 ; 
+ 336   :  21 ; 
+ 337   :  21 ; 
+ 338   :  21 ; 
+ 339   :  21 ; 
+ 33a   :  21 ; 
+ 33b   :  20 ; 
+ 33c   :  20 ; 
+ 33d   :  20 ; 
+ 33e   :  20 ; 
+ 33f   :  20 ; 
+ 340   :  20 ; 
+ 341   :  20 ; 
+ 342   :  20 ; 
+ 343   :  20 ; 
+ 344   :  20 ; 
+ 345   :  20 ; 
+ 346   :  20 ; 
+ 347   :  20 ; 
+ 348   :  20 ; 
+ 349   :  20 ; 
+ 34a   :  1f ; 
+ 34b   :  1f ; 
+ 34c   :  1f ; 
+ 34d   :  1f ; 
+ 34e   :  1f ; 
+ 34f   :  1f ; 
+ 350   :  1f ; 
+ 351   :  1f ; 
+ 352   :  1f ; 
+ 353   :  1f ; 
+ 354   :  1f ; 
+ 355   :  1f ; 
+ 356   :  1f ; 
+ 357   :  1f ; 
+ 358   :  1f ; 
+ 359   :  1e ; 
+ 35a   :  1e ; 
+ 35b   :  1e ; 
+ 35c   :  1e ; 
+ 35d   :  1e ; 
+ 35e   :  1e ; 
+ 35f   :  1e ; 
+ 360   :  1e ; 
+ 361   :  1e ; 
+ 362   :  1e ; 
+ 363   :  1e ; 
+ 364   :  1e ; 
+ 365   :  1e ; 
+ 366   :  1e ; 
+ 367   :  1e ; 
+ 368   :  1d ; 
+ 369   :  1d ; 
+ 36a   :  1d ; 
+ 36b   :  1d ; 
+ 36c   :  1d ; 
+ 36d   :  1d ; 
+ 36e   :  1d ; 
+ 36f   :  1d ; 
+ 370   :  1d ; 
+ 371   :  1d ; 
+ 372   :  1d ; 
+ 373   :  1d ; 
+ 374   :  1d ; 
+ 375   :  1d ; 
+ 376   :  1d ; 
+ 377   :  1c ; 
+ 378   :  1c ; 
+ 379   :  1c ; 
+ 37a   :  1c ; 
+ 37b   :  1c ; 
+ 37c   :  1c ; 
+ 37d   :  1c ; 
+ 37e   :  1c ; 
+ 37f   :  1c ; 
+ 380   :  1c ; 
+ 381   :  1c ; 
+ 382   :  1c ; 
+ 383   :  1c ; 
+ 384   :  1c ; 
+ 385   :  1b ; 
+ 386   :  1b ; 
+ 387   :  1b ; 
+ 388   :  1b ; 
+ 389   :  1b ; 
+ 38a   :  1b ; 
+ 38b   :  1b ; 
+ 38c   :  1b ; 
+ 38d   :  1b ; 
+ 38e   :  1b ; 
+ 38f   :  1b ; 
+ 390   :  1b ; 
+ 391   :  1b ; 
+ 392   :  1b ; 
+ 393   :  1b ; 
+ 394   :  1a ; 
+ 395   :  1a ; 
+ 396   :  1a ; 
+ 397   :  1a ; 
+ 398   :  1a ; 
+ 399   :  1a ; 
+ 39a   :  1a ; 
+ 39b   :  1a ; 
+ 39c   :  1a ; 
+ 39d   :  1a ; 
+ 39e   :  1a ; 
+ 39f   :  1a ; 
+ 3a0   :  1a ; 
+ 3a1   :  1a ; 
+ 3a2   :  1a ; 
+ 3a3   :  19 ; 
+ 3a4   :  19 ; 
+ 3a5   :  19 ; 
+ 3a6   :  19 ; 
+ 3a7   :  19 ; 
+ 3a8   :  19 ; 
+ 3a9   :  19 ; 
+ 3aa   :  19 ; 
+ 3ab   :  19 ; 
+ 3ac   :  19 ; 
+ 3ad   :  19 ; 
+ 3ae   :  19 ; 
+ 3af   :  19 ; 
+ 3b0   :  19 ; 
+ 3b1   :  19 ; 
+ 3b2   :  18 ; 
+ 3b3   :  18 ; 
+ 3b4   :  18 ; 
+ 3b5   :  18 ; 
+ 3b6   :  18 ; 
+ 3b7   :  18 ; 
+ 3b8   :  18 ; 
+ 3b9   :  18 ; 
+ 3ba   :  18 ; 
+ 3bb   :  18 ; 
+ 3bc   :  18 ; 
+ 3bd   :  18 ; 
+ 3be   :  18 ; 
+ 3bf   :  18 ; 
+ 3c0   :  18 ; 
+ 3c1   :  17 ; 
+ 3c2   :  17 ; 
+ 3c3   :  17 ; 
+ 3c4   :  17 ; 
+ 3c5   :  17 ; 
+ 3c6   :  17 ; 
+ 3c7   :  17 ; 
+ 3c8   :  17 ; 
+ 3c9   :  17 ; 
+ 3ca   :  17 ; 
+ 3cb   :  17 ; 
+ 3cc   :  17 ; 
+ 3cd   :  17 ; 
+ 3ce   :  17 ; 
+ 3cf   :  17 ; 
+ 3d0   :  16 ; 
+ 3d1   :  16 ; 
+ 3d2   :  16 ; 
+ 3d3   :  16 ; 
+ 3d4   :  16 ; 
+ 3d5   :  16 ; 
+ 3d6   :  16 ; 
+ 3d7   :  16 ; 
+ 3d8   :  16 ; 
+ 3d9   :  16 ; 
+ 3da   :  16 ; 
+ 3db   :  16 ; 
+ 3dc   :  16 ; 
+ 3dd   :  16 ; 
+ 3de   :  16 ; 
+ 3df   :  16 ; 
+ 3e0   :  15 ; 
+ 3e1   :  15 ; 
+ 3e2   :  15 ; 
+ 3e3   :  15 ; 
+ 3e4   :  15 ; 
+ 3e5   :  15 ; 
+ 3e6   :  15 ; 
+ 3e7   :  15 ; 
+ 3e8   :  15 ; 
+ 3e9   :  15 ; 
+ 3ea   :  15 ; 
+ 3eb   :  15 ; 
+ 3ec   :  15 ; 
+ 3ed   :  15 ; 
+ 3ee   :  15 ; 
+ 3ef   :  15 ; 
+ 3f0   :  14 ; 
+ 3f1   :  14 ; 
+ 3f2   :  14 ; 
+ 3f3   :  14 ; 
+ 3f4   :  14 ; 
+ 3f5   :  14 ; 
+ 3f6   :  14 ; 
+ 3f7   :  14 ; 
+ 3f8   :  14 ; 
+ 3f9   :  14 ; 
+ 3fa   :  14 ; 
+ 3fb   :  14 ; 
+ 3fc   :  14 ; 
+ 3fd   :  14 ; 
+ 3fe   :  14 ; 
+ 3ff   :  14 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_10.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_10.mif
new file mode 100644
index 0000000000000000000000000000000000000000..bea45867565063964b736b1d99b83fc96cfbf72c
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_10.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  ff74 ; 
+ 1   :  ff7a ; 
+ 2   :  ff80 ; 
+ 3   :  ff86 ; 
+ 4   :  ff8b ; 
+ 5   :  ff91 ; 
+ 6   :  ff97 ; 
+ 7   :  ff9d ; 
+ 8   :  ffa3 ; 
+ 9   :  ffa9 ; 
+ a   :  ffaf ; 
+ b   :  ffb5 ; 
+ c   :  ffbb ; 
+ d   :  ffc0 ; 
+ e   :  ffc6 ; 
+ f   :  ffcc ; 
+ 10   :  ffd2 ; 
+ 11   :  ffd8 ; 
+ 12   :  ffde ; 
+ 13   :  ffe4 ; 
+ 14   :  ffea ; 
+ 15   :  fff0 ; 
+ 16   :  fff6 ; 
+ 17   :  fffc ; 
+ 18   :  2 ; 
+ 19   :  8 ; 
+ 1a   :  e ; 
+ 1b   :  14 ; 
+ 1c   :  1a ; 
+ 1d   :  21 ; 
+ 1e   :  27 ; 
+ 1f   :  2d ; 
+ 20   :  33 ; 
+ 21   :  39 ; 
+ 22   :  3f ; 
+ 23   :  45 ; 
+ 24   :  4b ; 
+ 25   :  51 ; 
+ 26   :  57 ; 
+ 27   :  5e ; 
+ 28   :  64 ; 
+ 29   :  6a ; 
+ 2a   :  70 ; 
+ 2b   :  76 ; 
+ 2c   :  7c ; 
+ 2d   :  83 ; 
+ 2e   :  89 ; 
+ 2f   :  8f ; 
+ 30   :  95 ; 
+ 31   :  9c ; 
+ 32   :  a2 ; 
+ 33   :  a8 ; 
+ 34   :  ae ; 
+ 35   :  b4 ; 
+ 36   :  bb ; 
+ 37   :  c1 ; 
+ 38   :  c7 ; 
+ 39   :  ce ; 
+ 3a   :  d4 ; 
+ 3b   :  da ; 
+ 3c   :  e0 ; 
+ 3d   :  e7 ; 
+ 3e   :  ed ; 
+ 3f   :  f3 ; 
+ 40   :  fa ; 
+ 41   :  100 ; 
+ 42   :  106 ; 
+ 43   :  10d ; 
+ 44   :  113 ; 
+ 45   :  119 ; 
+ 46   :  120 ; 
+ 47   :  126 ; 
+ 48   :  12d ; 
+ 49   :  133 ; 
+ 4a   :  139 ; 
+ 4b   :  140 ; 
+ 4c   :  146 ; 
+ 4d   :  14d ; 
+ 4e   :  153 ; 
+ 4f   :  159 ; 
+ 50   :  160 ; 
+ 51   :  166 ; 
+ 52   :  16d ; 
+ 53   :  173 ; 
+ 54   :  17a ; 
+ 55   :  180 ; 
+ 56   :  186 ; 
+ 57   :  18d ; 
+ 58   :  193 ; 
+ 59   :  19a ; 
+ 5a   :  1a0 ; 
+ 5b   :  1a7 ; 
+ 5c   :  1ad ; 
+ 5d   :  1b4 ; 
+ 5e   :  1ba ; 
+ 5f   :  1c1 ; 
+ 60   :  1c7 ; 
+ 61   :  1ce ; 
+ 62   :  1d4 ; 
+ 63   :  1db ; 
+ 64   :  1e1 ; 
+ 65   :  1e8 ; 
+ 66   :  1ee ; 
+ 67   :  1f5 ; 
+ 68   :  1fc ; 
+ 69   :  202 ; 
+ 6a   :  209 ; 
+ 6b   :  20f ; 
+ 6c   :  216 ; 
+ 6d   :  21c ; 
+ 6e   :  223 ; 
+ 6f   :  229 ; 
+ 70   :  230 ; 
+ 71   :  237 ; 
+ 72   :  23d ; 
+ 73   :  244 ; 
+ 74   :  24a ; 
+ 75   :  251 ; 
+ 76   :  258 ; 
+ 77   :  25e ; 
+ 78   :  265 ; 
+ 79   :  26b ; 
+ 7a   :  272 ; 
+ 7b   :  279 ; 
+ 7c   :  27f ; 
+ 7d   :  286 ; 
+ 7e   :  28c ; 
+ 7f   :  293 ; 
+ 80   :  29a ; 
+ 81   :  2a0 ; 
+ 82   :  2a7 ; 
+ 83   :  2ae ; 
+ 84   :  2b4 ; 
+ 85   :  2bb ; 
+ 86   :  2c2 ; 
+ 87   :  2c8 ; 
+ 88   :  2cf ; 
+ 89   :  2d5 ; 
+ 8a   :  2dc ; 
+ 8b   :  2e3 ; 
+ 8c   :  2e9 ; 
+ 8d   :  2f0 ; 
+ 8e   :  2f7 ; 
+ 8f   :  2fd ; 
+ 90   :  304 ; 
+ 91   :  30b ; 
+ 92   :  311 ; 
+ 93   :  318 ; 
+ 94   :  31f ; 
+ 95   :  325 ; 
+ 96   :  32c ; 
+ 97   :  333 ; 
+ 98   :  339 ; 
+ 99   :  340 ; 
+ 9a   :  347 ; 
+ 9b   :  34d ; 
+ 9c   :  354 ; 
+ 9d   :  35b ; 
+ 9e   :  362 ; 
+ 9f   :  368 ; 
+ a0   :  36f ; 
+ a1   :  376 ; 
+ a2   :  37c ; 
+ a3   :  383 ; 
+ a4   :  38a ; 
+ a5   :  390 ; 
+ a6   :  397 ; 
+ a7   :  39e ; 
+ a8   :  3a4 ; 
+ a9   :  3ab ; 
+ aa   :  3b2 ; 
+ ab   :  3b8 ; 
+ ac   :  3bf ; 
+ ad   :  3c6 ; 
+ ae   :  3cc ; 
+ af   :  3d3 ; 
+ b0   :  3da ; 
+ b1   :  3e1 ; 
+ b2   :  3e7 ; 
+ b3   :  3ee ; 
+ b4   :  3f5 ; 
+ b5   :  3fb ; 
+ b6   :  402 ; 
+ b7   :  409 ; 
+ b8   :  40f ; 
+ b9   :  416 ; 
+ ba   :  41d ; 
+ bb   :  423 ; 
+ bc   :  42a ; 
+ bd   :  431 ; 
+ be   :  437 ; 
+ bf   :  43e ; 
+ c0   :  445 ; 
+ c1   :  44b ; 
+ c2   :  452 ; 
+ c3   :  459 ; 
+ c4   :  45f ; 
+ c5   :  466 ; 
+ c6   :  46d ; 
+ c7   :  473 ; 
+ c8   :  47a ; 
+ c9   :  481 ; 
+ ca   :  487 ; 
+ cb   :  48e ; 
+ cc   :  495 ; 
+ cd   :  49b ; 
+ ce   :  4a2 ; 
+ cf   :  4a9 ; 
+ d0   :  4af ; 
+ d1   :  4b6 ; 
+ d2   :  4bd ; 
+ d3   :  4c3 ; 
+ d4   :  4ca ; 
+ d5   :  4d0 ; 
+ d6   :  4d7 ; 
+ d7   :  4de ; 
+ d8   :  4e4 ; 
+ d9   :  4eb ; 
+ da   :  4f2 ; 
+ db   :  4f8 ; 
+ dc   :  4ff ; 
+ dd   :  505 ; 
+ de   :  50c ; 
+ df   :  513 ; 
+ e0   :  519 ; 
+ e1   :  520 ; 
+ e2   :  526 ; 
+ e3   :  52d ; 
+ e4   :  533 ; 
+ e5   :  53a ; 
+ e6   :  541 ; 
+ e7   :  547 ; 
+ e8   :  54e ; 
+ e9   :  554 ; 
+ ea   :  55b ; 
+ eb   :  561 ; 
+ ec   :  568 ; 
+ ed   :  56e ; 
+ ee   :  575 ; 
+ ef   :  57c ; 
+ f0   :  582 ; 
+ f1   :  589 ; 
+ f2   :  58f ; 
+ f3   :  596 ; 
+ f4   :  59c ; 
+ f5   :  5a3 ; 
+ f6   :  5a9 ; 
+ f7   :  5b0 ; 
+ f8   :  5b6 ; 
+ f9   :  5bd ; 
+ fa   :  5c3 ; 
+ fb   :  5ca ; 
+ fc   :  5d0 ; 
+ fd   :  5d6 ; 
+ fe   :  5dd ; 
+ ff   :  5e3 ; 
+ 100   :  5ea ; 
+ 101   :  5f0 ; 
+ 102   :  5f7 ; 
+ 103   :  5fd ; 
+ 104   :  603 ; 
+ 105   :  60a ; 
+ 106   :  610 ; 
+ 107   :  617 ; 
+ 108   :  61d ; 
+ 109   :  623 ; 
+ 10a   :  62a ; 
+ 10b   :  630 ; 
+ 10c   :  637 ; 
+ 10d   :  63d ; 
+ 10e   :  643 ; 
+ 10f   :  64a ; 
+ 110   :  650 ; 
+ 111   :  656 ; 
+ 112   :  65d ; 
+ 113   :  663 ; 
+ 114   :  669 ; 
+ 115   :  66f ; 
+ 116   :  676 ; 
+ 117   :  67c ; 
+ 118   :  682 ; 
+ 119   :  689 ; 
+ 11a   :  68f ; 
+ 11b   :  695 ; 
+ 11c   :  69b ; 
+ 11d   :  6a2 ; 
+ 11e   :  6a8 ; 
+ 11f   :  6ae ; 
+ 120   :  6b4 ; 
+ 121   :  6ba ; 
+ 122   :  6c1 ; 
+ 123   :  6c7 ; 
+ 124   :  6cd ; 
+ 125   :  6d3 ; 
+ 126   :  6d9 ; 
+ 127   :  6df ; 
+ 128   :  6e6 ; 
+ 129   :  6ec ; 
+ 12a   :  6f2 ; 
+ 12b   :  6f8 ; 
+ 12c   :  6fe ; 
+ 12d   :  704 ; 
+ 12e   :  70a ; 
+ 12f   :  710 ; 
+ 130   :  716 ; 
+ 131   :  71c ; 
+ 132   :  722 ; 
+ 133   :  728 ; 
+ 134   :  72f ; 
+ 135   :  735 ; 
+ 136   :  73b ; 
+ 137   :  741 ; 
+ 138   :  747 ; 
+ 139   :  74d ; 
+ 13a   :  752 ; 
+ 13b   :  758 ; 
+ 13c   :  75e ; 
+ 13d   :  764 ; 
+ 13e   :  76a ; 
+ 13f   :  770 ; 
+ 140   :  776 ; 
+ 141   :  77c ; 
+ 142   :  782 ; 
+ 143   :  788 ; 
+ 144   :  78e ; 
+ 145   :  793 ; 
+ 146   :  799 ; 
+ 147   :  79f ; 
+ 148   :  7a5 ; 
+ 149   :  7ab ; 
+ 14a   :  7b1 ; 
+ 14b   :  7b6 ; 
+ 14c   :  7bc ; 
+ 14d   :  7c2 ; 
+ 14e   :  7c8 ; 
+ 14f   :  7cd ; 
+ 150   :  7d3 ; 
+ 151   :  7d9 ; 
+ 152   :  7de ; 
+ 153   :  7e4 ; 
+ 154   :  7ea ; 
+ 155   :  7ef ; 
+ 156   :  7f5 ; 
+ 157   :  7fb ; 
+ 158   :  800 ; 
+ 159   :  806 ; 
+ 15a   :  80c ; 
+ 15b   :  811 ; 
+ 15c   :  817 ; 
+ 15d   :  81c ; 
+ 15e   :  822 ; 
+ 15f   :  827 ; 
+ 160   :  82d ; 
+ 161   :  832 ; 
+ 162   :  838 ; 
+ 163   :  83d ; 
+ 164   :  843 ; 
+ 165   :  848 ; 
+ 166   :  84e ; 
+ 167   :  853 ; 
+ 168   :  859 ; 
+ 169   :  85e ; 
+ 16a   :  863 ; 
+ 16b   :  869 ; 
+ 16c   :  86e ; 
+ 16d   :  874 ; 
+ 16e   :  879 ; 
+ 16f   :  87e ; 
+ 170   :  884 ; 
+ 171   :  889 ; 
+ 172   :  88e ; 
+ 173   :  893 ; 
+ 174   :  899 ; 
+ 175   :  89e ; 
+ 176   :  8a3 ; 
+ 177   :  8a8 ; 
+ 178   :  8ad ; 
+ 179   :  8b3 ; 
+ 17a   :  8b8 ; 
+ 17b   :  8bd ; 
+ 17c   :  8c2 ; 
+ 17d   :  8c7 ; 
+ 17e   :  8cc ; 
+ 17f   :  8d1 ; 
+ 180   :  8d6 ; 
+ 181   :  8dc ; 
+ 182   :  8e1 ; 
+ 183   :  8e6 ; 
+ 184   :  8eb ; 
+ 185   :  8f0 ; 
+ 186   :  8f5 ; 
+ 187   :  8fa ; 
+ 188   :  8fe ; 
+ 189   :  903 ; 
+ 18a   :  908 ; 
+ 18b   :  90d ; 
+ 18c   :  912 ; 
+ 18d   :  917 ; 
+ 18e   :  91c ; 
+ 18f   :  921 ; 
+ 190   :  925 ; 
+ 191   :  92a ; 
+ 192   :  92f ; 
+ 193   :  934 ; 
+ 194   :  939 ; 
+ 195   :  93d ; 
+ 196   :  942 ; 
+ 197   :  947 ; 
+ 198   :  94b ; 
+ 199   :  950 ; 
+ 19a   :  955 ; 
+ 19b   :  959 ; 
+ 19c   :  95e ; 
+ 19d   :  962 ; 
+ 19e   :  967 ; 
+ 19f   :  96c ; 
+ 1a0   :  970 ; 
+ 1a1   :  975 ; 
+ 1a2   :  979 ; 
+ 1a3   :  97e ; 
+ 1a4   :  982 ; 
+ 1a5   :  987 ; 
+ 1a6   :  98b ; 
+ 1a7   :  98f ; 
+ 1a8   :  994 ; 
+ 1a9   :  998 ; 
+ 1aa   :  99d ; 
+ 1ab   :  9a1 ; 
+ 1ac   :  9a5 ; 
+ 1ad   :  9aa ; 
+ 1ae   :  9ae ; 
+ 1af   :  9b2 ; 
+ 1b0   :  9b6 ; 
+ 1b1   :  9bb ; 
+ 1b2   :  9bf ; 
+ 1b3   :  9c3 ; 
+ 1b4   :  9c7 ; 
+ 1b5   :  9cb ; 
+ 1b6   :  9cf ; 
+ 1b7   :  9d4 ; 
+ 1b8   :  9d8 ; 
+ 1b9   :  9dc ; 
+ 1ba   :  9e0 ; 
+ 1bb   :  9e4 ; 
+ 1bc   :  9e8 ; 
+ 1bd   :  9ec ; 
+ 1be   :  9f0 ; 
+ 1bf   :  9f4 ; 
+ 1c0   :  9f8 ; 
+ 1c1   :  9fc ; 
+ 1c2   :  a00 ; 
+ 1c3   :  a03 ; 
+ 1c4   :  a07 ; 
+ 1c5   :  a0b ; 
+ 1c6   :  a0f ; 
+ 1c7   :  a13 ; 
+ 1c8   :  a16 ; 
+ 1c9   :  a1a ; 
+ 1ca   :  a1e ; 
+ 1cb   :  a22 ; 
+ 1cc   :  a25 ; 
+ 1cd   :  a29 ; 
+ 1ce   :  a2d ; 
+ 1cf   :  a30 ; 
+ 1d0   :  a34 ; 
+ 1d1   :  a38 ; 
+ 1d2   :  a3b ; 
+ 1d3   :  a3f ; 
+ 1d4   :  a42 ; 
+ 1d5   :  a46 ; 
+ 1d6   :  a49 ; 
+ 1d7   :  a4d ; 
+ 1d8   :  a50 ; 
+ 1d9   :  a54 ; 
+ 1da   :  a57 ; 
+ 1db   :  a5a ; 
+ 1dc   :  a5e ; 
+ 1dd   :  a61 ; 
+ 1de   :  a64 ; 
+ 1df   :  a68 ; 
+ 1e0   :  a6b ; 
+ 1e1   :  a6e ; 
+ 1e2   :  a71 ; 
+ 1e3   :  a75 ; 
+ 1e4   :  a78 ; 
+ 1e5   :  a7b ; 
+ 1e6   :  a7e ; 
+ 1e7   :  a81 ; 
+ 1e8   :  a84 ; 
+ 1e9   :  a87 ; 
+ 1ea   :  a8a ; 
+ 1eb   :  a8d ; 
+ 1ec   :  a90 ; 
+ 1ed   :  a93 ; 
+ 1ee   :  a96 ; 
+ 1ef   :  a99 ; 
+ 1f0   :  a9c ; 
+ 1f1   :  a9f ; 
+ 1f2   :  aa2 ; 
+ 1f3   :  aa5 ; 
+ 1f4   :  aa8 ; 
+ 1f5   :  aaa ; 
+ 1f6   :  aad ; 
+ 1f7   :  ab0 ; 
+ 1f8   :  ab3 ; 
+ 1f9   :  ab5 ; 
+ 1fa   :  ab8 ; 
+ 1fb   :  abb ; 
+ 1fc   :  abd ; 
+ 1fd   :  ac0 ; 
+ 1fe   :  ac2 ; 
+ 1ff   :  ac5 ; 
+ 200   :  ac8 ; 
+ 201   :  aca ; 
+ 202   :  acd ; 
+ 203   :  acf ; 
+ 204   :  ad1 ; 
+ 205   :  ad4 ; 
+ 206   :  ad6 ; 
+ 207   :  ad9 ; 
+ 208   :  adb ; 
+ 209   :  add ; 
+ 20a   :  ae0 ; 
+ 20b   :  ae2 ; 
+ 20c   :  ae4 ; 
+ 20d   :  ae6 ; 
+ 20e   :  ae8 ; 
+ 20f   :  aeb ; 
+ 210   :  aed ; 
+ 211   :  aef ; 
+ 212   :  af1 ; 
+ 213   :  af3 ; 
+ 214   :  af5 ; 
+ 215   :  af7 ; 
+ 216   :  af9 ; 
+ 217   :  afb ; 
+ 218   :  afd ; 
+ 219   :  aff ; 
+ 21a   :  b01 ; 
+ 21b   :  b03 ; 
+ 21c   :  b04 ; 
+ 21d   :  b06 ; 
+ 21e   :  b08 ; 
+ 21f   :  b0a ; 
+ 220   :  b0c ; 
+ 221   :  b0d ; 
+ 222   :  b0f ; 
+ 223   :  b11 ; 
+ 224   :  b12 ; 
+ 225   :  b14 ; 
+ 226   :  b15 ; 
+ 227   :  b17 ; 
+ 228   :  b19 ; 
+ 229   :  b1a ; 
+ 22a   :  b1c ; 
+ 22b   :  b1d ; 
+ 22c   :  b1e ; 
+ 22d   :  b20 ; 
+ 22e   :  b21 ; 
+ 22f   :  b23 ; 
+ 230   :  b24 ; 
+ 231   :  b25 ; 
+ 232   :  b26 ; 
+ 233   :  b28 ; 
+ 234   :  b29 ; 
+ 235   :  b2a ; 
+ 236   :  b2b ; 
+ 237   :  b2c ; 
+ 238   :  b2d ; 
+ 239   :  b2f ; 
+ 23a   :  b30 ; 
+ 23b   :  b31 ; 
+ 23c   :  b32 ; 
+ 23d   :  b33 ; 
+ 23e   :  b33 ; 
+ 23f   :  b34 ; 
+ 240   :  b35 ; 
+ 241   :  b36 ; 
+ 242   :  b37 ; 
+ 243   :  b38 ; 
+ 244   :  b39 ; 
+ 245   :  b39 ; 
+ 246   :  b3a ; 
+ 247   :  b3b ; 
+ 248   :  b3b ; 
+ 249   :  b3c ; 
+ 24a   :  b3d ; 
+ 24b   :  b3d ; 
+ 24c   :  b3e ; 
+ 24d   :  b3e ; 
+ 24e   :  b3f ; 
+ 24f   :  b3f ; 
+ 250   :  b40 ; 
+ 251   :  b40 ; 
+ 252   :  b41 ; 
+ 253   :  b41 ; 
+ 254   :  b41 ; 
+ 255   :  b41 ; 
+ 256   :  b42 ; 
+ 257   :  b42 ; 
+ 258   :  b42 ; 
+ 259   :  b42 ; 
+ 25a   :  b43 ; 
+ 25b   :  b43 ; 
+ 25c   :  b43 ; 
+ 25d   :  b43 ; 
+ 25e   :  b43 ; 
+ 25f   :  b43 ; 
+ 260   :  b43 ; 
+ 261   :  b43 ; 
+ 262   :  b43 ; 
+ 263   :  b43 ; 
+ 264   :  b43 ; 
+ 265   :  b42 ; 
+ 266   :  b42 ; 
+ 267   :  b42 ; 
+ 268   :  b42 ; 
+ 269   :  b41 ; 
+ 26a   :  b41 ; 
+ 26b   :  b41 ; 
+ 26c   :  b40 ; 
+ 26d   :  b40 ; 
+ 26e   :  b40 ; 
+ 26f   :  b3f ; 
+ 270   :  b3f ; 
+ 271   :  b3e ; 
+ 272   :  b3e ; 
+ 273   :  b3d ; 
+ 274   :  b3d ; 
+ 275   :  b3c ; 
+ 276   :  b3b ; 
+ 277   :  b3b ; 
+ 278   :  b3a ; 
+ 279   :  b39 ; 
+ 27a   :  b38 ; 
+ 27b   :  b38 ; 
+ 27c   :  b37 ; 
+ 27d   :  b36 ; 
+ 27e   :  b35 ; 
+ 27f   :  b34 ; 
+ 280   :  b33 ; 
+ 281   :  b32 ; 
+ 282   :  b31 ; 
+ 283   :  b30 ; 
+ 284   :  b2f ; 
+ 285   :  b2e ; 
+ 286   :  b2d ; 
+ 287   :  b2b ; 
+ 288   :  b2a ; 
+ 289   :  b29 ; 
+ 28a   :  b28 ; 
+ 28b   :  b27 ; 
+ 28c   :  b25 ; 
+ 28d   :  b24 ; 
+ 28e   :  b22 ; 
+ 28f   :  b21 ; 
+ 290   :  b20 ; 
+ 291   :  b1e ; 
+ 292   :  b1d ; 
+ 293   :  b1b ; 
+ 294   :  b1a ; 
+ 295   :  b18 ; 
+ 296   :  b16 ; 
+ 297   :  b15 ; 
+ 298   :  b13 ; 
+ 299   :  b11 ; 
+ 29a   :  b10 ; 
+ 29b   :  b0e ; 
+ 29c   :  b0c ; 
+ 29d   :  b0a ; 
+ 29e   :  b08 ; 
+ 29f   :  b06 ; 
+ 2a0   :  b04 ; 
+ 2a1   :  b02 ; 
+ 2a2   :  b00 ; 
+ 2a3   :  afe ; 
+ 2a4   :  afc ; 
+ 2a5   :  afa ; 
+ 2a6   :  af8 ; 
+ 2a7   :  af6 ; 
+ 2a8   :  af4 ; 
+ 2a9   :  af2 ; 
+ 2aa   :  aef ; 
+ 2ab   :  aed ; 
+ 2ac   :  aeb ; 
+ 2ad   :  ae8 ; 
+ 2ae   :  ae6 ; 
+ 2af   :  ae4 ; 
+ 2b0   :  ae1 ; 
+ 2b1   :  adf ; 
+ 2b2   :  adc ; 
+ 2b3   :  ada ; 
+ 2b4   :  ad7 ; 
+ 2b5   :  ad5 ; 
+ 2b6   :  ad2 ; 
+ 2b7   :  acf ; 
+ 2b8   :  acd ; 
+ 2b9   :  aca ; 
+ 2ba   :  ac7 ; 
+ 2bb   :  ac4 ; 
+ 2bc   :  ac2 ; 
+ 2bd   :  abf ; 
+ 2be   :  abc ; 
+ 2bf   :  ab9 ; 
+ 2c0   :  ab6 ; 
+ 2c1   :  ab3 ; 
+ 2c2   :  ab0 ; 
+ 2c3   :  aad ; 
+ 2c4   :  aaa ; 
+ 2c5   :  aa7 ; 
+ 2c6   :  aa4 ; 
+ 2c7   :  aa1 ; 
+ 2c8   :  a9e ; 
+ 2c9   :  a9a ; 
+ 2ca   :  a97 ; 
+ 2cb   :  a94 ; 
+ 2cc   :  a90 ; 
+ 2cd   :  a8d ; 
+ 2ce   :  a8a ; 
+ 2cf   :  a86 ; 
+ 2d0   :  a83 ; 
+ 2d1   :  a7f ; 
+ 2d2   :  a7c ; 
+ 2d3   :  a78 ; 
+ 2d4   :  a75 ; 
+ 2d5   :  a71 ; 
+ 2d6   :  a6e ; 
+ 2d7   :  a6a ; 
+ 2d8   :  a66 ; 
+ 2d9   :  a63 ; 
+ 2da   :  a5f ; 
+ 2db   :  a5b ; 
+ 2dc   :  a57 ; 
+ 2dd   :  a53 ; 
+ 2de   :  a4f ; 
+ 2df   :  a4b ; 
+ 2e0   :  a48 ; 
+ 2e1   :  a44 ; 
+ 2e2   :  a40 ; 
+ 2e3   :  a3b ; 
+ 2e4   :  a37 ; 
+ 2e5   :  a33 ; 
+ 2e6   :  a2f ; 
+ 2e7   :  a2b ; 
+ 2e8   :  a27 ; 
+ 2e9   :  a23 ; 
+ 2ea   :  a1e ; 
+ 2eb   :  a1a ; 
+ 2ec   :  a16 ; 
+ 2ed   :  a11 ; 
+ 2ee   :  a0d ; 
+ 2ef   :  a08 ; 
+ 2f0   :  a04 ; 
+ 2f1   :  9ff ; 
+ 2f2   :  9fb ; 
+ 2f3   :  9f6 ; 
+ 2f4   :  9f2 ; 
+ 2f5   :  9ed ; 
+ 2f6   :  9e8 ; 
+ 2f7   :  9e4 ; 
+ 2f8   :  9df ; 
+ 2f9   :  9da ; 
+ 2fa   :  9d6 ; 
+ 2fb   :  9d1 ; 
+ 2fc   :  9cc ; 
+ 2fd   :  9c7 ; 
+ 2fe   :  9c2 ; 
+ 2ff   :  9bd ; 
+ 300   :  9b8 ; 
+ 301   :  9b3 ; 
+ 302   :  9ae ; 
+ 303   :  9a9 ; 
+ 304   :  9a4 ; 
+ 305   :  99f ; 
+ 306   :  99a ; 
+ 307   :  994 ; 
+ 308   :  98f ; 
+ 309   :  98a ; 
+ 30a   :  985 ; 
+ 30b   :  97f ; 
+ 30c   :  97a ; 
+ 30d   :  975 ; 
+ 30e   :  96f ; 
+ 30f   :  96a ; 
+ 310   :  964 ; 
+ 311   :  95f ; 
+ 312   :  959 ; 
+ 313   :  954 ; 
+ 314   :  94e ; 
+ 315   :  948 ; 
+ 316   :  943 ; 
+ 317   :  93d ; 
+ 318   :  937 ; 
+ 319   :  931 ; 
+ 31a   :  92c ; 
+ 31b   :  926 ; 
+ 31c   :  920 ; 
+ 31d   :  91a ; 
+ 31e   :  914 ; 
+ 31f   :  90e ; 
+ 320   :  908 ; 
+ 321   :  902 ; 
+ 322   :  8fc ; 
+ 323   :  8f6 ; 
+ 324   :  8f0 ; 
+ 325   :  8ea ; 
+ 326   :  8e3 ; 
+ 327   :  8dd ; 
+ 328   :  8d7 ; 
+ 329   :  8d1 ; 
+ 32a   :  8ca ; 
+ 32b   :  8c4 ; 
+ 32c   :  8be ; 
+ 32d   :  8b7 ; 
+ 32e   :  8b1 ; 
+ 32f   :  8aa ; 
+ 330   :  8a4 ; 
+ 331   :  89d ; 
+ 332   :  897 ; 
+ 333   :  890 ; 
+ 334   :  889 ; 
+ 335   :  883 ; 
+ 336   :  87c ; 
+ 337   :  875 ; 
+ 338   :  86f ; 
+ 339   :  868 ; 
+ 33a   :  861 ; 
+ 33b   :  85a ; 
+ 33c   :  853 ; 
+ 33d   :  84c ; 
+ 33e   :  845 ; 
+ 33f   :  83e ; 
+ 340   :  837 ; 
+ 341   :  830 ; 
+ 342   :  829 ; 
+ 343   :  822 ; 
+ 344   :  81b ; 
+ 345   :  814 ; 
+ 346   :  80d ; 
+ 347   :  805 ; 
+ 348   :  7fe ; 
+ 349   :  7f7 ; 
+ 34a   :  7f0 ; 
+ 34b   :  7e8 ; 
+ 34c   :  7e1 ; 
+ 34d   :  7d9 ; 
+ 34e   :  7d2 ; 
+ 34f   :  7cb ; 
+ 350   :  7c3 ; 
+ 351   :  7bb ; 
+ 352   :  7b4 ; 
+ 353   :  7ac ; 
+ 354   :  7a5 ; 
+ 355   :  79d ; 
+ 356   :  795 ; 
+ 357   :  78e ; 
+ 358   :  786 ; 
+ 359   :  77e ; 
+ 35a   :  776 ; 
+ 35b   :  76e ; 
+ 35c   :  767 ; 
+ 35d   :  75f ; 
+ 35e   :  757 ; 
+ 35f   :  74f ; 
+ 360   :  747 ; 
+ 361   :  73f ; 
+ 362   :  737 ; 
+ 363   :  72f ; 
+ 364   :  727 ; 
+ 365   :  71e ; 
+ 366   :  716 ; 
+ 367   :  70e ; 
+ 368   :  706 ; 
+ 369   :  6fe ; 
+ 36a   :  6f5 ; 
+ 36b   :  6ed ; 
+ 36c   :  6e5 ; 
+ 36d   :  6dc ; 
+ 36e   :  6d4 ; 
+ 36f   :  6cb ; 
+ 370   :  6c3 ; 
+ 371   :  6ba ; 
+ 372   :  6b2 ; 
+ 373   :  6a9 ; 
+ 374   :  6a1 ; 
+ 375   :  698 ; 
+ 376   :  68f ; 
+ 377   :  687 ; 
+ 378   :  67e ; 
+ 379   :  675 ; 
+ 37a   :  66d ; 
+ 37b   :  664 ; 
+ 37c   :  65b ; 
+ 37d   :  652 ; 
+ 37e   :  649 ; 
+ 37f   :  640 ; 
+ 380   :  637 ; 
+ 381   :  62e ; 
+ 382   :  625 ; 
+ 383   :  61c ; 
+ 384   :  613 ; 
+ 385   :  60a ; 
+ 386   :  601 ; 
+ 387   :  5f8 ; 
+ 388   :  5ef ; 
+ 389   :  5e6 ; 
+ 38a   :  5dc ; 
+ 38b   :  5d3 ; 
+ 38c   :  5ca ; 
+ 38d   :  5c1 ; 
+ 38e   :  5b7 ; 
+ 38f   :  5ae ; 
+ 390   :  5a4 ; 
+ 391   :  59b ; 
+ 392   :  592 ; 
+ 393   :  588 ; 
+ 394   :  57f ; 
+ 395   :  575 ; 
+ 396   :  56b ; 
+ 397   :  562 ; 
+ 398   :  558 ; 
+ 399   :  54f ; 
+ 39a   :  545 ; 
+ 39b   :  53b ; 
+ 39c   :  531 ; 
+ 39d   :  528 ; 
+ 39e   :  51e ; 
+ 39f   :  514 ; 
+ 3a0   :  50a ; 
+ 3a1   :  500 ; 
+ 3a2   :  4f6 ; 
+ 3a3   :  4ed ; 
+ 3a4   :  4e3 ; 
+ 3a5   :  4d9 ; 
+ 3a6   :  4cf ; 
+ 3a7   :  4c5 ; 
+ 3a8   :  4bb ; 
+ 3a9   :  4b0 ; 
+ 3aa   :  4a6 ; 
+ 3ab   :  49c ; 
+ 3ac   :  492 ; 
+ 3ad   :  488 ; 
+ 3ae   :  47e ; 
+ 3af   :  473 ; 
+ 3b0   :  469 ; 
+ 3b1   :  45f ; 
+ 3b2   :  454 ; 
+ 3b3   :  44a ; 
+ 3b4   :  440 ; 
+ 3b5   :  435 ; 
+ 3b6   :  42b ; 
+ 3b7   :  420 ; 
+ 3b8   :  416 ; 
+ 3b9   :  40c ; 
+ 3ba   :  401 ; 
+ 3bb   :  3f6 ; 
+ 3bc   :  3ec ; 
+ 3bd   :  3e1 ; 
+ 3be   :  3d7 ; 
+ 3bf   :  3cc ; 
+ 3c0   :  3c1 ; 
+ 3c1   :  3b7 ; 
+ 3c2   :  3ac ; 
+ 3c3   :  3a1 ; 
+ 3c4   :  396 ; 
+ 3c5   :  38b ; 
+ 3c6   :  381 ; 
+ 3c7   :  376 ; 
+ 3c8   :  36b ; 
+ 3c9   :  360 ; 
+ 3ca   :  355 ; 
+ 3cb   :  34a ; 
+ 3cc   :  33f ; 
+ 3cd   :  334 ; 
+ 3ce   :  329 ; 
+ 3cf   :  31e ; 
+ 3d0   :  313 ; 
+ 3d1   :  308 ; 
+ 3d2   :  2fd ; 
+ 3d3   :  2f1 ; 
+ 3d4   :  2e6 ; 
+ 3d5   :  2db ; 
+ 3d6   :  2d0 ; 
+ 3d7   :  2c5 ; 
+ 3d8   :  2b9 ; 
+ 3d9   :  2ae ; 
+ 3da   :  2a3 ; 
+ 3db   :  297 ; 
+ 3dc   :  28c ; 
+ 3dd   :  281 ; 
+ 3de   :  275 ; 
+ 3df   :  26a ; 
+ 3e0   :  25e ; 
+ 3e1   :  253 ; 
+ 3e2   :  247 ; 
+ 3e3   :  23c ; 
+ 3e4   :  230 ; 
+ 3e5   :  225 ; 
+ 3e6   :  219 ; 
+ 3e7   :  20d ; 
+ 3e8   :  202 ; 
+ 3e9   :  1f6 ; 
+ 3ea   :  1ea ; 
+ 3eb   :  1df ; 
+ 3ec   :  1d3 ; 
+ 3ed   :  1c7 ; 
+ 3ee   :  1bc ; 
+ 3ef   :  1b0 ; 
+ 3f0   :  1a4 ; 
+ 3f1   :  198 ; 
+ 3f2   :  18c ; 
+ 3f3   :  180 ; 
+ 3f4   :  174 ; 
+ 3f5   :  168 ; 
+ 3f6   :  15d ; 
+ 3f7   :  151 ; 
+ 3f8   :  145 ; 
+ 3f9   :  139 ; 
+ 3fa   :  12d ; 
+ 3fb   :  120 ; 
+ 3fc   :  114 ; 
+ 3fd   :  108 ; 
+ 3fe   :  fc ; 
+ 3ff   :  f0 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_11.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_11.mif
new file mode 100644
index 0000000000000000000000000000000000000000..103581ed6c6b2dc86e29d8b20d496f9f7c87cab0
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_11.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  2d ; 
+ 1   :  2a ; 
+ 2   :  28 ; 
+ 3   :  25 ; 
+ 4   :  22 ; 
+ 5   :  1f ; 
+ 6   :  1d ; 
+ 7   :  1a ; 
+ 8   :  17 ; 
+ 9   :  14 ; 
+ a   :  12 ; 
+ b   :  f ; 
+ c   :  c ; 
+ d   :  9 ; 
+ e   :  7 ; 
+ f   :  4 ; 
+ 10   :  1 ; 
+ 11   :  fffe ; 
+ 12   :  fffc ; 
+ 13   :  fff9 ; 
+ 14   :  fff6 ; 
+ 15   :  fff3 ; 
+ 16   :  fff0 ; 
+ 17   :  ffee ; 
+ 18   :  ffeb ; 
+ 19   :  ffe8 ; 
+ 1a   :  ffe5 ; 
+ 1b   :  ffe2 ; 
+ 1c   :  ffdf ; 
+ 1d   :  ffdd ; 
+ 1e   :  ffda ; 
+ 1f   :  ffd7 ; 
+ 20   :  ffd4 ; 
+ 21   :  ffd1 ; 
+ 22   :  ffce ; 
+ 23   :  ffcc ; 
+ 24   :  ffc9 ; 
+ 25   :  ffc6 ; 
+ 26   :  ffc3 ; 
+ 27   :  ffc0 ; 
+ 28   :  ffbd ; 
+ 29   :  ffba ; 
+ 2a   :  ffb7 ; 
+ 2b   :  ffb5 ; 
+ 2c   :  ffb2 ; 
+ 2d   :  ffaf ; 
+ 2e   :  ffac ; 
+ 2f   :  ffa9 ; 
+ 30   :  ffa6 ; 
+ 31   :  ffa3 ; 
+ 32   :  ffa0 ; 
+ 33   :  ff9d ; 
+ 34   :  ff9a ; 
+ 35   :  ff97 ; 
+ 36   :  ff95 ; 
+ 37   :  ff92 ; 
+ 38   :  ff8f ; 
+ 39   :  ff8c ; 
+ 3a   :  ff89 ; 
+ 3b   :  ff86 ; 
+ 3c   :  ff83 ; 
+ 3d   :  ff80 ; 
+ 3e   :  ff7d ; 
+ 3f   :  ff7a ; 
+ 40   :  ff77 ; 
+ 41   :  ff74 ; 
+ 42   :  ff71 ; 
+ 43   :  ff6e ; 
+ 44   :  ff6b ; 
+ 45   :  ff68 ; 
+ 46   :  ff65 ; 
+ 47   :  ff62 ; 
+ 48   :  ff5f ; 
+ 49   :  ff5c ; 
+ 4a   :  ff59 ; 
+ 4b   :  ff56 ; 
+ 4c   :  ff53 ; 
+ 4d   :  ff50 ; 
+ 4e   :  ff4d ; 
+ 4f   :  ff4a ; 
+ 50   :  ff47 ; 
+ 51   :  ff44 ; 
+ 52   :  ff41 ; 
+ 53   :  ff3e ; 
+ 54   :  ff3b ; 
+ 55   :  ff38 ; 
+ 56   :  ff35 ; 
+ 57   :  ff32 ; 
+ 58   :  ff2f ; 
+ 59   :  ff2c ; 
+ 5a   :  ff29 ; 
+ 5b   :  ff26 ; 
+ 5c   :  ff23 ; 
+ 5d   :  ff20 ; 
+ 5e   :  ff1d ; 
+ 5f   :  ff1a ; 
+ 60   :  ff17 ; 
+ 61   :  ff14 ; 
+ 62   :  ff11 ; 
+ 63   :  ff0e ; 
+ 64   :  ff0a ; 
+ 65   :  ff07 ; 
+ 66   :  ff04 ; 
+ 67   :  ff01 ; 
+ 68   :  fefe ; 
+ 69   :  fefb ; 
+ 6a   :  fef8 ; 
+ 6b   :  fef5 ; 
+ 6c   :  fef2 ; 
+ 6d   :  feef ; 
+ 6e   :  feec ; 
+ 6f   :  fee9 ; 
+ 70   :  fee6 ; 
+ 71   :  fee2 ; 
+ 72   :  fedf ; 
+ 73   :  fedc ; 
+ 74   :  fed9 ; 
+ 75   :  fed6 ; 
+ 76   :  fed3 ; 
+ 77   :  fed0 ; 
+ 78   :  fecd ; 
+ 79   :  feca ; 
+ 7a   :  fec7 ; 
+ 7b   :  fec3 ; 
+ 7c   :  fec0 ; 
+ 7d   :  febd ; 
+ 7e   :  feba ; 
+ 7f   :  feb7 ; 
+ 80   :  feb4 ; 
+ 81   :  feb1 ; 
+ 82   :  feae ; 
+ 83   :  feaa ; 
+ 84   :  fea7 ; 
+ 85   :  fea4 ; 
+ 86   :  fea1 ; 
+ 87   :  fe9e ; 
+ 88   :  fe9b ; 
+ 89   :  fe98 ; 
+ 8a   :  fe95 ; 
+ 8b   :  fe91 ; 
+ 8c   :  fe8e ; 
+ 8d   :  fe8b ; 
+ 8e   :  fe88 ; 
+ 8f   :  fe85 ; 
+ 90   :  fe82 ; 
+ 91   :  fe7f ; 
+ 92   :  fe7b ; 
+ 93   :  fe78 ; 
+ 94   :  fe75 ; 
+ 95   :  fe72 ; 
+ 96   :  fe6f ; 
+ 97   :  fe6c ; 
+ 98   :  fe69 ; 
+ 99   :  fe65 ; 
+ 9a   :  fe62 ; 
+ 9b   :  fe5f ; 
+ 9c   :  fe5c ; 
+ 9d   :  fe59 ; 
+ 9e   :  fe56 ; 
+ 9f   :  fe53 ; 
+ a0   :  fe4f ; 
+ a1   :  fe4c ; 
+ a2   :  fe49 ; 
+ a3   :  fe46 ; 
+ a4   :  fe43 ; 
+ a5   :  fe40 ; 
+ a6   :  fe3c ; 
+ a7   :  fe39 ; 
+ a8   :  fe36 ; 
+ a9   :  fe33 ; 
+ aa   :  fe30 ; 
+ ab   :  fe2d ; 
+ ac   :  fe2a ; 
+ ad   :  fe26 ; 
+ ae   :  fe23 ; 
+ af   :  fe20 ; 
+ b0   :  fe1d ; 
+ b1   :  fe1a ; 
+ b2   :  fe17 ; 
+ b3   :  fe13 ; 
+ b4   :  fe10 ; 
+ b5   :  fe0d ; 
+ b6   :  fe0a ; 
+ b7   :  fe07 ; 
+ b8   :  fe04 ; 
+ b9   :  fe00 ; 
+ ba   :  fdfd ; 
+ bb   :  fdfa ; 
+ bc   :  fdf7 ; 
+ bd   :  fdf4 ; 
+ be   :  fdf1 ; 
+ bf   :  fded ; 
+ c0   :  fdea ; 
+ c1   :  fde7 ; 
+ c2   :  fde4 ; 
+ c3   :  fde1 ; 
+ c4   :  fdde ; 
+ c5   :  fddb ; 
+ c6   :  fdd7 ; 
+ c7   :  fdd4 ; 
+ c8   :  fdd1 ; 
+ c9   :  fdce ; 
+ ca   :  fdcb ; 
+ cb   :  fdc8 ; 
+ cc   :  fdc4 ; 
+ cd   :  fdc1 ; 
+ ce   :  fdbe ; 
+ cf   :  fdbb ; 
+ d0   :  fdb8 ; 
+ d1   :  fdb5 ; 
+ d2   :  fdb2 ; 
+ d3   :  fdae ; 
+ d4   :  fdab ; 
+ d5   :  fda8 ; 
+ d6   :  fda5 ; 
+ d7   :  fda2 ; 
+ d8   :  fd9f ; 
+ d9   :  fd9c ; 
+ da   :  fd98 ; 
+ db   :  fd95 ; 
+ dc   :  fd92 ; 
+ dd   :  fd8f ; 
+ de   :  fd8c ; 
+ df   :  fd89 ; 
+ e0   :  fd86 ; 
+ e1   :  fd83 ; 
+ e2   :  fd7f ; 
+ e3   :  fd7c ; 
+ e4   :  fd79 ; 
+ e5   :  fd76 ; 
+ e6   :  fd73 ; 
+ e7   :  fd70 ; 
+ e8   :  fd6d ; 
+ e9   :  fd6a ; 
+ ea   :  fd67 ; 
+ eb   :  fd63 ; 
+ ec   :  fd60 ; 
+ ed   :  fd5d ; 
+ ee   :  fd5a ; 
+ ef   :  fd57 ; 
+ f0   :  fd54 ; 
+ f1   :  fd51 ; 
+ f2   :  fd4e ; 
+ f3   :  fd4b ; 
+ f4   :  fd47 ; 
+ f5   :  fd44 ; 
+ f6   :  fd41 ; 
+ f7   :  fd3e ; 
+ f8   :  fd3b ; 
+ f9   :  fd38 ; 
+ fa   :  fd35 ; 
+ fb   :  fd32 ; 
+ fc   :  fd2f ; 
+ fd   :  fd2c ; 
+ fe   :  fd29 ; 
+ ff   :  fd26 ; 
+ 100   :  fd23 ; 
+ 101   :  fd20 ; 
+ 102   :  fd1c ; 
+ 103   :  fd19 ; 
+ 104   :  fd16 ; 
+ 105   :  fd13 ; 
+ 106   :  fd10 ; 
+ 107   :  fd0d ; 
+ 108   :  fd0a ; 
+ 109   :  fd07 ; 
+ 10a   :  fd04 ; 
+ 10b   :  fd01 ; 
+ 10c   :  fcfe ; 
+ 10d   :  fcfb ; 
+ 10e   :  fcf8 ; 
+ 10f   :  fcf5 ; 
+ 110   :  fcf2 ; 
+ 111   :  fcef ; 
+ 112   :  fcec ; 
+ 113   :  fce9 ; 
+ 114   :  fce6 ; 
+ 115   :  fce3 ; 
+ 116   :  fce0 ; 
+ 117   :  fcdd ; 
+ 118   :  fcda ; 
+ 119   :  fcd7 ; 
+ 11a   :  fcd4 ; 
+ 11b   :  fcd1 ; 
+ 11c   :  fcce ; 
+ 11d   :  fccb ; 
+ 11e   :  fcc8 ; 
+ 11f   :  fcc5 ; 
+ 120   :  fcc2 ; 
+ 121   :  fcbf ; 
+ 122   :  fcbc ; 
+ 123   :  fcb9 ; 
+ 124   :  fcb6 ; 
+ 125   :  fcb3 ; 
+ 126   :  fcb0 ; 
+ 127   :  fcad ; 
+ 128   :  fcaa ; 
+ 129   :  fca8 ; 
+ 12a   :  fca5 ; 
+ 12b   :  fca2 ; 
+ 12c   :  fc9f ; 
+ 12d   :  fc9c ; 
+ 12e   :  fc99 ; 
+ 12f   :  fc96 ; 
+ 130   :  fc93 ; 
+ 131   :  fc90 ; 
+ 132   :  fc8d ; 
+ 133   :  fc8a ; 
+ 134   :  fc88 ; 
+ 135   :  fc85 ; 
+ 136   :  fc82 ; 
+ 137   :  fc7f ; 
+ 138   :  fc7c ; 
+ 139   :  fc79 ; 
+ 13a   :  fc76 ; 
+ 13b   :  fc74 ; 
+ 13c   :  fc71 ; 
+ 13d   :  fc6e ; 
+ 13e   :  fc6b ; 
+ 13f   :  fc68 ; 
+ 140   :  fc65 ; 
+ 141   :  fc63 ; 
+ 142   :  fc60 ; 
+ 143   :  fc5d ; 
+ 144   :  fc5a ; 
+ 145   :  fc57 ; 
+ 146   :  fc54 ; 
+ 147   :  fc52 ; 
+ 148   :  fc4f ; 
+ 149   :  fc4c ; 
+ 14a   :  fc49 ; 
+ 14b   :  fc47 ; 
+ 14c   :  fc44 ; 
+ 14d   :  fc41 ; 
+ 14e   :  fc3e ; 
+ 14f   :  fc3c ; 
+ 150   :  fc39 ; 
+ 151   :  fc36 ; 
+ 152   :  fc33 ; 
+ 153   :  fc31 ; 
+ 154   :  fc2e ; 
+ 155   :  fc2b ; 
+ 156   :  fc28 ; 
+ 157   :  fc26 ; 
+ 158   :  fc23 ; 
+ 159   :  fc20 ; 
+ 15a   :  fc1e ; 
+ 15b   :  fc1b ; 
+ 15c   :  fc18 ; 
+ 15d   :  fc16 ; 
+ 15e   :  fc13 ; 
+ 15f   :  fc10 ; 
+ 160   :  fc0e ; 
+ 161   :  fc0b ; 
+ 162   :  fc08 ; 
+ 163   :  fc06 ; 
+ 164   :  fc03 ; 
+ 165   :  fc00 ; 
+ 166   :  fbfe ; 
+ 167   :  fbfb ; 
+ 168   :  fbf9 ; 
+ 169   :  fbf6 ; 
+ 16a   :  fbf3 ; 
+ 16b   :  fbf1 ; 
+ 16c   :  fbee ; 
+ 16d   :  fbec ; 
+ 16e   :  fbe9 ; 
+ 16f   :  fbe7 ; 
+ 170   :  fbe4 ; 
+ 171   :  fbe1 ; 
+ 172   :  fbdf ; 
+ 173   :  fbdc ; 
+ 174   :  fbda ; 
+ 175   :  fbd7 ; 
+ 176   :  fbd5 ; 
+ 177   :  fbd2 ; 
+ 178   :  fbd0 ; 
+ 179   :  fbcd ; 
+ 17a   :  fbcb ; 
+ 17b   :  fbc8 ; 
+ 17c   :  fbc6 ; 
+ 17d   :  fbc3 ; 
+ 17e   :  fbc1 ; 
+ 17f   :  fbbe ; 
+ 180   :  fbbc ; 
+ 181   :  fbba ; 
+ 182   :  fbb7 ; 
+ 183   :  fbb5 ; 
+ 184   :  fbb2 ; 
+ 185   :  fbb0 ; 
+ 186   :  fbad ; 
+ 187   :  fbab ; 
+ 188   :  fba9 ; 
+ 189   :  fba6 ; 
+ 18a   :  fba4 ; 
+ 18b   :  fba2 ; 
+ 18c   :  fb9f ; 
+ 18d   :  fb9d ; 
+ 18e   :  fb9b ; 
+ 18f   :  fb98 ; 
+ 190   :  fb96 ; 
+ 191   :  fb94 ; 
+ 192   :  fb91 ; 
+ 193   :  fb8f ; 
+ 194   :  fb8d ; 
+ 195   :  fb8a ; 
+ 196   :  fb88 ; 
+ 197   :  fb86 ; 
+ 198   :  fb83 ; 
+ 199   :  fb81 ; 
+ 19a   :  fb7f ; 
+ 19b   :  fb7d ; 
+ 19c   :  fb7b ; 
+ 19d   :  fb78 ; 
+ 19e   :  fb76 ; 
+ 19f   :  fb74 ; 
+ 1a0   :  fb72 ; 
+ 1a1   :  fb6f ; 
+ 1a2   :  fb6d ; 
+ 1a3   :  fb6b ; 
+ 1a4   :  fb69 ; 
+ 1a5   :  fb67 ; 
+ 1a6   :  fb65 ; 
+ 1a7   :  fb62 ; 
+ 1a8   :  fb60 ; 
+ 1a9   :  fb5e ; 
+ 1aa   :  fb5c ; 
+ 1ab   :  fb5a ; 
+ 1ac   :  fb58 ; 
+ 1ad   :  fb56 ; 
+ 1ae   :  fb54 ; 
+ 1af   :  fb52 ; 
+ 1b0   :  fb50 ; 
+ 1b1   :  fb4e ; 
+ 1b2   :  fb4b ; 
+ 1b3   :  fb49 ; 
+ 1b4   :  fb47 ; 
+ 1b5   :  fb45 ; 
+ 1b6   :  fb43 ; 
+ 1b7   :  fb41 ; 
+ 1b8   :  fb3f ; 
+ 1b9   :  fb3d ; 
+ 1ba   :  fb3b ; 
+ 1bb   :  fb39 ; 
+ 1bc   :  fb38 ; 
+ 1bd   :  fb36 ; 
+ 1be   :  fb34 ; 
+ 1bf   :  fb32 ; 
+ 1c0   :  fb30 ; 
+ 1c1   :  fb2e ; 
+ 1c2   :  fb2c ; 
+ 1c3   :  fb2a ; 
+ 1c4   :  fb28 ; 
+ 1c5   :  fb26 ; 
+ 1c6   :  fb24 ; 
+ 1c7   :  fb23 ; 
+ 1c8   :  fb21 ; 
+ 1c9   :  fb1f ; 
+ 1ca   :  fb1d ; 
+ 1cb   :  fb1b ; 
+ 1cc   :  fb19 ; 
+ 1cd   :  fb18 ; 
+ 1ce   :  fb16 ; 
+ 1cf   :  fb14 ; 
+ 1d0   :  fb12 ; 
+ 1d1   :  fb11 ; 
+ 1d2   :  fb0f ; 
+ 1d3   :  fb0d ; 
+ 1d4   :  fb0b ; 
+ 1d5   :  fb0a ; 
+ 1d6   :  fb08 ; 
+ 1d7   :  fb06 ; 
+ 1d8   :  fb05 ; 
+ 1d9   :  fb03 ; 
+ 1da   :  fb01 ; 
+ 1db   :  fb00 ; 
+ 1dc   :  fafe ; 
+ 1dd   :  fafc ; 
+ 1de   :  fafb ; 
+ 1df   :  faf9 ; 
+ 1e0   :  faf7 ; 
+ 1e1   :  faf6 ; 
+ 1e2   :  faf4 ; 
+ 1e3   :  faf3 ; 
+ 1e4   :  faf1 ; 
+ 1e5   :  faf0 ; 
+ 1e6   :  faee ; 
+ 1e7   :  faed ; 
+ 1e8   :  faeb ; 
+ 1e9   :  fae9 ; 
+ 1ea   :  fae8 ; 
+ 1eb   :  fae6 ; 
+ 1ec   :  fae5 ; 
+ 1ed   :  fae4 ; 
+ 1ee   :  fae2 ; 
+ 1ef   :  fae1 ; 
+ 1f0   :  fadf ; 
+ 1f1   :  fade ; 
+ 1f2   :  fadc ; 
+ 1f3   :  fadb ; 
+ 1f4   :  fada ; 
+ 1f5   :  fad8 ; 
+ 1f6   :  fad7 ; 
+ 1f7   :  fad5 ; 
+ 1f8   :  fad4 ; 
+ 1f9   :  fad3 ; 
+ 1fa   :  fad1 ; 
+ 1fb   :  fad0 ; 
+ 1fc   :  facf ; 
+ 1fd   :  face ; 
+ 1fe   :  facc ; 
+ 1ff   :  facb ; 
+ 200   :  faca ; 
+ 201   :  fac9 ; 
+ 202   :  fac7 ; 
+ 203   :  fac6 ; 
+ 204   :  fac5 ; 
+ 205   :  fac4 ; 
+ 206   :  fac2 ; 
+ 207   :  fac1 ; 
+ 208   :  fac0 ; 
+ 209   :  fabf ; 
+ 20a   :  fabe ; 
+ 20b   :  fabd ; 
+ 20c   :  fabc ; 
+ 20d   :  faba ; 
+ 20e   :  fab9 ; 
+ 20f   :  fab8 ; 
+ 210   :  fab7 ; 
+ 211   :  fab6 ; 
+ 212   :  fab5 ; 
+ 213   :  fab4 ; 
+ 214   :  fab3 ; 
+ 215   :  fab2 ; 
+ 216   :  fab1 ; 
+ 217   :  fab0 ; 
+ 218   :  faaf ; 
+ 219   :  faae ; 
+ 21a   :  faad ; 
+ 21b   :  faac ; 
+ 21c   :  faab ; 
+ 21d   :  faaa ; 
+ 21e   :  faa9 ; 
+ 21f   :  faa9 ; 
+ 220   :  faa8 ; 
+ 221   :  faa7 ; 
+ 222   :  faa6 ; 
+ 223   :  faa5 ; 
+ 224   :  faa4 ; 
+ 225   :  faa3 ; 
+ 226   :  faa3 ; 
+ 227   :  faa2 ; 
+ 228   :  faa1 ; 
+ 229   :  faa0 ; 
+ 22a   :  faa0 ; 
+ 22b   :  fa9f ; 
+ 22c   :  fa9e ; 
+ 22d   :  fa9d ; 
+ 22e   :  fa9d ; 
+ 22f   :  fa9c ; 
+ 230   :  fa9b ; 
+ 231   :  fa9b ; 
+ 232   :  fa9a ; 
+ 233   :  fa99 ; 
+ 234   :  fa99 ; 
+ 235   :  fa98 ; 
+ 236   :  fa97 ; 
+ 237   :  fa97 ; 
+ 238   :  fa96 ; 
+ 239   :  fa96 ; 
+ 23a   :  fa95 ; 
+ 23b   :  fa95 ; 
+ 23c   :  fa94 ; 
+ 23d   :  fa94 ; 
+ 23e   :  fa93 ; 
+ 23f   :  fa93 ; 
+ 240   :  fa92 ; 
+ 241   :  fa92 ; 
+ 242   :  fa91 ; 
+ 243   :  fa91 ; 
+ 244   :  fa90 ; 
+ 245   :  fa90 ; 
+ 246   :  fa90 ; 
+ 247   :  fa8f ; 
+ 248   :  fa8f ; 
+ 249   :  fa8e ; 
+ 24a   :  fa8e ; 
+ 24b   :  fa8e ; 
+ 24c   :  fa8d ; 
+ 24d   :  fa8d ; 
+ 24e   :  fa8d ; 
+ 24f   :  fa8d ; 
+ 250   :  fa8c ; 
+ 251   :  fa8c ; 
+ 252   :  fa8c ; 
+ 253   :  fa8c ; 
+ 254   :  fa8b ; 
+ 255   :  fa8b ; 
+ 256   :  fa8b ; 
+ 257   :  fa8b ; 
+ 258   :  fa8b ; 
+ 259   :  fa8b ; 
+ 25a   :  fa8a ; 
+ 25b   :  fa8a ; 
+ 25c   :  fa8a ; 
+ 25d   :  fa8a ; 
+ 25e   :  fa8a ; 
+ 25f   :  fa8a ; 
+ 260   :  fa8a ; 
+ 261   :  fa8a ; 
+ 262   :  fa8a ; 
+ 263   :  fa8a ; 
+ 264   :  fa8a ; 
+ 265   :  fa8a ; 
+ 266   :  fa8a ; 
+ 267   :  fa8a ; 
+ 268   :  fa8a ; 
+ 269   :  fa8a ; 
+ 26a   :  fa8a ; 
+ 26b   :  fa8a ; 
+ 26c   :  fa8b ; 
+ 26d   :  fa8b ; 
+ 26e   :  fa8b ; 
+ 26f   :  fa8b ; 
+ 270   :  fa8b ; 
+ 271   :  fa8b ; 
+ 272   :  fa8c ; 
+ 273   :  fa8c ; 
+ 274   :  fa8c ; 
+ 275   :  fa8c ; 
+ 276   :  fa8d ; 
+ 277   :  fa8d ; 
+ 278   :  fa8d ; 
+ 279   :  fa8d ; 
+ 27a   :  fa8e ; 
+ 27b   :  fa8e ; 
+ 27c   :  fa8e ; 
+ 27d   :  fa8f ; 
+ 27e   :  fa8f ; 
+ 27f   :  fa90 ; 
+ 280   :  fa90 ; 
+ 281   :  fa90 ; 
+ 282   :  fa91 ; 
+ 283   :  fa91 ; 
+ 284   :  fa92 ; 
+ 285   :  fa92 ; 
+ 286   :  fa93 ; 
+ 287   :  fa93 ; 
+ 288   :  fa94 ; 
+ 289   :  fa94 ; 
+ 28a   :  fa95 ; 
+ 28b   :  fa95 ; 
+ 28c   :  fa96 ; 
+ 28d   :  fa97 ; 
+ 28e   :  fa97 ; 
+ 28f   :  fa98 ; 
+ 290   :  fa98 ; 
+ 291   :  fa99 ; 
+ 292   :  fa9a ; 
+ 293   :  fa9a ; 
+ 294   :  fa9b ; 
+ 295   :  fa9c ; 
+ 296   :  fa9d ; 
+ 297   :  fa9d ; 
+ 298   :  fa9e ; 
+ 299   :  fa9f ; 
+ 29a   :  faa0 ; 
+ 29b   :  faa0 ; 
+ 29c   :  faa1 ; 
+ 29d   :  faa2 ; 
+ 29e   :  faa3 ; 
+ 29f   :  faa4 ; 
+ 2a0   :  faa5 ; 
+ 2a1   :  faa6 ; 
+ 2a2   :  faa7 ; 
+ 2a3   :  faa7 ; 
+ 2a4   :  faa8 ; 
+ 2a5   :  faa9 ; 
+ 2a6   :  faaa ; 
+ 2a7   :  faab ; 
+ 2a8   :  faac ; 
+ 2a9   :  faad ; 
+ 2aa   :  faae ; 
+ 2ab   :  faaf ; 
+ 2ac   :  fab0 ; 
+ 2ad   :  fab1 ; 
+ 2ae   :  fab3 ; 
+ 2af   :  fab4 ; 
+ 2b0   :  fab5 ; 
+ 2b1   :  fab6 ; 
+ 2b2   :  fab7 ; 
+ 2b3   :  fab8 ; 
+ 2b4   :  fab9 ; 
+ 2b5   :  fabb ; 
+ 2b6   :  fabc ; 
+ 2b7   :  fabd ; 
+ 2b8   :  fabe ; 
+ 2b9   :  fabf ; 
+ 2ba   :  fac1 ; 
+ 2bb   :  fac2 ; 
+ 2bc   :  fac3 ; 
+ 2bd   :  fac5 ; 
+ 2be   :  fac6 ; 
+ 2bf   :  fac7 ; 
+ 2c0   :  fac9 ; 
+ 2c1   :  faca ; 
+ 2c2   :  facb ; 
+ 2c3   :  facd ; 
+ 2c4   :  face ; 
+ 2c5   :  fad0 ; 
+ 2c6   :  fad1 ; 
+ 2c7   :  fad3 ; 
+ 2c8   :  fad4 ; 
+ 2c9   :  fad6 ; 
+ 2ca   :  fad7 ; 
+ 2cb   :  fad9 ; 
+ 2cc   :  fada ; 
+ 2cd   :  fadc ; 
+ 2ce   :  fadd ; 
+ 2cf   :  fadf ; 
+ 2d0   :  fae1 ; 
+ 2d1   :  fae2 ; 
+ 2d2   :  fae4 ; 
+ 2d3   :  fae5 ; 
+ 2d4   :  fae7 ; 
+ 2d5   :  fae9 ; 
+ 2d6   :  faea ; 
+ 2d7   :  faec ; 
+ 2d8   :  faee ; 
+ 2d9   :  faf0 ; 
+ 2da   :  faf1 ; 
+ 2db   :  faf3 ; 
+ 2dc   :  faf5 ; 
+ 2dd   :  faf7 ; 
+ 2de   :  faf9 ; 
+ 2df   :  fafa ; 
+ 2e0   :  fafc ; 
+ 2e1   :  fafe ; 
+ 2e2   :  fb00 ; 
+ 2e3   :  fb02 ; 
+ 2e4   :  fb04 ; 
+ 2e5   :  fb06 ; 
+ 2e6   :  fb08 ; 
+ 2e7   :  fb0a ; 
+ 2e8   :  fb0c ; 
+ 2e9   :  fb0e ; 
+ 2ea   :  fb10 ; 
+ 2eb   :  fb12 ; 
+ 2ec   :  fb14 ; 
+ 2ed   :  fb16 ; 
+ 2ee   :  fb18 ; 
+ 2ef   :  fb1a ; 
+ 2f0   :  fb1c ; 
+ 2f1   :  fb1e ; 
+ 2f2   :  fb20 ; 
+ 2f3   :  fb22 ; 
+ 2f4   :  fb24 ; 
+ 2f5   :  fb27 ; 
+ 2f6   :  fb29 ; 
+ 2f7   :  fb2b ; 
+ 2f8   :  fb2d ; 
+ 2f9   :  fb30 ; 
+ 2fa   :  fb32 ; 
+ 2fb   :  fb34 ; 
+ 2fc   :  fb36 ; 
+ 2fd   :  fb39 ; 
+ 2fe   :  fb3b ; 
+ 2ff   :  fb3d ; 
+ 300   :  fb40 ; 
+ 301   :  fb42 ; 
+ 302   :  fb44 ; 
+ 303   :  fb47 ; 
+ 304   :  fb49 ; 
+ 305   :  fb4c ; 
+ 306   :  fb4e ; 
+ 307   :  fb50 ; 
+ 308   :  fb53 ; 
+ 309   :  fb55 ; 
+ 30a   :  fb58 ; 
+ 30b   :  fb5a ; 
+ 30c   :  fb5d ; 
+ 30d   :  fb5f ; 
+ 30e   :  fb62 ; 
+ 30f   :  fb65 ; 
+ 310   :  fb67 ; 
+ 311   :  fb6a ; 
+ 312   :  fb6c ; 
+ 313   :  fb6f ; 
+ 314   :  fb72 ; 
+ 315   :  fb74 ; 
+ 316   :  fb77 ; 
+ 317   :  fb7a ; 
+ 318   :  fb7d ; 
+ 319   :  fb7f ; 
+ 31a   :  fb82 ; 
+ 31b   :  fb85 ; 
+ 31c   :  fb88 ; 
+ 31d   :  fb8a ; 
+ 31e   :  fb8d ; 
+ 31f   :  fb90 ; 
+ 320   :  fb93 ; 
+ 321   :  fb96 ; 
+ 322   :  fb99 ; 
+ 323   :  fb9b ; 
+ 324   :  fb9e ; 
+ 325   :  fba1 ; 
+ 326   :  fba4 ; 
+ 327   :  fba7 ; 
+ 328   :  fbaa ; 
+ 329   :  fbad ; 
+ 32a   :  fbb0 ; 
+ 32b   :  fbb3 ; 
+ 32c   :  fbb6 ; 
+ 32d   :  fbb9 ; 
+ 32e   :  fbbc ; 
+ 32f   :  fbbf ; 
+ 330   :  fbc2 ; 
+ 331   :  fbc5 ; 
+ 332   :  fbc9 ; 
+ 333   :  fbcc ; 
+ 334   :  fbcf ; 
+ 335   :  fbd2 ; 
+ 336   :  fbd5 ; 
+ 337   :  fbd8 ; 
+ 338   :  fbdc ; 
+ 339   :  fbdf ; 
+ 33a   :  fbe2 ; 
+ 33b   :  fbe5 ; 
+ 33c   :  fbe8 ; 
+ 33d   :  fbec ; 
+ 33e   :  fbef ; 
+ 33f   :  fbf2 ; 
+ 340   :  fbf6 ; 
+ 341   :  fbf9 ; 
+ 342   :  fbfc ; 
+ 343   :  fc00 ; 
+ 344   :  fc03 ; 
+ 345   :  fc07 ; 
+ 346   :  fc0a ; 
+ 347   :  fc0d ; 
+ 348   :  fc11 ; 
+ 349   :  fc14 ; 
+ 34a   :  fc18 ; 
+ 34b   :  fc1b ; 
+ 34c   :  fc1f ; 
+ 34d   :  fc22 ; 
+ 34e   :  fc26 ; 
+ 34f   :  fc29 ; 
+ 350   :  fc2d ; 
+ 351   :  fc31 ; 
+ 352   :  fc34 ; 
+ 353   :  fc38 ; 
+ 354   :  fc3b ; 
+ 355   :  fc3f ; 
+ 356   :  fc43 ; 
+ 357   :  fc46 ; 
+ 358   :  fc4a ; 
+ 359   :  fc4e ; 
+ 35a   :  fc51 ; 
+ 35b   :  fc55 ; 
+ 35c   :  fc59 ; 
+ 35d   :  fc5d ; 
+ 35e   :  fc60 ; 
+ 35f   :  fc64 ; 
+ 360   :  fc68 ; 
+ 361   :  fc6c ; 
+ 362   :  fc70 ; 
+ 363   :  fc74 ; 
+ 364   :  fc77 ; 
+ 365   :  fc7b ; 
+ 366   :  fc7f ; 
+ 367   :  fc83 ; 
+ 368   :  fc87 ; 
+ 369   :  fc8b ; 
+ 36a   :  fc8f ; 
+ 36b   :  fc93 ; 
+ 36c   :  fc97 ; 
+ 36d   :  fc9b ; 
+ 36e   :  fc9f ; 
+ 36f   :  fca3 ; 
+ 370   :  fca7 ; 
+ 371   :  fcab ; 
+ 372   :  fcaf ; 
+ 373   :  fcb3 ; 
+ 374   :  fcb7 ; 
+ 375   :  fcbb ; 
+ 376   :  fcbf ; 
+ 377   :  fcc3 ; 
+ 378   :  fcc8 ; 
+ 379   :  fccc ; 
+ 37a   :  fcd0 ; 
+ 37b   :  fcd4 ; 
+ 37c   :  fcd8 ; 
+ 37d   :  fcdd ; 
+ 37e   :  fce1 ; 
+ 37f   :  fce5 ; 
+ 380   :  fce9 ; 
+ 381   :  fcee ; 
+ 382   :  fcf2 ; 
+ 383   :  fcf6 ; 
+ 384   :  fcfa ; 
+ 385   :  fcff ; 
+ 386   :  fd03 ; 
+ 387   :  fd08 ; 
+ 388   :  fd0c ; 
+ 389   :  fd10 ; 
+ 38a   :  fd15 ; 
+ 38b   :  fd19 ; 
+ 38c   :  fd1e ; 
+ 38d   :  fd22 ; 
+ 38e   :  fd26 ; 
+ 38f   :  fd2b ; 
+ 390   :  fd2f ; 
+ 391   :  fd34 ; 
+ 392   :  fd38 ; 
+ 393   :  fd3d ; 
+ 394   :  fd41 ; 
+ 395   :  fd46 ; 
+ 396   :  fd4b ; 
+ 397   :  fd4f ; 
+ 398   :  fd54 ; 
+ 399   :  fd58 ; 
+ 39a   :  fd5d ; 
+ 39b   :  fd62 ; 
+ 39c   :  fd66 ; 
+ 39d   :  fd6b ; 
+ 39e   :  fd70 ; 
+ 39f   :  fd74 ; 
+ 3a0   :  fd79 ; 
+ 3a1   :  fd7e ; 
+ 3a2   :  fd82 ; 
+ 3a3   :  fd87 ; 
+ 3a4   :  fd8c ; 
+ 3a5   :  fd91 ; 
+ 3a6   :  fd95 ; 
+ 3a7   :  fd9a ; 
+ 3a8   :  fd9f ; 
+ 3a9   :  fda4 ; 
+ 3aa   :  fda9 ; 
+ 3ab   :  fdad ; 
+ 3ac   :  fdb2 ; 
+ 3ad   :  fdb7 ; 
+ 3ae   :  fdbc ; 
+ 3af   :  fdc1 ; 
+ 3b0   :  fdc6 ; 
+ 3b1   :  fdcb ; 
+ 3b2   :  fdd0 ; 
+ 3b3   :  fdd5 ; 
+ 3b4   :  fdda ; 
+ 3b5   :  fdde ; 
+ 3b6   :  fde3 ; 
+ 3b7   :  fde8 ; 
+ 3b8   :  fded ; 
+ 3b9   :  fdf2 ; 
+ 3ba   :  fdf8 ; 
+ 3bb   :  fdfd ; 
+ 3bc   :  fe02 ; 
+ 3bd   :  fe07 ; 
+ 3be   :  fe0c ; 
+ 3bf   :  fe11 ; 
+ 3c0   :  fe16 ; 
+ 3c1   :  fe1b ; 
+ 3c2   :  fe20 ; 
+ 3c3   :  fe25 ; 
+ 3c4   :  fe2a ; 
+ 3c5   :  fe30 ; 
+ 3c6   :  fe35 ; 
+ 3c7   :  fe3a ; 
+ 3c8   :  fe3f ; 
+ 3c9   :  fe44 ; 
+ 3ca   :  fe4a ; 
+ 3cb   :  fe4f ; 
+ 3cc   :  fe54 ; 
+ 3cd   :  fe59 ; 
+ 3ce   :  fe5f ; 
+ 3cf   :  fe64 ; 
+ 3d0   :  fe69 ; 
+ 3d1   :  fe6e ; 
+ 3d2   :  fe74 ; 
+ 3d3   :  fe79 ; 
+ 3d4   :  fe7e ; 
+ 3d5   :  fe84 ; 
+ 3d6   :  fe89 ; 
+ 3d7   :  fe8f ; 
+ 3d8   :  fe94 ; 
+ 3d9   :  fe99 ; 
+ 3da   :  fe9f ; 
+ 3db   :  fea4 ; 
+ 3dc   :  feaa ; 
+ 3dd   :  feaf ; 
+ 3de   :  feb4 ; 
+ 3df   :  feba ; 
+ 3e0   :  febf ; 
+ 3e1   :  fec5 ; 
+ 3e2   :  feca ; 
+ 3e3   :  fed0 ; 
+ 3e4   :  fed5 ; 
+ 3e5   :  fedb ; 
+ 3e6   :  fee0 ; 
+ 3e7   :  fee6 ; 
+ 3e8   :  feec ; 
+ 3e9   :  fef1 ; 
+ 3ea   :  fef7 ; 
+ 3eb   :  fefc ; 
+ 3ec   :  ff02 ; 
+ 3ed   :  ff08 ; 
+ 3ee   :  ff0d ; 
+ 3ef   :  ff13 ; 
+ 3f0   :  ff18 ; 
+ 3f1   :  ff1e ; 
+ 3f2   :  ff24 ; 
+ 3f3   :  ff29 ; 
+ 3f4   :  ff2f ; 
+ 3f5   :  ff35 ; 
+ 3f6   :  ff3b ; 
+ 3f7   :  ff40 ; 
+ 3f8   :  ff46 ; 
+ 3f9   :  ff4c ; 
+ 3fa   :  ff51 ; 
+ 3fb   :  ff57 ; 
+ 3fc   :  ff5d ; 
+ 3fd   :  ff63 ; 
+ 3fe   :  ff69 ; 
+ 3ff   :  ff6e ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_12.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_12.mif
new file mode 100644
index 0000000000000000000000000000000000000000..158121871cc48b2b9f01860ee88baac4b2f3751d
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_12.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  1a ; 
+ 1   :  1c ; 
+ 2   :  1d ; 
+ 3   :  1e ; 
+ 4   :  1f ; 
+ 5   :  20 ; 
+ 6   :  21 ; 
+ 7   :  22 ; 
+ 8   :  24 ; 
+ 9   :  25 ; 
+ a   :  26 ; 
+ b   :  27 ; 
+ c   :  28 ; 
+ d   :  29 ; 
+ e   :  2a ; 
+ f   :  2c ; 
+ 10   :  2d ; 
+ 11   :  2e ; 
+ 12   :  2f ; 
+ 13   :  30 ; 
+ 14   :  31 ; 
+ 15   :  33 ; 
+ 16   :  34 ; 
+ 17   :  35 ; 
+ 18   :  36 ; 
+ 19   :  37 ; 
+ 1a   :  38 ; 
+ 1b   :  3a ; 
+ 1c   :  3b ; 
+ 1d   :  3c ; 
+ 1e   :  3d ; 
+ 1f   :  3e ; 
+ 20   :  3f ; 
+ 21   :  41 ; 
+ 22   :  42 ; 
+ 23   :  43 ; 
+ 24   :  44 ; 
+ 25   :  45 ; 
+ 26   :  47 ; 
+ 27   :  48 ; 
+ 28   :  49 ; 
+ 29   :  4a ; 
+ 2a   :  4b ; 
+ 2b   :  4d ; 
+ 2c   :  4e ; 
+ 2d   :  4f ; 
+ 2e   :  50 ; 
+ 2f   :  51 ; 
+ 30   :  53 ; 
+ 31   :  54 ; 
+ 32   :  55 ; 
+ 33   :  56 ; 
+ 34   :  57 ; 
+ 35   :  59 ; 
+ 36   :  5a ; 
+ 37   :  5b ; 
+ 38   :  5c ; 
+ 39   :  5e ; 
+ 3a   :  5f ; 
+ 3b   :  60 ; 
+ 3c   :  61 ; 
+ 3d   :  62 ; 
+ 3e   :  64 ; 
+ 3f   :  65 ; 
+ 40   :  66 ; 
+ 41   :  67 ; 
+ 42   :  69 ; 
+ 43   :  6a ; 
+ 44   :  6b ; 
+ 45   :  6c ; 
+ 46   :  6e ; 
+ 47   :  6f ; 
+ 48   :  70 ; 
+ 49   :  71 ; 
+ 4a   :  73 ; 
+ 4b   :  74 ; 
+ 4c   :  75 ; 
+ 4d   :  76 ; 
+ 4e   :  78 ; 
+ 4f   :  79 ; 
+ 50   :  7a ; 
+ 51   :  7b ; 
+ 52   :  7d ; 
+ 53   :  7e ; 
+ 54   :  7f ; 
+ 55   :  80 ; 
+ 56   :  82 ; 
+ 57   :  83 ; 
+ 58   :  84 ; 
+ 59   :  85 ; 
+ 5a   :  87 ; 
+ 5b   :  88 ; 
+ 5c   :  89 ; 
+ 5d   :  8a ; 
+ 5e   :  8c ; 
+ 5f   :  8d ; 
+ 60   :  8e ; 
+ 61   :  90 ; 
+ 62   :  91 ; 
+ 63   :  92 ; 
+ 64   :  93 ; 
+ 65   :  95 ; 
+ 66   :  96 ; 
+ 67   :  97 ; 
+ 68   :  99 ; 
+ 69   :  9a ; 
+ 6a   :  9b ; 
+ 6b   :  9c ; 
+ 6c   :  9e ; 
+ 6d   :  9f ; 
+ 6e   :  a0 ; 
+ 6f   :  a2 ; 
+ 70   :  a3 ; 
+ 71   :  a4 ; 
+ 72   :  a5 ; 
+ 73   :  a7 ; 
+ 74   :  a8 ; 
+ 75   :  a9 ; 
+ 76   :  ab ; 
+ 77   :  ac ; 
+ 78   :  ad ; 
+ 79   :  ae ; 
+ 7a   :  b0 ; 
+ 7b   :  b1 ; 
+ 7c   :  b2 ; 
+ 7d   :  b4 ; 
+ 7e   :  b5 ; 
+ 7f   :  b6 ; 
+ 80   :  b8 ; 
+ 81   :  b9 ; 
+ 82   :  ba ; 
+ 83   :  bb ; 
+ 84   :  bd ; 
+ 85   :  be ; 
+ 86   :  bf ; 
+ 87   :  c1 ; 
+ 88   :  c2 ; 
+ 89   :  c3 ; 
+ 8a   :  c5 ; 
+ 8b   :  c6 ; 
+ 8c   :  c7 ; 
+ 8d   :  c8 ; 
+ 8e   :  ca ; 
+ 8f   :  cb ; 
+ 90   :  cc ; 
+ 91   :  ce ; 
+ 92   :  cf ; 
+ 93   :  d0 ; 
+ 94   :  d2 ; 
+ 95   :  d3 ; 
+ 96   :  d4 ; 
+ 97   :  d6 ; 
+ 98   :  d7 ; 
+ 99   :  d8 ; 
+ 9a   :  da ; 
+ 9b   :  db ; 
+ 9c   :  dc ; 
+ 9d   :  dd ; 
+ 9e   :  df ; 
+ 9f   :  e0 ; 
+ a0   :  e1 ; 
+ a1   :  e3 ; 
+ a2   :  e4 ; 
+ a3   :  e5 ; 
+ a4   :  e7 ; 
+ a5   :  e8 ; 
+ a6   :  e9 ; 
+ a7   :  eb ; 
+ a8   :  ec ; 
+ a9   :  ed ; 
+ aa   :  ef ; 
+ ab   :  f0 ; 
+ ac   :  f1 ; 
+ ad   :  f3 ; 
+ ae   :  f4 ; 
+ af   :  f5 ; 
+ b0   :  f7 ; 
+ b1   :  f8 ; 
+ b2   :  f9 ; 
+ b3   :  fa ; 
+ b4   :  fc ; 
+ b5   :  fd ; 
+ b6   :  fe ; 
+ b7   :  100 ; 
+ b8   :  101 ; 
+ b9   :  102 ; 
+ ba   :  104 ; 
+ bb   :  105 ; 
+ bc   :  106 ; 
+ bd   :  108 ; 
+ be   :  109 ; 
+ bf   :  10a ; 
+ c0   :  10c ; 
+ c1   :  10d ; 
+ c2   :  10e ; 
+ c3   :  110 ; 
+ c4   :  111 ; 
+ c5   :  112 ; 
+ c6   :  114 ; 
+ c7   :  115 ; 
+ c8   :  116 ; 
+ c9   :  118 ; 
+ ca   :  119 ; 
+ cb   :  11a ; 
+ cc   :  11b ; 
+ cd   :  11d ; 
+ ce   :  11e ; 
+ cf   :  11f ; 
+ d0   :  121 ; 
+ d1   :  122 ; 
+ d2   :  123 ; 
+ d3   :  125 ; 
+ d4   :  126 ; 
+ d5   :  127 ; 
+ d6   :  129 ; 
+ d7   :  12a ; 
+ d8   :  12b ; 
+ d9   :  12d ; 
+ da   :  12e ; 
+ db   :  12f ; 
+ dc   :  131 ; 
+ dd   :  132 ; 
+ de   :  133 ; 
+ df   :  134 ; 
+ e0   :  136 ; 
+ e1   :  137 ; 
+ e2   :  138 ; 
+ e3   :  13a ; 
+ e4   :  13b ; 
+ e5   :  13c ; 
+ e6   :  13e ; 
+ e7   :  13f ; 
+ e8   :  140 ; 
+ e9   :  142 ; 
+ ea   :  143 ; 
+ eb   :  144 ; 
+ ec   :  145 ; 
+ ed   :  147 ; 
+ ee   :  148 ; 
+ ef   :  149 ; 
+ f0   :  14b ; 
+ f1   :  14c ; 
+ f2   :  14d ; 
+ f3   :  14f ; 
+ f4   :  150 ; 
+ f5   :  151 ; 
+ f6   :  152 ; 
+ f7   :  154 ; 
+ f8   :  155 ; 
+ f9   :  156 ; 
+ fa   :  158 ; 
+ fb   :  159 ; 
+ fc   :  15a ; 
+ fd   :  15b ; 
+ fe   :  15d ; 
+ ff   :  15e ; 
+ 100   :  15f ; 
+ 101   :  161 ; 
+ 102   :  162 ; 
+ 103   :  163 ; 
+ 104   :  164 ; 
+ 105   :  166 ; 
+ 106   :  167 ; 
+ 107   :  168 ; 
+ 108   :  16a ; 
+ 109   :  16b ; 
+ 10a   :  16c ; 
+ 10b   :  16d ; 
+ 10c   :  16f ; 
+ 10d   :  170 ; 
+ 10e   :  171 ; 
+ 10f   :  172 ; 
+ 110   :  174 ; 
+ 111   :  175 ; 
+ 112   :  176 ; 
+ 113   :  178 ; 
+ 114   :  179 ; 
+ 115   :  17a ; 
+ 116   :  17b ; 
+ 117   :  17d ; 
+ 118   :  17e ; 
+ 119   :  17f ; 
+ 11a   :  180 ; 
+ 11b   :  182 ; 
+ 11c   :  183 ; 
+ 11d   :  184 ; 
+ 11e   :  185 ; 
+ 11f   :  187 ; 
+ 120   :  188 ; 
+ 121   :  189 ; 
+ 122   :  18a ; 
+ 123   :  18c ; 
+ 124   :  18d ; 
+ 125   :  18e ; 
+ 126   :  18f ; 
+ 127   :  190 ; 
+ 128   :  192 ; 
+ 129   :  193 ; 
+ 12a   :  194 ; 
+ 12b   :  195 ; 
+ 12c   :  197 ; 
+ 12d   :  198 ; 
+ 12e   :  199 ; 
+ 12f   :  19a ; 
+ 130   :  19c ; 
+ 131   :  19d ; 
+ 132   :  19e ; 
+ 133   :  19f ; 
+ 134   :  1a0 ; 
+ 135   :  1a2 ; 
+ 136   :  1a3 ; 
+ 137   :  1a4 ; 
+ 138   :  1a5 ; 
+ 139   :  1a6 ; 
+ 13a   :  1a8 ; 
+ 13b   :  1a9 ; 
+ 13c   :  1aa ; 
+ 13d   :  1ab ; 
+ 13e   :  1ac ; 
+ 13f   :  1ae ; 
+ 140   :  1af ; 
+ 141   :  1b0 ; 
+ 142   :  1b1 ; 
+ 143   :  1b2 ; 
+ 144   :  1b4 ; 
+ 145   :  1b5 ; 
+ 146   :  1b6 ; 
+ 147   :  1b7 ; 
+ 148   :  1b8 ; 
+ 149   :  1b9 ; 
+ 14a   :  1bb ; 
+ 14b   :  1bc ; 
+ 14c   :  1bd ; 
+ 14d   :  1be ; 
+ 14e   :  1bf ; 
+ 14f   :  1c0 ; 
+ 150   :  1c2 ; 
+ 151   :  1c3 ; 
+ 152   :  1c4 ; 
+ 153   :  1c5 ; 
+ 154   :  1c6 ; 
+ 155   :  1c7 ; 
+ 156   :  1c8 ; 
+ 157   :  1ca ; 
+ 158   :  1cb ; 
+ 159   :  1cc ; 
+ 15a   :  1cd ; 
+ 15b   :  1ce ; 
+ 15c   :  1cf ; 
+ 15d   :  1d0 ; 
+ 15e   :  1d1 ; 
+ 15f   :  1d3 ; 
+ 160   :  1d4 ; 
+ 161   :  1d5 ; 
+ 162   :  1d6 ; 
+ 163   :  1d7 ; 
+ 164   :  1d8 ; 
+ 165   :  1d9 ; 
+ 166   :  1da ; 
+ 167   :  1db ; 
+ 168   :  1dd ; 
+ 169   :  1de ; 
+ 16a   :  1df ; 
+ 16b   :  1e0 ; 
+ 16c   :  1e1 ; 
+ 16d   :  1e2 ; 
+ 16e   :  1e3 ; 
+ 16f   :  1e4 ; 
+ 170   :  1e5 ; 
+ 171   :  1e6 ; 
+ 172   :  1e7 ; 
+ 173   :  1e8 ; 
+ 174   :  1ea ; 
+ 175   :  1eb ; 
+ 176   :  1ec ; 
+ 177   :  1ed ; 
+ 178   :  1ee ; 
+ 179   :  1ef ; 
+ 17a   :  1f0 ; 
+ 17b   :  1f1 ; 
+ 17c   :  1f2 ; 
+ 17d   :  1f3 ; 
+ 17e   :  1f4 ; 
+ 17f   :  1f5 ; 
+ 180   :  1f6 ; 
+ 181   :  1f7 ; 
+ 182   :  1f8 ; 
+ 183   :  1f9 ; 
+ 184   :  1fa ; 
+ 185   :  1fb ; 
+ 186   :  1fc ; 
+ 187   :  1fd ; 
+ 188   :  1fe ; 
+ 189   :  1ff ; 
+ 18a   :  200 ; 
+ 18b   :  201 ; 
+ 18c   :  202 ; 
+ 18d   :  203 ; 
+ 18e   :  204 ; 
+ 18f   :  205 ; 
+ 190   :  206 ; 
+ 191   :  207 ; 
+ 192   :  208 ; 
+ 193   :  209 ; 
+ 194   :  20a ; 
+ 195   :  20b ; 
+ 196   :  20c ; 
+ 197   :  20d ; 
+ 198   :  20e ; 
+ 199   :  20f ; 
+ 19a   :  210 ; 
+ 19b   :  211 ; 
+ 19c   :  212 ; 
+ 19d   :  213 ; 
+ 19e   :  214 ; 
+ 19f   :  214 ; 
+ 1a0   :  215 ; 
+ 1a1   :  216 ; 
+ 1a2   :  217 ; 
+ 1a3   :  218 ; 
+ 1a4   :  219 ; 
+ 1a5   :  21a ; 
+ 1a6   :  21b ; 
+ 1a7   :  21c ; 
+ 1a8   :  21d ; 
+ 1a9   :  21e ; 
+ 1aa   :  21e ; 
+ 1ab   :  21f ; 
+ 1ac   :  220 ; 
+ 1ad   :  221 ; 
+ 1ae   :  222 ; 
+ 1af   :  223 ; 
+ 1b0   :  224 ; 
+ 1b1   :  225 ; 
+ 1b2   :  225 ; 
+ 1b3   :  226 ; 
+ 1b4   :  227 ; 
+ 1b5   :  228 ; 
+ 1b6   :  229 ; 
+ 1b7   :  22a ; 
+ 1b8   :  22b ; 
+ 1b9   :  22b ; 
+ 1ba   :  22c ; 
+ 1bb   :  22d ; 
+ 1bc   :  22e ; 
+ 1bd   :  22f ; 
+ 1be   :  230 ; 
+ 1bf   :  230 ; 
+ 1c0   :  231 ; 
+ 1c1   :  232 ; 
+ 1c2   :  233 ; 
+ 1c3   :  234 ; 
+ 1c4   :  234 ; 
+ 1c5   :  235 ; 
+ 1c6   :  236 ; 
+ 1c7   :  237 ; 
+ 1c8   :  237 ; 
+ 1c9   :  238 ; 
+ 1ca   :  239 ; 
+ 1cb   :  23a ; 
+ 1cc   :  23b ; 
+ 1cd   :  23b ; 
+ 1ce   :  23c ; 
+ 1cf   :  23d ; 
+ 1d0   :  23e ; 
+ 1d1   :  23e ; 
+ 1d2   :  23f ; 
+ 1d3   :  240 ; 
+ 1d4   :  240 ; 
+ 1d5   :  241 ; 
+ 1d6   :  242 ; 
+ 1d7   :  243 ; 
+ 1d8   :  243 ; 
+ 1d9   :  244 ; 
+ 1da   :  245 ; 
+ 1db   :  245 ; 
+ 1dc   :  246 ; 
+ 1dd   :  247 ; 
+ 1de   :  247 ; 
+ 1df   :  248 ; 
+ 1e0   :  249 ; 
+ 1e1   :  249 ; 
+ 1e2   :  24a ; 
+ 1e3   :  24b ; 
+ 1e4   :  24b ; 
+ 1e5   :  24c ; 
+ 1e6   :  24d ; 
+ 1e7   :  24d ; 
+ 1e8   :  24e ; 
+ 1e9   :  24f ; 
+ 1ea   :  24f ; 
+ 1eb   :  250 ; 
+ 1ec   :  250 ; 
+ 1ed   :  251 ; 
+ 1ee   :  252 ; 
+ 1ef   :  252 ; 
+ 1f0   :  253 ; 
+ 1f1   :  254 ; 
+ 1f2   :  254 ; 
+ 1f3   :  255 ; 
+ 1f4   :  255 ; 
+ 1f5   :  256 ; 
+ 1f6   :  256 ; 
+ 1f7   :  257 ; 
+ 1f8   :  258 ; 
+ 1f9   :  258 ; 
+ 1fa   :  259 ; 
+ 1fb   :  259 ; 
+ 1fc   :  25a ; 
+ 1fd   :  25a ; 
+ 1fe   :  25b ; 
+ 1ff   :  25b ; 
+ 200   :  25c ; 
+ 201   :  25c ; 
+ 202   :  25d ; 
+ 203   :  25d ; 
+ 204   :  25e ; 
+ 205   :  25e ; 
+ 206   :  25f ; 
+ 207   :  25f ; 
+ 208   :  260 ; 
+ 209   :  260 ; 
+ 20a   :  261 ; 
+ 20b   :  261 ; 
+ 20c   :  262 ; 
+ 20d   :  262 ; 
+ 20e   :  263 ; 
+ 20f   :  263 ; 
+ 210   :  264 ; 
+ 211   :  264 ; 
+ 212   :  264 ; 
+ 213   :  265 ; 
+ 214   :  265 ; 
+ 215   :  266 ; 
+ 216   :  266 ; 
+ 217   :  266 ; 
+ 218   :  267 ; 
+ 219   :  267 ; 
+ 21a   :  268 ; 
+ 21b   :  268 ; 
+ 21c   :  268 ; 
+ 21d   :  269 ; 
+ 21e   :  269 ; 
+ 21f   :  26a ; 
+ 220   :  26a ; 
+ 221   :  26a ; 
+ 222   :  26b ; 
+ 223   :  26b ; 
+ 224   :  26b ; 
+ 225   :  26c ; 
+ 226   :  26c ; 
+ 227   :  26c ; 
+ 228   :  26d ; 
+ 229   :  26d ; 
+ 22a   :  26d ; 
+ 22b   :  26d ; 
+ 22c   :  26e ; 
+ 22d   :  26e ; 
+ 22e   :  26e ; 
+ 22f   :  26f ; 
+ 230   :  26f ; 
+ 231   :  26f ; 
+ 232   :  26f ; 
+ 233   :  270 ; 
+ 234   :  270 ; 
+ 235   :  270 ; 
+ 236   :  270 ; 
+ 237   :  271 ; 
+ 238   :  271 ; 
+ 239   :  271 ; 
+ 23a   :  271 ; 
+ 23b   :  271 ; 
+ 23c   :  272 ; 
+ 23d   :  272 ; 
+ 23e   :  272 ; 
+ 23f   :  272 ; 
+ 240   :  272 ; 
+ 241   :  273 ; 
+ 242   :  273 ; 
+ 243   :  273 ; 
+ 244   :  273 ; 
+ 245   :  273 ; 
+ 246   :  273 ; 
+ 247   :  274 ; 
+ 248   :  274 ; 
+ 249   :  274 ; 
+ 24a   :  274 ; 
+ 24b   :  274 ; 
+ 24c   :  274 ; 
+ 24d   :  274 ; 
+ 24e   :  274 ; 
+ 24f   :  274 ; 
+ 250   :  275 ; 
+ 251   :  275 ; 
+ 252   :  275 ; 
+ 253   :  275 ; 
+ 254   :  275 ; 
+ 255   :  275 ; 
+ 256   :  275 ; 
+ 257   :  275 ; 
+ 258   :  275 ; 
+ 259   :  275 ; 
+ 25a   :  275 ; 
+ 25b   :  275 ; 
+ 25c   :  275 ; 
+ 25d   :  275 ; 
+ 25e   :  275 ; 
+ 25f   :  275 ; 
+ 260   :  275 ; 
+ 261   :  275 ; 
+ 262   :  275 ; 
+ 263   :  275 ; 
+ 264   :  275 ; 
+ 265   :  275 ; 
+ 266   :  275 ; 
+ 267   :  275 ; 
+ 268   :  275 ; 
+ 269   :  275 ; 
+ 26a   :  275 ; 
+ 26b   :  275 ; 
+ 26c   :  275 ; 
+ 26d   :  274 ; 
+ 26e   :  274 ; 
+ 26f   :  274 ; 
+ 270   :  274 ; 
+ 271   :  274 ; 
+ 272   :  274 ; 
+ 273   :  274 ; 
+ 274   :  274 ; 
+ 275   :  273 ; 
+ 276   :  273 ; 
+ 277   :  273 ; 
+ 278   :  273 ; 
+ 279   :  273 ; 
+ 27a   :  273 ; 
+ 27b   :  273 ; 
+ 27c   :  272 ; 
+ 27d   :  272 ; 
+ 27e   :  272 ; 
+ 27f   :  272 ; 
+ 280   :  272 ; 
+ 281   :  271 ; 
+ 282   :  271 ; 
+ 283   :  271 ; 
+ 284   :  271 ; 
+ 285   :  270 ; 
+ 286   :  270 ; 
+ 287   :  270 ; 
+ 288   :  270 ; 
+ 289   :  26f ; 
+ 28a   :  26f ; 
+ 28b   :  26f ; 
+ 28c   :  26e ; 
+ 28d   :  26e ; 
+ 28e   :  26e ; 
+ 28f   :  26e ; 
+ 290   :  26d ; 
+ 291   :  26d ; 
+ 292   :  26d ; 
+ 293   :  26c ; 
+ 294   :  26c ; 
+ 295   :  26c ; 
+ 296   :  26b ; 
+ 297   :  26b ; 
+ 298   :  26b ; 
+ 299   :  26a ; 
+ 29a   :  26a ; 
+ 29b   :  269 ; 
+ 29c   :  269 ; 
+ 29d   :  269 ; 
+ 29e   :  268 ; 
+ 29f   :  268 ; 
+ 2a0   :  267 ; 
+ 2a1   :  267 ; 
+ 2a2   :  267 ; 
+ 2a3   :  266 ; 
+ 2a4   :  266 ; 
+ 2a5   :  265 ; 
+ 2a6   :  265 ; 
+ 2a7   :  264 ; 
+ 2a8   :  264 ; 
+ 2a9   :  263 ; 
+ 2aa   :  263 ; 
+ 2ab   :  262 ; 
+ 2ac   :  262 ; 
+ 2ad   :  261 ; 
+ 2ae   :  261 ; 
+ 2af   :  260 ; 
+ 2b0   :  260 ; 
+ 2b1   :  25f ; 
+ 2b2   :  25f ; 
+ 2b3   :  25e ; 
+ 2b4   :  25e ; 
+ 2b5   :  25d ; 
+ 2b6   :  25c ; 
+ 2b7   :  25c ; 
+ 2b8   :  25b ; 
+ 2b9   :  25b ; 
+ 2ba   :  25a ; 
+ 2bb   :  25a ; 
+ 2bc   :  259 ; 
+ 2bd   :  258 ; 
+ 2be   :  258 ; 
+ 2bf   :  257 ; 
+ 2c0   :  256 ; 
+ 2c1   :  256 ; 
+ 2c2   :  255 ; 
+ 2c3   :  254 ; 
+ 2c4   :  254 ; 
+ 2c5   :  253 ; 
+ 2c6   :  252 ; 
+ 2c7   :  252 ; 
+ 2c8   :  251 ; 
+ 2c9   :  250 ; 
+ 2ca   :  250 ; 
+ 2cb   :  24f ; 
+ 2cc   :  24e ; 
+ 2cd   :  24e ; 
+ 2ce   :  24d ; 
+ 2cf   :  24c ; 
+ 2d0   :  24b ; 
+ 2d1   :  24b ; 
+ 2d2   :  24a ; 
+ 2d3   :  249 ; 
+ 2d4   :  248 ; 
+ 2d5   :  247 ; 
+ 2d6   :  247 ; 
+ 2d7   :  246 ; 
+ 2d8   :  245 ; 
+ 2d9   :  244 ; 
+ 2da   :  243 ; 
+ 2db   :  243 ; 
+ 2dc   :  242 ; 
+ 2dd   :  241 ; 
+ 2de   :  240 ; 
+ 2df   :  23f ; 
+ 2e0   :  23e ; 
+ 2e1   :  23e ; 
+ 2e2   :  23d ; 
+ 2e3   :  23c ; 
+ 2e4   :  23b ; 
+ 2e5   :  23a ; 
+ 2e6   :  239 ; 
+ 2e7   :  238 ; 
+ 2e8   :  237 ; 
+ 2e9   :  236 ; 
+ 2ea   :  235 ; 
+ 2eb   :  235 ; 
+ 2ec   :  234 ; 
+ 2ed   :  233 ; 
+ 2ee   :  232 ; 
+ 2ef   :  231 ; 
+ 2f0   :  230 ; 
+ 2f1   :  22f ; 
+ 2f2   :  22e ; 
+ 2f3   :  22d ; 
+ 2f4   :  22c ; 
+ 2f5   :  22b ; 
+ 2f6   :  22a ; 
+ 2f7   :  229 ; 
+ 2f8   :  228 ; 
+ 2f9   :  227 ; 
+ 2fa   :  226 ; 
+ 2fb   :  225 ; 
+ 2fc   :  224 ; 
+ 2fd   :  222 ; 
+ 2fe   :  221 ; 
+ 2ff   :  220 ; 
+ 300   :  21f ; 
+ 301   :  21e ; 
+ 302   :  21d ; 
+ 303   :  21c ; 
+ 304   :  21b ; 
+ 305   :  21a ; 
+ 306   :  219 ; 
+ 307   :  217 ; 
+ 308   :  216 ; 
+ 309   :  215 ; 
+ 30a   :  214 ; 
+ 30b   :  213 ; 
+ 30c   :  212 ; 
+ 30d   :  211 ; 
+ 30e   :  20f ; 
+ 30f   :  20e ; 
+ 310   :  20d ; 
+ 311   :  20c ; 
+ 312   :  20b ; 
+ 313   :  209 ; 
+ 314   :  208 ; 
+ 315   :  207 ; 
+ 316   :  206 ; 
+ 317   :  204 ; 
+ 318   :  203 ; 
+ 319   :  202 ; 
+ 31a   :  201 ; 
+ 31b   :  1ff ; 
+ 31c   :  1fe ; 
+ 31d   :  1fd ; 
+ 31e   :  1fb ; 
+ 31f   :  1fa ; 
+ 320   :  1f9 ; 
+ 321   :  1f8 ; 
+ 322   :  1f6 ; 
+ 323   :  1f5 ; 
+ 324   :  1f4 ; 
+ 325   :  1f2 ; 
+ 326   :  1f1 ; 
+ 327   :  1f0 ; 
+ 328   :  1ee ; 
+ 329   :  1ed ; 
+ 32a   :  1eb ; 
+ 32b   :  1ea ; 
+ 32c   :  1e9 ; 
+ 32d   :  1e7 ; 
+ 32e   :  1e6 ; 
+ 32f   :  1e4 ; 
+ 330   :  1e3 ; 
+ 331   :  1e2 ; 
+ 332   :  1e0 ; 
+ 333   :  1df ; 
+ 334   :  1dd ; 
+ 335   :  1dc ; 
+ 336   :  1da ; 
+ 337   :  1d9 ; 
+ 338   :  1d7 ; 
+ 339   :  1d6 ; 
+ 33a   :  1d4 ; 
+ 33b   :  1d3 ; 
+ 33c   :  1d1 ; 
+ 33d   :  1d0 ; 
+ 33e   :  1ce ; 
+ 33f   :  1cd ; 
+ 340   :  1cb ; 
+ 341   :  1ca ; 
+ 342   :  1c8 ; 
+ 343   :  1c7 ; 
+ 344   :  1c5 ; 
+ 345   :  1c3 ; 
+ 346   :  1c2 ; 
+ 347   :  1c0 ; 
+ 348   :  1bf ; 
+ 349   :  1bd ; 
+ 34a   :  1bc ; 
+ 34b   :  1ba ; 
+ 34c   :  1b8 ; 
+ 34d   :  1b7 ; 
+ 34e   :  1b5 ; 
+ 34f   :  1b3 ; 
+ 350   :  1b2 ; 
+ 351   :  1b0 ; 
+ 352   :  1ae ; 
+ 353   :  1ad ; 
+ 354   :  1ab ; 
+ 355   :  1a9 ; 
+ 356   :  1a8 ; 
+ 357   :  1a6 ; 
+ 358   :  1a4 ; 
+ 359   :  1a3 ; 
+ 35a   :  1a1 ; 
+ 35b   :  19f ; 
+ 35c   :  19e ; 
+ 35d   :  19c ; 
+ 35e   :  19a ; 
+ 35f   :  198 ; 
+ 360   :  197 ; 
+ 361   :  195 ; 
+ 362   :  193 ; 
+ 363   :  191 ; 
+ 364   :  18f ; 
+ 365   :  18e ; 
+ 366   :  18c ; 
+ 367   :  18a ; 
+ 368   :  188 ; 
+ 369   :  186 ; 
+ 36a   :  185 ; 
+ 36b   :  183 ; 
+ 36c   :  181 ; 
+ 36d   :  17f ; 
+ 36e   :  17d ; 
+ 36f   :  17b ; 
+ 370   :  17a ; 
+ 371   :  178 ; 
+ 372   :  176 ; 
+ 373   :  174 ; 
+ 374   :  172 ; 
+ 375   :  170 ; 
+ 376   :  16e ; 
+ 377   :  16c ; 
+ 378   :  16a ; 
+ 379   :  168 ; 
+ 37a   :  167 ; 
+ 37b   :  165 ; 
+ 37c   :  163 ; 
+ 37d   :  161 ; 
+ 37e   :  15f ; 
+ 37f   :  15d ; 
+ 380   :  15b ; 
+ 381   :  159 ; 
+ 382   :  157 ; 
+ 383   :  155 ; 
+ 384   :  153 ; 
+ 385   :  151 ; 
+ 386   :  14f ; 
+ 387   :  14d ; 
+ 388   :  14b ; 
+ 389   :  149 ; 
+ 38a   :  147 ; 
+ 38b   :  145 ; 
+ 38c   :  143 ; 
+ 38d   :  141 ; 
+ 38e   :  13f ; 
+ 38f   :  13d ; 
+ 390   :  13a ; 
+ 391   :  138 ; 
+ 392   :  136 ; 
+ 393   :  134 ; 
+ 394   :  132 ; 
+ 395   :  130 ; 
+ 396   :  12e ; 
+ 397   :  12c ; 
+ 398   :  12a ; 
+ 399   :  128 ; 
+ 39a   :  125 ; 
+ 39b   :  123 ; 
+ 39c   :  121 ; 
+ 39d   :  11f ; 
+ 39e   :  11d ; 
+ 39f   :  11b ; 
+ 3a0   :  118 ; 
+ 3a1   :  116 ; 
+ 3a2   :  114 ; 
+ 3a3   :  112 ; 
+ 3a4   :  110 ; 
+ 3a5   :  10e ; 
+ 3a6   :  10b ; 
+ 3a7   :  109 ; 
+ 3a8   :  107 ; 
+ 3a9   :  105 ; 
+ 3aa   :  102 ; 
+ 3ab   :  100 ; 
+ 3ac   :  fe ; 
+ 3ad   :  fc ; 
+ 3ae   :  f9 ; 
+ 3af   :  f7 ; 
+ 3b0   :  f5 ; 
+ 3b1   :  f3 ; 
+ 3b2   :  f0 ; 
+ 3b3   :  ee ; 
+ 3b4   :  ec ; 
+ 3b5   :  e9 ; 
+ 3b6   :  e7 ; 
+ 3b7   :  e5 ; 
+ 3b8   :  e2 ; 
+ 3b9   :  e0 ; 
+ 3ba   :  de ; 
+ 3bb   :  db ; 
+ 3bc   :  d9 ; 
+ 3bd   :  d7 ; 
+ 3be   :  d4 ; 
+ 3bf   :  d2 ; 
+ 3c0   :  d0 ; 
+ 3c1   :  cd ; 
+ 3c2   :  cb ; 
+ 3c3   :  c9 ; 
+ 3c4   :  c6 ; 
+ 3c5   :  c4 ; 
+ 3c6   :  c1 ; 
+ 3c7   :  bf ; 
+ 3c8   :  bd ; 
+ 3c9   :  ba ; 
+ 3ca   :  b8 ; 
+ 3cb   :  b5 ; 
+ 3cc   :  b3 ; 
+ 3cd   :  b0 ; 
+ 3ce   :  ae ; 
+ 3cf   :  ac ; 
+ 3d0   :  a9 ; 
+ 3d1   :  a7 ; 
+ 3d2   :  a4 ; 
+ 3d3   :  a2 ; 
+ 3d4   :  9f ; 
+ 3d5   :  9d ; 
+ 3d6   :  9a ; 
+ 3d7   :  98 ; 
+ 3d8   :  95 ; 
+ 3d9   :  93 ; 
+ 3da   :  90 ; 
+ 3db   :  8e ; 
+ 3dc   :  8b ; 
+ 3dd   :  89 ; 
+ 3de   :  86 ; 
+ 3df   :  84 ; 
+ 3e0   :  81 ; 
+ 3e1   :  7f ; 
+ 3e2   :  7c ; 
+ 3e3   :  79 ; 
+ 3e4   :  77 ; 
+ 3e5   :  74 ; 
+ 3e6   :  72 ; 
+ 3e7   :  6f ; 
+ 3e8   :  6d ; 
+ 3e9   :  6a ; 
+ 3ea   :  67 ; 
+ 3eb   :  65 ; 
+ 3ec   :  62 ; 
+ 3ed   :  60 ; 
+ 3ee   :  5d ; 
+ 3ef   :  5a ; 
+ 3f0   :  58 ; 
+ 3f1   :  55 ; 
+ 3f2   :  52 ; 
+ 3f3   :  50 ; 
+ 3f4   :  4d ; 
+ 3f5   :  4a ; 
+ 3f6   :  48 ; 
+ 3f7   :  45 ; 
+ 3f8   :  42 ; 
+ 3f9   :  40 ; 
+ 3fa   :  3d ; 
+ 3fb   :  3a ; 
+ 3fc   :  38 ; 
+ 3fd   :  35 ; 
+ 3fe   :  32 ; 
+ 3ff   :  30 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_13.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_13.mif
new file mode 100644
index 0000000000000000000000000000000000000000..2cc0f975ccd95fa8b5492d43760067bdb9f2fc25
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_13.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  ffcf ; 
+ 1   :  ffcf ; 
+ 2   :  ffce ; 
+ 3   :  ffce ; 
+ 4   :  ffce ; 
+ 5   :  ffcd ; 
+ 6   :  ffcd ; 
+ 7   :  ffcc ; 
+ 8   :  ffcc ; 
+ 9   :  ffcc ; 
+ a   :  ffcb ; 
+ b   :  ffcb ; 
+ c   :  ffcb ; 
+ d   :  ffca ; 
+ e   :  ffca ; 
+ f   :  ffca ; 
+ 10   :  ffc9 ; 
+ 11   :  ffc9 ; 
+ 12   :  ffc8 ; 
+ 13   :  ffc8 ; 
+ 14   :  ffc8 ; 
+ 15   :  ffc7 ; 
+ 16   :  ffc7 ; 
+ 17   :  ffc7 ; 
+ 18   :  ffc6 ; 
+ 19   :  ffc6 ; 
+ 1a   :  ffc5 ; 
+ 1b   :  ffc5 ; 
+ 1c   :  ffc5 ; 
+ 1d   :  ffc4 ; 
+ 1e   :  ffc4 ; 
+ 1f   :  ffc4 ; 
+ 20   :  ffc3 ; 
+ 21   :  ffc3 ; 
+ 22   :  ffc2 ; 
+ 23   :  ffc2 ; 
+ 24   :  ffc2 ; 
+ 25   :  ffc1 ; 
+ 26   :  ffc1 ; 
+ 27   :  ffc1 ; 
+ 28   :  ffc0 ; 
+ 29   :  ffc0 ; 
+ 2a   :  ffbf ; 
+ 2b   :  ffbf ; 
+ 2c   :  ffbf ; 
+ 2d   :  ffbe ; 
+ 2e   :  ffbe ; 
+ 2f   :  ffbd ; 
+ 30   :  ffbd ; 
+ 31   :  ffbd ; 
+ 32   :  ffbc ; 
+ 33   :  ffbc ; 
+ 34   :  ffbc ; 
+ 35   :  ffbb ; 
+ 36   :  ffbb ; 
+ 37   :  ffba ; 
+ 38   :  ffba ; 
+ 39   :  ffba ; 
+ 3a   :  ffb9 ; 
+ 3b   :  ffb9 ; 
+ 3c   :  ffb8 ; 
+ 3d   :  ffb8 ; 
+ 3e   :  ffb8 ; 
+ 3f   :  ffb7 ; 
+ 40   :  ffb7 ; 
+ 41   :  ffb6 ; 
+ 42   :  ffb6 ; 
+ 43   :  ffb6 ; 
+ 44   :  ffb5 ; 
+ 45   :  ffb5 ; 
+ 46   :  ffb4 ; 
+ 47   :  ffb4 ; 
+ 48   :  ffb4 ; 
+ 49   :  ffb3 ; 
+ 4a   :  ffb3 ; 
+ 4b   :  ffb2 ; 
+ 4c   :  ffb2 ; 
+ 4d   :  ffb2 ; 
+ 4e   :  ffb1 ; 
+ 4f   :  ffb1 ; 
+ 50   :  ffb1 ; 
+ 51   :  ffb0 ; 
+ 52   :  ffb0 ; 
+ 53   :  ffaf ; 
+ 54   :  ffaf ; 
+ 55   :  ffaf ; 
+ 56   :  ffae ; 
+ 57   :  ffae ; 
+ 58   :  ffad ; 
+ 59   :  ffad ; 
+ 5a   :  ffad ; 
+ 5b   :  ffac ; 
+ 5c   :  ffac ; 
+ 5d   :  ffab ; 
+ 5e   :  ffab ; 
+ 5f   :  ffaa ; 
+ 60   :  ffaa ; 
+ 61   :  ffaa ; 
+ 62   :  ffa9 ; 
+ 63   :  ffa9 ; 
+ 64   :  ffa8 ; 
+ 65   :  ffa8 ; 
+ 66   :  ffa8 ; 
+ 67   :  ffa7 ; 
+ 68   :  ffa7 ; 
+ 69   :  ffa6 ; 
+ 6a   :  ffa6 ; 
+ 6b   :  ffa6 ; 
+ 6c   :  ffa5 ; 
+ 6d   :  ffa5 ; 
+ 6e   :  ffa4 ; 
+ 6f   :  ffa4 ; 
+ 70   :  ffa4 ; 
+ 71   :  ffa3 ; 
+ 72   :  ffa3 ; 
+ 73   :  ffa2 ; 
+ 74   :  ffa2 ; 
+ 75   :  ffa2 ; 
+ 76   :  ffa1 ; 
+ 77   :  ffa1 ; 
+ 78   :  ffa0 ; 
+ 79   :  ffa0 ; 
+ 7a   :  ffa0 ; 
+ 7b   :  ff9f ; 
+ 7c   :  ff9f ; 
+ 7d   :  ff9e ; 
+ 7e   :  ff9e ; 
+ 7f   :  ff9d ; 
+ 80   :  ff9d ; 
+ 81   :  ff9d ; 
+ 82   :  ff9c ; 
+ 83   :  ff9c ; 
+ 84   :  ff9b ; 
+ 85   :  ff9b ; 
+ 86   :  ff9b ; 
+ 87   :  ff9a ; 
+ 88   :  ff9a ; 
+ 89   :  ff99 ; 
+ 8a   :  ff99 ; 
+ 8b   :  ff99 ; 
+ 8c   :  ff98 ; 
+ 8d   :  ff98 ; 
+ 8e   :  ff97 ; 
+ 8f   :  ff97 ; 
+ 90   :  ff96 ; 
+ 91   :  ff96 ; 
+ 92   :  ff96 ; 
+ 93   :  ff95 ; 
+ 94   :  ff95 ; 
+ 95   :  ff94 ; 
+ 96   :  ff94 ; 
+ 97   :  ff94 ; 
+ 98   :  ff93 ; 
+ 99   :  ff93 ; 
+ 9a   :  ff92 ; 
+ 9b   :  ff92 ; 
+ 9c   :  ff91 ; 
+ 9d   :  ff91 ; 
+ 9e   :  ff91 ; 
+ 9f   :  ff90 ; 
+ a0   :  ff90 ; 
+ a1   :  ff8f ; 
+ a2   :  ff8f ; 
+ a3   :  ff8f ; 
+ a4   :  ff8e ; 
+ a5   :  ff8e ; 
+ a6   :  ff8d ; 
+ a7   :  ff8d ; 
+ a8   :  ff8d ; 
+ a9   :  ff8c ; 
+ aa   :  ff8c ; 
+ ab   :  ff8b ; 
+ ac   :  ff8b ; 
+ ad   :  ff8a ; 
+ ae   :  ff8a ; 
+ af   :  ff8a ; 
+ b0   :  ff89 ; 
+ b1   :  ff89 ; 
+ b2   :  ff88 ; 
+ b3   :  ff88 ; 
+ b4   :  ff88 ; 
+ b5   :  ff87 ; 
+ b6   :  ff87 ; 
+ b7   :  ff86 ; 
+ b8   :  ff86 ; 
+ b9   :  ff85 ; 
+ ba   :  ff85 ; 
+ bb   :  ff85 ; 
+ bc   :  ff84 ; 
+ bd   :  ff84 ; 
+ be   :  ff83 ; 
+ bf   :  ff83 ; 
+ c0   :  ff83 ; 
+ c1   :  ff82 ; 
+ c2   :  ff82 ; 
+ c3   :  ff81 ; 
+ c4   :  ff81 ; 
+ c5   :  ff81 ; 
+ c6   :  ff80 ; 
+ c7   :  ff80 ; 
+ c8   :  ff7f ; 
+ c9   :  ff7f ; 
+ ca   :  ff7e ; 
+ cb   :  ff7e ; 
+ cc   :  ff7e ; 
+ cd   :  ff7d ; 
+ ce   :  ff7d ; 
+ cf   :  ff7c ; 
+ d0   :  ff7c ; 
+ d1   :  ff7c ; 
+ d2   :  ff7b ; 
+ d3   :  ff7b ; 
+ d4   :  ff7a ; 
+ d5   :  ff7a ; 
+ d6   :  ff79 ; 
+ d7   :  ff79 ; 
+ d8   :  ff79 ; 
+ d9   :  ff78 ; 
+ da   :  ff78 ; 
+ db   :  ff77 ; 
+ dc   :  ff77 ; 
+ dd   :  ff77 ; 
+ de   :  ff76 ; 
+ df   :  ff76 ; 
+ e0   :  ff75 ; 
+ e1   :  ff75 ; 
+ e2   :  ff75 ; 
+ e3   :  ff74 ; 
+ e4   :  ff74 ; 
+ e5   :  ff73 ; 
+ e6   :  ff73 ; 
+ e7   :  ff73 ; 
+ e8   :  ff72 ; 
+ e9   :  ff72 ; 
+ ea   :  ff71 ; 
+ eb   :  ff71 ; 
+ ec   :  ff71 ; 
+ ed   :  ff70 ; 
+ ee   :  ff70 ; 
+ ef   :  ff6f ; 
+ f0   :  ff6f ; 
+ f1   :  ff6e ; 
+ f2   :  ff6e ; 
+ f3   :  ff6e ; 
+ f4   :  ff6d ; 
+ f5   :  ff6d ; 
+ f6   :  ff6c ; 
+ f7   :  ff6c ; 
+ f8   :  ff6c ; 
+ f9   :  ff6b ; 
+ fa   :  ff6b ; 
+ fb   :  ff6a ; 
+ fc   :  ff6a ; 
+ fd   :  ff6a ; 
+ fe   :  ff69 ; 
+ ff   :  ff69 ; 
+ 100   :  ff68 ; 
+ 101   :  ff68 ; 
+ 102   :  ff68 ; 
+ 103   :  ff67 ; 
+ 104   :  ff67 ; 
+ 105   :  ff66 ; 
+ 106   :  ff66 ; 
+ 107   :  ff66 ; 
+ 108   :  ff65 ; 
+ 109   :  ff65 ; 
+ 10a   :  ff64 ; 
+ 10b   :  ff64 ; 
+ 10c   :  ff64 ; 
+ 10d   :  ff63 ; 
+ 10e   :  ff63 ; 
+ 10f   :  ff63 ; 
+ 110   :  ff62 ; 
+ 111   :  ff62 ; 
+ 112   :  ff61 ; 
+ 113   :  ff61 ; 
+ 114   :  ff61 ; 
+ 115   :  ff60 ; 
+ 116   :  ff60 ; 
+ 117   :  ff5f ; 
+ 118   :  ff5f ; 
+ 119   :  ff5f ; 
+ 11a   :  ff5e ; 
+ 11b   :  ff5e ; 
+ 11c   :  ff5d ; 
+ 11d   :  ff5d ; 
+ 11e   :  ff5d ; 
+ 11f   :  ff5c ; 
+ 120   :  ff5c ; 
+ 121   :  ff5c ; 
+ 122   :  ff5b ; 
+ 123   :  ff5b ; 
+ 124   :  ff5a ; 
+ 125   :  ff5a ; 
+ 126   :  ff5a ; 
+ 127   :  ff59 ; 
+ 128   :  ff59 ; 
+ 129   :  ff58 ; 
+ 12a   :  ff58 ; 
+ 12b   :  ff58 ; 
+ 12c   :  ff57 ; 
+ 12d   :  ff57 ; 
+ 12e   :  ff57 ; 
+ 12f   :  ff56 ; 
+ 130   :  ff56 ; 
+ 131   :  ff55 ; 
+ 132   :  ff55 ; 
+ 133   :  ff55 ; 
+ 134   :  ff54 ; 
+ 135   :  ff54 ; 
+ 136   :  ff54 ; 
+ 137   :  ff53 ; 
+ 138   :  ff53 ; 
+ 139   :  ff53 ; 
+ 13a   :  ff52 ; 
+ 13b   :  ff52 ; 
+ 13c   :  ff51 ; 
+ 13d   :  ff51 ; 
+ 13e   :  ff51 ; 
+ 13f   :  ff50 ; 
+ 140   :  ff50 ; 
+ 141   :  ff50 ; 
+ 142   :  ff4f ; 
+ 143   :  ff4f ; 
+ 144   :  ff4f ; 
+ 145   :  ff4e ; 
+ 146   :  ff4e ; 
+ 147   :  ff4d ; 
+ 148   :  ff4d ; 
+ 149   :  ff4d ; 
+ 14a   :  ff4c ; 
+ 14b   :  ff4c ; 
+ 14c   :  ff4c ; 
+ 14d   :  ff4b ; 
+ 14e   :  ff4b ; 
+ 14f   :  ff4b ; 
+ 150   :  ff4a ; 
+ 151   :  ff4a ; 
+ 152   :  ff4a ; 
+ 153   :  ff49 ; 
+ 154   :  ff49 ; 
+ 155   :  ff48 ; 
+ 156   :  ff48 ; 
+ 157   :  ff48 ; 
+ 158   :  ff47 ; 
+ 159   :  ff47 ; 
+ 15a   :  ff47 ; 
+ 15b   :  ff46 ; 
+ 15c   :  ff46 ; 
+ 15d   :  ff46 ; 
+ 15e   :  ff45 ; 
+ 15f   :  ff45 ; 
+ 160   :  ff45 ; 
+ 161   :  ff44 ; 
+ 162   :  ff44 ; 
+ 163   :  ff44 ; 
+ 164   :  ff43 ; 
+ 165   :  ff43 ; 
+ 166   :  ff43 ; 
+ 167   :  ff42 ; 
+ 168   :  ff42 ; 
+ 169   :  ff42 ; 
+ 16a   :  ff41 ; 
+ 16b   :  ff41 ; 
+ 16c   :  ff41 ; 
+ 16d   :  ff40 ; 
+ 16e   :  ff40 ; 
+ 16f   :  ff40 ; 
+ 170   :  ff3f ; 
+ 171   :  ff3f ; 
+ 172   :  ff3f ; 
+ 173   :  ff3f ; 
+ 174   :  ff3e ; 
+ 175   :  ff3e ; 
+ 176   :  ff3e ; 
+ 177   :  ff3d ; 
+ 178   :  ff3d ; 
+ 179   :  ff3d ; 
+ 17a   :  ff3c ; 
+ 17b   :  ff3c ; 
+ 17c   :  ff3c ; 
+ 17d   :  ff3b ; 
+ 17e   :  ff3b ; 
+ 17f   :  ff3b ; 
+ 180   :  ff3a ; 
+ 181   :  ff3a ; 
+ 182   :  ff3a ; 
+ 183   :  ff3a ; 
+ 184   :  ff39 ; 
+ 185   :  ff39 ; 
+ 186   :  ff39 ; 
+ 187   :  ff38 ; 
+ 188   :  ff38 ; 
+ 189   :  ff38 ; 
+ 18a   :  ff37 ; 
+ 18b   :  ff37 ; 
+ 18c   :  ff37 ; 
+ 18d   :  ff37 ; 
+ 18e   :  ff36 ; 
+ 18f   :  ff36 ; 
+ 190   :  ff36 ; 
+ 191   :  ff35 ; 
+ 192   :  ff35 ; 
+ 193   :  ff35 ; 
+ 194   :  ff35 ; 
+ 195   :  ff34 ; 
+ 196   :  ff34 ; 
+ 197   :  ff34 ; 
+ 198   :  ff33 ; 
+ 199   :  ff33 ; 
+ 19a   :  ff33 ; 
+ 19b   :  ff33 ; 
+ 19c   :  ff32 ; 
+ 19d   :  ff32 ; 
+ 19e   :  ff32 ; 
+ 19f   :  ff32 ; 
+ 1a0   :  ff31 ; 
+ 1a1   :  ff31 ; 
+ 1a2   :  ff31 ; 
+ 1a3   :  ff30 ; 
+ 1a4   :  ff30 ; 
+ 1a5   :  ff30 ; 
+ 1a6   :  ff30 ; 
+ 1a7   :  ff2f ; 
+ 1a8   :  ff2f ; 
+ 1a9   :  ff2f ; 
+ 1aa   :  ff2f ; 
+ 1ab   :  ff2e ; 
+ 1ac   :  ff2e ; 
+ 1ad   :  ff2e ; 
+ 1ae   :  ff2e ; 
+ 1af   :  ff2d ; 
+ 1b0   :  ff2d ; 
+ 1b1   :  ff2d ; 
+ 1b2   :  ff2d ; 
+ 1b3   :  ff2c ; 
+ 1b4   :  ff2c ; 
+ 1b5   :  ff2c ; 
+ 1b6   :  ff2c ; 
+ 1b7   :  ff2b ; 
+ 1b8   :  ff2b ; 
+ 1b9   :  ff2b ; 
+ 1ba   :  ff2b ; 
+ 1bb   :  ff2b ; 
+ 1bc   :  ff2a ; 
+ 1bd   :  ff2a ; 
+ 1be   :  ff2a ; 
+ 1bf   :  ff2a ; 
+ 1c0   :  ff29 ; 
+ 1c1   :  ff29 ; 
+ 1c2   :  ff29 ; 
+ 1c3   :  ff29 ; 
+ 1c4   :  ff29 ; 
+ 1c5   :  ff28 ; 
+ 1c6   :  ff28 ; 
+ 1c7   :  ff28 ; 
+ 1c8   :  ff28 ; 
+ 1c9   :  ff27 ; 
+ 1ca   :  ff27 ; 
+ 1cb   :  ff27 ; 
+ 1cc   :  ff27 ; 
+ 1cd   :  ff27 ; 
+ 1ce   :  ff26 ; 
+ 1cf   :  ff26 ; 
+ 1d0   :  ff26 ; 
+ 1d1   :  ff26 ; 
+ 1d2   :  ff26 ; 
+ 1d3   :  ff25 ; 
+ 1d4   :  ff25 ; 
+ 1d5   :  ff25 ; 
+ 1d6   :  ff25 ; 
+ 1d7   :  ff25 ; 
+ 1d8   :  ff24 ; 
+ 1d9   :  ff24 ; 
+ 1da   :  ff24 ; 
+ 1db   :  ff24 ; 
+ 1dc   :  ff24 ; 
+ 1dd   :  ff24 ; 
+ 1de   :  ff23 ; 
+ 1df   :  ff23 ; 
+ 1e0   :  ff23 ; 
+ 1e1   :  ff23 ; 
+ 1e2   :  ff23 ; 
+ 1e3   :  ff22 ; 
+ 1e4   :  ff22 ; 
+ 1e5   :  ff22 ; 
+ 1e6   :  ff22 ; 
+ 1e7   :  ff22 ; 
+ 1e8   :  ff22 ; 
+ 1e9   :  ff21 ; 
+ 1ea   :  ff21 ; 
+ 1eb   :  ff21 ; 
+ 1ec   :  ff21 ; 
+ 1ed   :  ff21 ; 
+ 1ee   :  ff21 ; 
+ 1ef   :  ff21 ; 
+ 1f0   :  ff20 ; 
+ 1f1   :  ff20 ; 
+ 1f2   :  ff20 ; 
+ 1f3   :  ff20 ; 
+ 1f4   :  ff20 ; 
+ 1f5   :  ff20 ; 
+ 1f6   :  ff20 ; 
+ 1f7   :  ff1f ; 
+ 1f8   :  ff1f ; 
+ 1f9   :  ff1f ; 
+ 1fa   :  ff1f ; 
+ 1fb   :  ff1f ; 
+ 1fc   :  ff1f ; 
+ 1fd   :  ff1f ; 
+ 1fe   :  ff1f ; 
+ 1ff   :  ff1e ; 
+ 200   :  ff1e ; 
+ 201   :  ff1e ; 
+ 202   :  ff1e ; 
+ 203   :  ff1e ; 
+ 204   :  ff1e ; 
+ 205   :  ff1e ; 
+ 206   :  ff1e ; 
+ 207   :  ff1d ; 
+ 208   :  ff1d ; 
+ 209   :  ff1d ; 
+ 20a   :  ff1d ; 
+ 20b   :  ff1d ; 
+ 20c   :  ff1d ; 
+ 20d   :  ff1d ; 
+ 20e   :  ff1d ; 
+ 20f   :  ff1d ; 
+ 210   :  ff1d ; 
+ 211   :  ff1d ; 
+ 212   :  ff1c ; 
+ 213   :  ff1c ; 
+ 214   :  ff1c ; 
+ 215   :  ff1c ; 
+ 216   :  ff1c ; 
+ 217   :  ff1c ; 
+ 218   :  ff1c ; 
+ 219   :  ff1c ; 
+ 21a   :  ff1c ; 
+ 21b   :  ff1c ; 
+ 21c   :  ff1c ; 
+ 21d   :  ff1c ; 
+ 21e   :  ff1c ; 
+ 21f   :  ff1b ; 
+ 220   :  ff1b ; 
+ 221   :  ff1b ; 
+ 222   :  ff1b ; 
+ 223   :  ff1b ; 
+ 224   :  ff1b ; 
+ 225   :  ff1b ; 
+ 226   :  ff1b ; 
+ 227   :  ff1b ; 
+ 228   :  ff1b ; 
+ 229   :  ff1b ; 
+ 22a   :  ff1b ; 
+ 22b   :  ff1b ; 
+ 22c   :  ff1b ; 
+ 22d   :  ff1b ; 
+ 22e   :  ff1b ; 
+ 22f   :  ff1b ; 
+ 230   :  ff1b ; 
+ 231   :  ff1b ; 
+ 232   :  ff1b ; 
+ 233   :  ff1b ; 
+ 234   :  ff1b ; 
+ 235   :  ff1b ; 
+ 236   :  ff1b ; 
+ 237   :  ff1b ; 
+ 238   :  ff1b ; 
+ 239   :  ff1b ; 
+ 23a   :  ff1b ; 
+ 23b   :  ff1b ; 
+ 23c   :  ff1b ; 
+ 23d   :  ff1b ; 
+ 23e   :  ff1b ; 
+ 23f   :  ff1b ; 
+ 240   :  ff1b ; 
+ 241   :  ff1b ; 
+ 242   :  ff1b ; 
+ 243   :  ff1b ; 
+ 244   :  ff1b ; 
+ 245   :  ff1b ; 
+ 246   :  ff1b ; 
+ 247   :  ff1b ; 
+ 248   :  ff1b ; 
+ 249   :  ff1b ; 
+ 24a   :  ff1b ; 
+ 24b   :  ff1b ; 
+ 24c   :  ff1b ; 
+ 24d   :  ff1b ; 
+ 24e   :  ff1b ; 
+ 24f   :  ff1b ; 
+ 250   :  ff1b ; 
+ 251   :  ff1b ; 
+ 252   :  ff1b ; 
+ 253   :  ff1b ; 
+ 254   :  ff1b ; 
+ 255   :  ff1b ; 
+ 256   :  ff1b ; 
+ 257   :  ff1b ; 
+ 258   :  ff1b ; 
+ 259   :  ff1b ; 
+ 25a   :  ff1b ; 
+ 25b   :  ff1c ; 
+ 25c   :  ff1c ; 
+ 25d   :  ff1c ; 
+ 25e   :  ff1c ; 
+ 25f   :  ff1c ; 
+ 260   :  ff1c ; 
+ 261   :  ff1c ; 
+ 262   :  ff1c ; 
+ 263   :  ff1c ; 
+ 264   :  ff1c ; 
+ 265   :  ff1c ; 
+ 266   :  ff1c ; 
+ 267   :  ff1c ; 
+ 268   :  ff1d ; 
+ 269   :  ff1d ; 
+ 26a   :  ff1d ; 
+ 26b   :  ff1d ; 
+ 26c   :  ff1d ; 
+ 26d   :  ff1d ; 
+ 26e   :  ff1d ; 
+ 26f   :  ff1d ; 
+ 270   :  ff1d ; 
+ 271   :  ff1e ; 
+ 272   :  ff1e ; 
+ 273   :  ff1e ; 
+ 274   :  ff1e ; 
+ 275   :  ff1e ; 
+ 276   :  ff1e ; 
+ 277   :  ff1e ; 
+ 278   :  ff1e ; 
+ 279   :  ff1f ; 
+ 27a   :  ff1f ; 
+ 27b   :  ff1f ; 
+ 27c   :  ff1f ; 
+ 27d   :  ff1f ; 
+ 27e   :  ff1f ; 
+ 27f   :  ff1f ; 
+ 280   :  ff20 ; 
+ 281   :  ff20 ; 
+ 282   :  ff20 ; 
+ 283   :  ff20 ; 
+ 284   :  ff20 ; 
+ 285   :  ff20 ; 
+ 286   :  ff21 ; 
+ 287   :  ff21 ; 
+ 288   :  ff21 ; 
+ 289   :  ff21 ; 
+ 28a   :  ff21 ; 
+ 28b   :  ff21 ; 
+ 28c   :  ff22 ; 
+ 28d   :  ff22 ; 
+ 28e   :  ff22 ; 
+ 28f   :  ff22 ; 
+ 290   :  ff22 ; 
+ 291   :  ff23 ; 
+ 292   :  ff23 ; 
+ 293   :  ff23 ; 
+ 294   :  ff23 ; 
+ 295   :  ff23 ; 
+ 296   :  ff24 ; 
+ 297   :  ff24 ; 
+ 298   :  ff24 ; 
+ 299   :  ff24 ; 
+ 29a   :  ff24 ; 
+ 29b   :  ff25 ; 
+ 29c   :  ff25 ; 
+ 29d   :  ff25 ; 
+ 29e   :  ff25 ; 
+ 29f   :  ff25 ; 
+ 2a0   :  ff26 ; 
+ 2a1   :  ff26 ; 
+ 2a2   :  ff26 ; 
+ 2a3   :  ff26 ; 
+ 2a4   :  ff27 ; 
+ 2a5   :  ff27 ; 
+ 2a6   :  ff27 ; 
+ 2a7   :  ff27 ; 
+ 2a8   :  ff28 ; 
+ 2a9   :  ff28 ; 
+ 2aa   :  ff28 ; 
+ 2ab   :  ff28 ; 
+ 2ac   :  ff29 ; 
+ 2ad   :  ff29 ; 
+ 2ae   :  ff29 ; 
+ 2af   :  ff29 ; 
+ 2b0   :  ff2a ; 
+ 2b1   :  ff2a ; 
+ 2b2   :  ff2a ; 
+ 2b3   :  ff2b ; 
+ 2b4   :  ff2b ; 
+ 2b5   :  ff2b ; 
+ 2b6   :  ff2b ; 
+ 2b7   :  ff2c ; 
+ 2b8   :  ff2c ; 
+ 2b9   :  ff2c ; 
+ 2ba   :  ff2d ; 
+ 2bb   :  ff2d ; 
+ 2bc   :  ff2d ; 
+ 2bd   :  ff2d ; 
+ 2be   :  ff2e ; 
+ 2bf   :  ff2e ; 
+ 2c0   :  ff2e ; 
+ 2c1   :  ff2f ; 
+ 2c2   :  ff2f ; 
+ 2c3   :  ff2f ; 
+ 2c4   :  ff30 ; 
+ 2c5   :  ff30 ; 
+ 2c6   :  ff30 ; 
+ 2c7   :  ff31 ; 
+ 2c8   :  ff31 ; 
+ 2c9   :  ff31 ; 
+ 2ca   :  ff32 ; 
+ 2cb   :  ff32 ; 
+ 2cc   :  ff32 ; 
+ 2cd   :  ff33 ; 
+ 2ce   :  ff33 ; 
+ 2cf   :  ff33 ; 
+ 2d0   :  ff34 ; 
+ 2d1   :  ff34 ; 
+ 2d2   :  ff34 ; 
+ 2d3   :  ff35 ; 
+ 2d4   :  ff35 ; 
+ 2d5   :  ff36 ; 
+ 2d6   :  ff36 ; 
+ 2d7   :  ff36 ; 
+ 2d8   :  ff37 ; 
+ 2d9   :  ff37 ; 
+ 2da   :  ff37 ; 
+ 2db   :  ff38 ; 
+ 2dc   :  ff38 ; 
+ 2dd   :  ff39 ; 
+ 2de   :  ff39 ; 
+ 2df   :  ff39 ; 
+ 2e0   :  ff3a ; 
+ 2e1   :  ff3a ; 
+ 2e2   :  ff3b ; 
+ 2e3   :  ff3b ; 
+ 2e4   :  ff3b ; 
+ 2e5   :  ff3c ; 
+ 2e6   :  ff3c ; 
+ 2e7   :  ff3d ; 
+ 2e8   :  ff3d ; 
+ 2e9   :  ff3d ; 
+ 2ea   :  ff3e ; 
+ 2eb   :  ff3e ; 
+ 2ec   :  ff3f ; 
+ 2ed   :  ff3f ; 
+ 2ee   :  ff40 ; 
+ 2ef   :  ff40 ; 
+ 2f0   :  ff41 ; 
+ 2f1   :  ff41 ; 
+ 2f2   :  ff41 ; 
+ 2f3   :  ff42 ; 
+ 2f4   :  ff42 ; 
+ 2f5   :  ff43 ; 
+ 2f6   :  ff43 ; 
+ 2f7   :  ff44 ; 
+ 2f8   :  ff44 ; 
+ 2f9   :  ff45 ; 
+ 2fa   :  ff45 ; 
+ 2fb   :  ff46 ; 
+ 2fc   :  ff46 ; 
+ 2fd   :  ff46 ; 
+ 2fe   :  ff47 ; 
+ 2ff   :  ff47 ; 
+ 300   :  ff48 ; 
+ 301   :  ff48 ; 
+ 302   :  ff49 ; 
+ 303   :  ff49 ; 
+ 304   :  ff4a ; 
+ 305   :  ff4a ; 
+ 306   :  ff4b ; 
+ 307   :  ff4b ; 
+ 308   :  ff4c ; 
+ 309   :  ff4c ; 
+ 30a   :  ff4d ; 
+ 30b   :  ff4d ; 
+ 30c   :  ff4e ; 
+ 30d   :  ff4e ; 
+ 30e   :  ff4f ; 
+ 30f   :  ff4f ; 
+ 310   :  ff50 ; 
+ 311   :  ff51 ; 
+ 312   :  ff51 ; 
+ 313   :  ff52 ; 
+ 314   :  ff52 ; 
+ 315   :  ff53 ; 
+ 316   :  ff53 ; 
+ 317   :  ff54 ; 
+ 318   :  ff54 ; 
+ 319   :  ff55 ; 
+ 31a   :  ff55 ; 
+ 31b   :  ff56 ; 
+ 31c   :  ff57 ; 
+ 31d   :  ff57 ; 
+ 31e   :  ff58 ; 
+ 31f   :  ff58 ; 
+ 320   :  ff59 ; 
+ 321   :  ff59 ; 
+ 322   :  ff5a ; 
+ 323   :  ff5b ; 
+ 324   :  ff5b ; 
+ 325   :  ff5c ; 
+ 326   :  ff5c ; 
+ 327   :  ff5d ; 
+ 328   :  ff5d ; 
+ 329   :  ff5e ; 
+ 32a   :  ff5f ; 
+ 32b   :  ff5f ; 
+ 32c   :  ff60 ; 
+ 32d   :  ff60 ; 
+ 32e   :  ff61 ; 
+ 32f   :  ff62 ; 
+ 330   :  ff62 ; 
+ 331   :  ff63 ; 
+ 332   :  ff64 ; 
+ 333   :  ff64 ; 
+ 334   :  ff65 ; 
+ 335   :  ff65 ; 
+ 336   :  ff66 ; 
+ 337   :  ff67 ; 
+ 338   :  ff67 ; 
+ 339   :  ff68 ; 
+ 33a   :  ff69 ; 
+ 33b   :  ff69 ; 
+ 33c   :  ff6a ; 
+ 33d   :  ff6b ; 
+ 33e   :  ff6b ; 
+ 33f   :  ff6c ; 
+ 340   :  ff6d ; 
+ 341   :  ff6d ; 
+ 342   :  ff6e ; 
+ 343   :  ff6f ; 
+ 344   :  ff6f ; 
+ 345   :  ff70 ; 
+ 346   :  ff71 ; 
+ 347   :  ff71 ; 
+ 348   :  ff72 ; 
+ 349   :  ff73 ; 
+ 34a   :  ff73 ; 
+ 34b   :  ff74 ; 
+ 34c   :  ff75 ; 
+ 34d   :  ff75 ; 
+ 34e   :  ff76 ; 
+ 34f   :  ff77 ; 
+ 350   :  ff77 ; 
+ 351   :  ff78 ; 
+ 352   :  ff79 ; 
+ 353   :  ff7a ; 
+ 354   :  ff7a ; 
+ 355   :  ff7b ; 
+ 356   :  ff7c ; 
+ 357   :  ff7c ; 
+ 358   :  ff7d ; 
+ 359   :  ff7e ; 
+ 35a   :  ff7f ; 
+ 35b   :  ff7f ; 
+ 35c   :  ff80 ; 
+ 35d   :  ff81 ; 
+ 35e   :  ff82 ; 
+ 35f   :  ff82 ; 
+ 360   :  ff83 ; 
+ 361   :  ff84 ; 
+ 362   :  ff85 ; 
+ 363   :  ff85 ; 
+ 364   :  ff86 ; 
+ 365   :  ff87 ; 
+ 366   :  ff88 ; 
+ 367   :  ff88 ; 
+ 368   :  ff89 ; 
+ 369   :  ff8a ; 
+ 36a   :  ff8b ; 
+ 36b   :  ff8b ; 
+ 36c   :  ff8c ; 
+ 36d   :  ff8d ; 
+ 36e   :  ff8e ; 
+ 36f   :  ff8f ; 
+ 370   :  ff8f ; 
+ 371   :  ff90 ; 
+ 372   :  ff91 ; 
+ 373   :  ff92 ; 
+ 374   :  ff92 ; 
+ 375   :  ff93 ; 
+ 376   :  ff94 ; 
+ 377   :  ff95 ; 
+ 378   :  ff96 ; 
+ 379   :  ff97 ; 
+ 37a   :  ff97 ; 
+ 37b   :  ff98 ; 
+ 37c   :  ff99 ; 
+ 37d   :  ff9a ; 
+ 37e   :  ff9b ; 
+ 37f   :  ff9b ; 
+ 380   :  ff9c ; 
+ 381   :  ff9d ; 
+ 382   :  ff9e ; 
+ 383   :  ff9f ; 
+ 384   :  ffa0 ; 
+ 385   :  ffa0 ; 
+ 386   :  ffa1 ; 
+ 387   :  ffa2 ; 
+ 388   :  ffa3 ; 
+ 389   :  ffa4 ; 
+ 38a   :  ffa5 ; 
+ 38b   :  ffa6 ; 
+ 38c   :  ffa6 ; 
+ 38d   :  ffa7 ; 
+ 38e   :  ffa8 ; 
+ 38f   :  ffa9 ; 
+ 390   :  ffaa ; 
+ 391   :  ffab ; 
+ 392   :  ffac ; 
+ 393   :  ffad ; 
+ 394   :  ffad ; 
+ 395   :  ffae ; 
+ 396   :  ffaf ; 
+ 397   :  ffb0 ; 
+ 398   :  ffb1 ; 
+ 399   :  ffb2 ; 
+ 39a   :  ffb3 ; 
+ 39b   :  ffb4 ; 
+ 39c   :  ffb5 ; 
+ 39d   :  ffb5 ; 
+ 39e   :  ffb6 ; 
+ 39f   :  ffb7 ; 
+ 3a0   :  ffb8 ; 
+ 3a1   :  ffb9 ; 
+ 3a2   :  ffba ; 
+ 3a3   :  ffbb ; 
+ 3a4   :  ffbc ; 
+ 3a5   :  ffbd ; 
+ 3a6   :  ffbe ; 
+ 3a7   :  ffbf ; 
+ 3a8   :  ffc0 ; 
+ 3a9   :  ffc0 ; 
+ 3aa   :  ffc1 ; 
+ 3ab   :  ffc2 ; 
+ 3ac   :  ffc3 ; 
+ 3ad   :  ffc4 ; 
+ 3ae   :  ffc5 ; 
+ 3af   :  ffc6 ; 
+ 3b0   :  ffc7 ; 
+ 3b1   :  ffc8 ; 
+ 3b2   :  ffc9 ; 
+ 3b3   :  ffca ; 
+ 3b4   :  ffcb ; 
+ 3b5   :  ffcc ; 
+ 3b6   :  ffcd ; 
+ 3b7   :  ffce ; 
+ 3b8   :  ffcf ; 
+ 3b9   :  ffd0 ; 
+ 3ba   :  ffd1 ; 
+ 3bb   :  ffd2 ; 
+ 3bc   :  ffd3 ; 
+ 3bd   :  ffd4 ; 
+ 3be   :  ffd5 ; 
+ 3bf   :  ffd6 ; 
+ 3c0   :  ffd7 ; 
+ 3c1   :  ffd8 ; 
+ 3c2   :  ffd9 ; 
+ 3c3   :  ffda ; 
+ 3c4   :  ffdb ; 
+ 3c5   :  ffdc ; 
+ 3c6   :  ffdd ; 
+ 3c7   :  ffde ; 
+ 3c8   :  ffdf ; 
+ 3c9   :  ffe0 ; 
+ 3ca   :  ffe1 ; 
+ 3cb   :  ffe2 ; 
+ 3cc   :  ffe3 ; 
+ 3cd   :  ffe4 ; 
+ 3ce   :  ffe5 ; 
+ 3cf   :  ffe6 ; 
+ 3d0   :  ffe7 ; 
+ 3d1   :  ffe8 ; 
+ 3d2   :  ffe9 ; 
+ 3d3   :  ffea ; 
+ 3d4   :  ffeb ; 
+ 3d5   :  ffec ; 
+ 3d6   :  ffed ; 
+ 3d7   :  ffee ; 
+ 3d8   :  ffef ; 
+ 3d9   :  fff0 ; 
+ 3da   :  fff1 ; 
+ 3db   :  fff2 ; 
+ 3dc   :  fff3 ; 
+ 3dd   :  fff4 ; 
+ 3de   :  fff5 ; 
+ 3df   :  fff6 ; 
+ 3e0   :  fff7 ; 
+ 3e1   :  fff8 ; 
+ 3e2   :  fffa ; 
+ 3e3   :  fffb ; 
+ 3e4   :  fffc ; 
+ 3e5   :  fffd ; 
+ 3e6   :  fffe ; 
+ 3e7   :  ffff ; 
+ 3e8   :  0 ; 
+ 3e9   :  1 ; 
+ 3ea   :  2 ; 
+ 3eb   :  3 ; 
+ 3ec   :  4 ; 
+ 3ed   :  5 ; 
+ 3ee   :  6 ; 
+ 3ef   :  8 ; 
+ 3f0   :  9 ; 
+ 3f1   :  a ; 
+ 3f2   :  b ; 
+ 3f3   :  c ; 
+ 3f4   :  d ; 
+ 3f5   :  e ; 
+ 3f6   :  f ; 
+ 3f7   :  10 ; 
+ 3f8   :  12 ; 
+ 3f9   :  13 ; 
+ 3fa   :  14 ; 
+ 3fb   :  15 ; 
+ 3fc   :  16 ; 
+ 3fd   :  17 ; 
+ 3fe   :  18 ; 
+ 3ff   :  19 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_14.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_14.mif
new file mode 100644
index 0000000000000000000000000000000000000000..5a92e5dfc5dae4bdfa695f58f37cc0d766535cd2
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_14.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  14 ; 
+ 1   :  14 ; 
+ 2   :  14 ; 
+ 3   :  14 ; 
+ 4   :  14 ; 
+ 5   :  14 ; 
+ 6   :  14 ; 
+ 7   :  14 ; 
+ 8   :  14 ; 
+ 9   :  14 ; 
+ a   :  14 ; 
+ b   :  14 ; 
+ c   :  14 ; 
+ d   :  14 ; 
+ e   :  14 ; 
+ f   :  14 ; 
+ 10   :  15 ; 
+ 11   :  15 ; 
+ 12   :  15 ; 
+ 13   :  15 ; 
+ 14   :  15 ; 
+ 15   :  15 ; 
+ 16   :  15 ; 
+ 17   :  15 ; 
+ 18   :  15 ; 
+ 19   :  15 ; 
+ 1a   :  15 ; 
+ 1b   :  15 ; 
+ 1c   :  15 ; 
+ 1d   :  15 ; 
+ 1e   :  15 ; 
+ 1f   :  15 ; 
+ 20   :  16 ; 
+ 21   :  16 ; 
+ 22   :  16 ; 
+ 23   :  16 ; 
+ 24   :  16 ; 
+ 25   :  16 ; 
+ 26   :  16 ; 
+ 27   :  16 ; 
+ 28   :  16 ; 
+ 29   :  16 ; 
+ 2a   :  16 ; 
+ 2b   :  16 ; 
+ 2c   :  16 ; 
+ 2d   :  16 ; 
+ 2e   :  16 ; 
+ 2f   :  16 ; 
+ 30   :  17 ; 
+ 31   :  17 ; 
+ 32   :  17 ; 
+ 33   :  17 ; 
+ 34   :  17 ; 
+ 35   :  17 ; 
+ 36   :  17 ; 
+ 37   :  17 ; 
+ 38   :  17 ; 
+ 39   :  17 ; 
+ 3a   :  17 ; 
+ 3b   :  17 ; 
+ 3c   :  17 ; 
+ 3d   :  17 ; 
+ 3e   :  17 ; 
+ 3f   :  18 ; 
+ 40   :  18 ; 
+ 41   :  18 ; 
+ 42   :  18 ; 
+ 43   :  18 ; 
+ 44   :  18 ; 
+ 45   :  18 ; 
+ 46   :  18 ; 
+ 47   :  18 ; 
+ 48   :  18 ; 
+ 49   :  18 ; 
+ 4a   :  18 ; 
+ 4b   :  18 ; 
+ 4c   :  18 ; 
+ 4d   :  18 ; 
+ 4e   :  19 ; 
+ 4f   :  19 ; 
+ 50   :  19 ; 
+ 51   :  19 ; 
+ 52   :  19 ; 
+ 53   :  19 ; 
+ 54   :  19 ; 
+ 55   :  19 ; 
+ 56   :  19 ; 
+ 57   :  19 ; 
+ 58   :  19 ; 
+ 59   :  19 ; 
+ 5a   :  19 ; 
+ 5b   :  19 ; 
+ 5c   :  19 ; 
+ 5d   :  1a ; 
+ 5e   :  1a ; 
+ 5f   :  1a ; 
+ 60   :  1a ; 
+ 61   :  1a ; 
+ 62   :  1a ; 
+ 63   :  1a ; 
+ 64   :  1a ; 
+ 65   :  1a ; 
+ 66   :  1a ; 
+ 67   :  1a ; 
+ 68   :  1a ; 
+ 69   :  1a ; 
+ 6a   :  1a ; 
+ 6b   :  1a ; 
+ 6c   :  1b ; 
+ 6d   :  1b ; 
+ 6e   :  1b ; 
+ 6f   :  1b ; 
+ 70   :  1b ; 
+ 71   :  1b ; 
+ 72   :  1b ; 
+ 73   :  1b ; 
+ 74   :  1b ; 
+ 75   :  1b ; 
+ 76   :  1b ; 
+ 77   :  1b ; 
+ 78   :  1b ; 
+ 79   :  1b ; 
+ 7a   :  1b ; 
+ 7b   :  1c ; 
+ 7c   :  1c ; 
+ 7d   :  1c ; 
+ 7e   :  1c ; 
+ 7f   :  1c ; 
+ 80   :  1c ; 
+ 81   :  1c ; 
+ 82   :  1c ; 
+ 83   :  1c ; 
+ 84   :  1c ; 
+ 85   :  1c ; 
+ 86   :  1c ; 
+ 87   :  1c ; 
+ 88   :  1c ; 
+ 89   :  1d ; 
+ 8a   :  1d ; 
+ 8b   :  1d ; 
+ 8c   :  1d ; 
+ 8d   :  1d ; 
+ 8e   :  1d ; 
+ 8f   :  1d ; 
+ 90   :  1d ; 
+ 91   :  1d ; 
+ 92   :  1d ; 
+ 93   :  1d ; 
+ 94   :  1d ; 
+ 95   :  1d ; 
+ 96   :  1d ; 
+ 97   :  1d ; 
+ 98   :  1e ; 
+ 99   :  1e ; 
+ 9a   :  1e ; 
+ 9b   :  1e ; 
+ 9c   :  1e ; 
+ 9d   :  1e ; 
+ 9e   :  1e ; 
+ 9f   :  1e ; 
+ a0   :  1e ; 
+ a1   :  1e ; 
+ a2   :  1e ; 
+ a3   :  1e ; 
+ a4   :  1e ; 
+ a5   :  1e ; 
+ a6   :  1e ; 
+ a7   :  1f ; 
+ a8   :  1f ; 
+ a9   :  1f ; 
+ aa   :  1f ; 
+ ab   :  1f ; 
+ ac   :  1f ; 
+ ad   :  1f ; 
+ ae   :  1f ; 
+ af   :  1f ; 
+ b0   :  1f ; 
+ b1   :  1f ; 
+ b2   :  1f ; 
+ b3   :  1f ; 
+ b4   :  1f ; 
+ b5   :  1f ; 
+ b6   :  20 ; 
+ b7   :  20 ; 
+ b8   :  20 ; 
+ b9   :  20 ; 
+ ba   :  20 ; 
+ bb   :  20 ; 
+ bc   :  20 ; 
+ bd   :  20 ; 
+ be   :  20 ; 
+ bf   :  20 ; 
+ c0   :  20 ; 
+ c1   :  20 ; 
+ c2   :  20 ; 
+ c3   :  20 ; 
+ c4   :  20 ; 
+ c5   :  21 ; 
+ c6   :  21 ; 
+ c7   :  21 ; 
+ c8   :  21 ; 
+ c9   :  21 ; 
+ ca   :  21 ; 
+ cb   :  21 ; 
+ cc   :  21 ; 
+ cd   :  21 ; 
+ ce   :  21 ; 
+ cf   :  21 ; 
+ d0   :  21 ; 
+ d1   :  21 ; 
+ d2   :  21 ; 
+ d3   :  21 ; 
+ d4   :  22 ; 
+ d5   :  22 ; 
+ d6   :  22 ; 
+ d7   :  22 ; 
+ d8   :  22 ; 
+ d9   :  22 ; 
+ da   :  22 ; 
+ db   :  22 ; 
+ dc   :  22 ; 
+ dd   :  22 ; 
+ de   :  22 ; 
+ df   :  22 ; 
+ e0   :  22 ; 
+ e1   :  22 ; 
+ e2   :  22 ; 
+ e3   :  22 ; 
+ e4   :  23 ; 
+ e5   :  23 ; 
+ e6   :  23 ; 
+ e7   :  23 ; 
+ e8   :  23 ; 
+ e9   :  23 ; 
+ ea   :  23 ; 
+ eb   :  23 ; 
+ ec   :  23 ; 
+ ed   :  23 ; 
+ ee   :  23 ; 
+ ef   :  23 ; 
+ f0   :  23 ; 
+ f1   :  23 ; 
+ f2   :  23 ; 
+ f3   :  23 ; 
+ f4   :  24 ; 
+ f5   :  24 ; 
+ f6   :  24 ; 
+ f7   :  24 ; 
+ f8   :  24 ; 
+ f9   :  24 ; 
+ fa   :  24 ; 
+ fb   :  24 ; 
+ fc   :  24 ; 
+ fd   :  24 ; 
+ fe   :  24 ; 
+ ff   :  24 ; 
+ 100   :  24 ; 
+ 101   :  24 ; 
+ 102   :  24 ; 
+ 103   :  24 ; 
+ 104   :  25 ; 
+ 105   :  25 ; 
+ 106   :  25 ; 
+ 107   :  25 ; 
+ 108   :  25 ; 
+ 109   :  25 ; 
+ 10a   :  25 ; 
+ 10b   :  25 ; 
+ 10c   :  25 ; 
+ 10d   :  25 ; 
+ 10e   :  25 ; 
+ 10f   :  25 ; 
+ 110   :  25 ; 
+ 111   :  25 ; 
+ 112   :  25 ; 
+ 113   :  25 ; 
+ 114   :  25 ; 
+ 115   :  26 ; 
+ 116   :  26 ; 
+ 117   :  26 ; 
+ 118   :  26 ; 
+ 119   :  26 ; 
+ 11a   :  26 ; 
+ 11b   :  26 ; 
+ 11c   :  26 ; 
+ 11d   :  26 ; 
+ 11e   :  26 ; 
+ 11f   :  26 ; 
+ 120   :  26 ; 
+ 121   :  26 ; 
+ 122   :  26 ; 
+ 123   :  26 ; 
+ 124   :  26 ; 
+ 125   :  26 ; 
+ 126   :  27 ; 
+ 127   :  27 ; 
+ 128   :  27 ; 
+ 129   :  27 ; 
+ 12a   :  27 ; 
+ 12b   :  27 ; 
+ 12c   :  27 ; 
+ 12d   :  27 ; 
+ 12e   :  27 ; 
+ 12f   :  27 ; 
+ 130   :  27 ; 
+ 131   :  27 ; 
+ 132   :  27 ; 
+ 133   :  27 ; 
+ 134   :  27 ; 
+ 135   :  27 ; 
+ 136   :  27 ; 
+ 137   :  27 ; 
+ 138   :  27 ; 
+ 139   :  28 ; 
+ 13a   :  28 ; 
+ 13b   :  28 ; 
+ 13c   :  28 ; 
+ 13d   :  28 ; 
+ 13e   :  28 ; 
+ 13f   :  28 ; 
+ 140   :  28 ; 
+ 141   :  28 ; 
+ 142   :  28 ; 
+ 143   :  28 ; 
+ 144   :  28 ; 
+ 145   :  28 ; 
+ 146   :  28 ; 
+ 147   :  28 ; 
+ 148   :  28 ; 
+ 149   :  28 ; 
+ 14a   :  28 ; 
+ 14b   :  28 ; 
+ 14c   :  28 ; 
+ 14d   :  29 ; 
+ 14e   :  29 ; 
+ 14f   :  29 ; 
+ 150   :  29 ; 
+ 151   :  29 ; 
+ 152   :  29 ; 
+ 153   :  29 ; 
+ 154   :  29 ; 
+ 155   :  29 ; 
+ 156   :  29 ; 
+ 157   :  29 ; 
+ 158   :  29 ; 
+ 159   :  29 ; 
+ 15a   :  29 ; 
+ 15b   :  29 ; 
+ 15c   :  29 ; 
+ 15d   :  29 ; 
+ 15e   :  29 ; 
+ 15f   :  29 ; 
+ 160   :  29 ; 
+ 161   :  29 ; 
+ 162   :  29 ; 
+ 163   :  2a ; 
+ 164   :  2a ; 
+ 165   :  2a ; 
+ 166   :  2a ; 
+ 167   :  2a ; 
+ 168   :  2a ; 
+ 169   :  2a ; 
+ 16a   :  2a ; 
+ 16b   :  2a ; 
+ 16c   :  2a ; 
+ 16d   :  2a ; 
+ 16e   :  2a ; 
+ 16f   :  2a ; 
+ 170   :  2a ; 
+ 171   :  2a ; 
+ 172   :  2a ; 
+ 173   :  2a ; 
+ 174   :  2a ; 
+ 175   :  2a ; 
+ 176   :  2a ; 
+ 177   :  2a ; 
+ 178   :  2a ; 
+ 179   :  2a ; 
+ 17a   :  2a ; 
+ 17b   :  2a ; 
+ 17c   :  2b ; 
+ 17d   :  2b ; 
+ 17e   :  2b ; 
+ 17f   :  2b ; 
+ 180   :  2b ; 
+ 181   :  2b ; 
+ 182   :  2b ; 
+ 183   :  2b ; 
+ 184   :  2b ; 
+ 185   :  2b ; 
+ 186   :  2b ; 
+ 187   :  2b ; 
+ 188   :  2b ; 
+ 189   :  2b ; 
+ 18a   :  2b ; 
+ 18b   :  2b ; 
+ 18c   :  2b ; 
+ 18d   :  2b ; 
+ 18e   :  2b ; 
+ 18f   :  2b ; 
+ 190   :  2b ; 
+ 191   :  2b ; 
+ 192   :  2b ; 
+ 193   :  2b ; 
+ 194   :  2b ; 
+ 195   :  2b ; 
+ 196   :  2b ; 
+ 197   :  2b ; 
+ 198   :  2b ; 
+ 199   :  2b ; 
+ 19a   :  2b ; 
+ 19b   :  2c ; 
+ 19c   :  2c ; 
+ 19d   :  2c ; 
+ 19e   :  2c ; 
+ 19f   :  2c ; 
+ 1a0   :  2c ; 
+ 1a1   :  2c ; 
+ 1a2   :  2c ; 
+ 1a3   :  2c ; 
+ 1a4   :  2c ; 
+ 1a5   :  2c ; 
+ 1a6   :  2c ; 
+ 1a7   :  2c ; 
+ 1a8   :  2c ; 
+ 1a9   :  2c ; 
+ 1aa   :  2c ; 
+ 1ab   :  2c ; 
+ 1ac   :  2c ; 
+ 1ad   :  2c ; 
+ 1ae   :  2c ; 
+ 1af   :  2c ; 
+ 1b0   :  2c ; 
+ 1b1   :  2c ; 
+ 1b2   :  2c ; 
+ 1b3   :  2c ; 
+ 1b4   :  2c ; 
+ 1b5   :  2c ; 
+ 1b6   :  2c ; 
+ 1b7   :  2c ; 
+ 1b8   :  2c ; 
+ 1b9   :  2c ; 
+ 1ba   :  2c ; 
+ 1bb   :  2c ; 
+ 1bc   :  2c ; 
+ 1bd   :  2c ; 
+ 1be   :  2c ; 
+ 1bf   :  2c ; 
+ 1c0   :  2c ; 
+ 1c1   :  2c ; 
+ 1c2   :  2c ; 
+ 1c3   :  2c ; 
+ 1c4   :  2c ; 
+ 1c5   :  2c ; 
+ 1c6   :  2c ; 
+ 1c7   :  2c ; 
+ 1c8   :  2c ; 
+ 1c9   :  2c ; 
+ 1ca   :  2c ; 
+ 1cb   :  2c ; 
+ 1cc   :  2c ; 
+ 1cd   :  2c ; 
+ 1ce   :  2c ; 
+ 1cf   :  2c ; 
+ 1d0   :  2c ; 
+ 1d1   :  2c ; 
+ 1d2   :  2c ; 
+ 1d3   :  2c ; 
+ 1d4   :  2c ; 
+ 1d5   :  2c ; 
+ 1d6   :  2c ; 
+ 1d7   :  2c ; 
+ 1d8   :  2c ; 
+ 1d9   :  2c ; 
+ 1da   :  2c ; 
+ 1db   :  2c ; 
+ 1dc   :  2c ; 
+ 1dd   :  2c ; 
+ 1de   :  2c ; 
+ 1df   :  2c ; 
+ 1e0   :  2c ; 
+ 1e1   :  2c ; 
+ 1e2   :  2c ; 
+ 1e3   :  2c ; 
+ 1e4   :  2c ; 
+ 1e5   :  2c ; 
+ 1e6   :  2c ; 
+ 1e7   :  2c ; 
+ 1e8   :  2c ; 
+ 1e9   :  2c ; 
+ 1ea   :  2c ; 
+ 1eb   :  2c ; 
+ 1ec   :  2c ; 
+ 1ed   :  2c ; 
+ 1ee   :  2c ; 
+ 1ef   :  2c ; 
+ 1f0   :  2c ; 
+ 1f1   :  2c ; 
+ 1f2   :  2c ; 
+ 1f3   :  2c ; 
+ 1f4   :  2c ; 
+ 1f5   :  2c ; 
+ 1f6   :  2c ; 
+ 1f7   :  2c ; 
+ 1f8   :  2c ; 
+ 1f9   :  2c ; 
+ 1fa   :  2c ; 
+ 1fb   :  2c ; 
+ 1fc   :  2c ; 
+ 1fd   :  2c ; 
+ 1fe   :  2c ; 
+ 1ff   :  2c ; 
+ 200   :  2c ; 
+ 201   :  2c ; 
+ 202   :  2c ; 
+ 203   :  2c ; 
+ 204   :  2c ; 
+ 205   :  2c ; 
+ 206   :  2c ; 
+ 207   :  2c ; 
+ 208   :  2c ; 
+ 209   :  2c ; 
+ 20a   :  2c ; 
+ 20b   :  2c ; 
+ 20c   :  2c ; 
+ 20d   :  2c ; 
+ 20e   :  2c ; 
+ 20f   :  2c ; 
+ 210   :  2c ; 
+ 211   :  2c ; 
+ 212   :  2c ; 
+ 213   :  2c ; 
+ 214   :  2c ; 
+ 215   :  2c ; 
+ 216   :  2c ; 
+ 217   :  2c ; 
+ 218   :  2c ; 
+ 219   :  2c ; 
+ 21a   :  2c ; 
+ 21b   :  2c ; 
+ 21c   :  2c ; 
+ 21d   :  2c ; 
+ 21e   :  2c ; 
+ 21f   :  2c ; 
+ 220   :  2c ; 
+ 221   :  2c ; 
+ 222   :  2c ; 
+ 223   :  2b ; 
+ 224   :  2b ; 
+ 225   :  2b ; 
+ 226   :  2b ; 
+ 227   :  2b ; 
+ 228   :  2b ; 
+ 229   :  2b ; 
+ 22a   :  2b ; 
+ 22b   :  2b ; 
+ 22c   :  2b ; 
+ 22d   :  2b ; 
+ 22e   :  2b ; 
+ 22f   :  2b ; 
+ 230   :  2b ; 
+ 231   :  2b ; 
+ 232   :  2b ; 
+ 233   :  2b ; 
+ 234   :  2b ; 
+ 235   :  2b ; 
+ 236   :  2b ; 
+ 237   :  2b ; 
+ 238   :  2b ; 
+ 239   :  2b ; 
+ 23a   :  2b ; 
+ 23b   :  2b ; 
+ 23c   :  2b ; 
+ 23d   :  2a ; 
+ 23e   :  2a ; 
+ 23f   :  2a ; 
+ 240   :  2a ; 
+ 241   :  2a ; 
+ 242   :  2a ; 
+ 243   :  2a ; 
+ 244   :  2a ; 
+ 245   :  2a ; 
+ 246   :  2a ; 
+ 247   :  2a ; 
+ 248   :  2a ; 
+ 249   :  2a ; 
+ 24a   :  2a ; 
+ 24b   :  2a ; 
+ 24c   :  2a ; 
+ 24d   :  2a ; 
+ 24e   :  2a ; 
+ 24f   :  2a ; 
+ 250   :  29 ; 
+ 251   :  29 ; 
+ 252   :  29 ; 
+ 253   :  29 ; 
+ 254   :  29 ; 
+ 255   :  29 ; 
+ 256   :  29 ; 
+ 257   :  29 ; 
+ 258   :  29 ; 
+ 259   :  29 ; 
+ 25a   :  29 ; 
+ 25b   :  29 ; 
+ 25c   :  29 ; 
+ 25d   :  29 ; 
+ 25e   :  29 ; 
+ 25f   :  29 ; 
+ 260   :  29 ; 
+ 261   :  28 ; 
+ 262   :  28 ; 
+ 263   :  28 ; 
+ 264   :  28 ; 
+ 265   :  28 ; 
+ 266   :  28 ; 
+ 267   :  28 ; 
+ 268   :  28 ; 
+ 269   :  28 ; 
+ 26a   :  28 ; 
+ 26b   :  28 ; 
+ 26c   :  28 ; 
+ 26d   :  28 ; 
+ 26e   :  28 ; 
+ 26f   :  27 ; 
+ 270   :  27 ; 
+ 271   :  27 ; 
+ 272   :  27 ; 
+ 273   :  27 ; 
+ 274   :  27 ; 
+ 275   :  27 ; 
+ 276   :  27 ; 
+ 277   :  27 ; 
+ 278   :  27 ; 
+ 279   :  27 ; 
+ 27a   :  27 ; 
+ 27b   :  26 ; 
+ 27c   :  26 ; 
+ 27d   :  26 ; 
+ 27e   :  26 ; 
+ 27f   :  26 ; 
+ 280   :  26 ; 
+ 281   :  26 ; 
+ 282   :  26 ; 
+ 283   :  26 ; 
+ 284   :  26 ; 
+ 285   :  26 ; 
+ 286   :  26 ; 
+ 287   :  25 ; 
+ 288   :  25 ; 
+ 289   :  25 ; 
+ 28a   :  25 ; 
+ 28b   :  25 ; 
+ 28c   :  25 ; 
+ 28d   :  25 ; 
+ 28e   :  25 ; 
+ 28f   :  25 ; 
+ 290   :  25 ; 
+ 291   :  24 ; 
+ 292   :  24 ; 
+ 293   :  24 ; 
+ 294   :  24 ; 
+ 295   :  24 ; 
+ 296   :  24 ; 
+ 297   :  24 ; 
+ 298   :  24 ; 
+ 299   :  24 ; 
+ 29a   :  24 ; 
+ 29b   :  23 ; 
+ 29c   :  23 ; 
+ 29d   :  23 ; 
+ 29e   :  23 ; 
+ 29f   :  23 ; 
+ 2a0   :  23 ; 
+ 2a1   :  23 ; 
+ 2a2   :  23 ; 
+ 2a3   :  23 ; 
+ 2a4   :  22 ; 
+ 2a5   :  22 ; 
+ 2a6   :  22 ; 
+ 2a7   :  22 ; 
+ 2a8   :  22 ; 
+ 2a9   :  22 ; 
+ 2aa   :  22 ; 
+ 2ab   :  22 ; 
+ 2ac   :  22 ; 
+ 2ad   :  21 ; 
+ 2ae   :  21 ; 
+ 2af   :  21 ; 
+ 2b0   :  21 ; 
+ 2b1   :  21 ; 
+ 2b2   :  21 ; 
+ 2b3   :  21 ; 
+ 2b4   :  21 ; 
+ 2b5   :  20 ; 
+ 2b6   :  20 ; 
+ 2b7   :  20 ; 
+ 2b8   :  20 ; 
+ 2b9   :  20 ; 
+ 2ba   :  20 ; 
+ 2bb   :  20 ; 
+ 2bc   :  20 ; 
+ 2bd   :  1f ; 
+ 2be   :  1f ; 
+ 2bf   :  1f ; 
+ 2c0   :  1f ; 
+ 2c1   :  1f ; 
+ 2c2   :  1f ; 
+ 2c3   :  1f ; 
+ 2c4   :  1f ; 
+ 2c5   :  1e ; 
+ 2c6   :  1e ; 
+ 2c7   :  1e ; 
+ 2c8   :  1e ; 
+ 2c9   :  1e ; 
+ 2ca   :  1e ; 
+ 2cb   :  1e ; 
+ 2cc   :  1d ; 
+ 2cd   :  1d ; 
+ 2ce   :  1d ; 
+ 2cf   :  1d ; 
+ 2d0   :  1d ; 
+ 2d1   :  1d ; 
+ 2d2   :  1d ; 
+ 2d3   :  1c ; 
+ 2d4   :  1c ; 
+ 2d5   :  1c ; 
+ 2d6   :  1c ; 
+ 2d7   :  1c ; 
+ 2d8   :  1c ; 
+ 2d9   :  1c ; 
+ 2da   :  1b ; 
+ 2db   :  1b ; 
+ 2dc   :  1b ; 
+ 2dd   :  1b ; 
+ 2de   :  1b ; 
+ 2df   :  1b ; 
+ 2e0   :  1b ; 
+ 2e1   :  1a ; 
+ 2e2   :  1a ; 
+ 2e3   :  1a ; 
+ 2e4   :  1a ; 
+ 2e5   :  1a ; 
+ 2e6   :  1a ; 
+ 2e7   :  19 ; 
+ 2e8   :  19 ; 
+ 2e9   :  19 ; 
+ 2ea   :  19 ; 
+ 2eb   :  19 ; 
+ 2ec   :  19 ; 
+ 2ed   :  18 ; 
+ 2ee   :  18 ; 
+ 2ef   :  18 ; 
+ 2f0   :  18 ; 
+ 2f1   :  18 ; 
+ 2f2   :  18 ; 
+ 2f3   :  17 ; 
+ 2f4   :  17 ; 
+ 2f5   :  17 ; 
+ 2f6   :  17 ; 
+ 2f7   :  17 ; 
+ 2f8   :  17 ; 
+ 2f9   :  16 ; 
+ 2fa   :  16 ; 
+ 2fb   :  16 ; 
+ 2fc   :  16 ; 
+ 2fd   :  16 ; 
+ 2fe   :  16 ; 
+ 2ff   :  15 ; 
+ 300   :  15 ; 
+ 301   :  15 ; 
+ 302   :  15 ; 
+ 303   :  15 ; 
+ 304   :  14 ; 
+ 305   :  14 ; 
+ 306   :  14 ; 
+ 307   :  14 ; 
+ 308   :  14 ; 
+ 309   :  14 ; 
+ 30a   :  13 ; 
+ 30b   :  13 ; 
+ 30c   :  13 ; 
+ 30d   :  13 ; 
+ 30e   :  13 ; 
+ 30f   :  12 ; 
+ 310   :  12 ; 
+ 311   :  12 ; 
+ 312   :  12 ; 
+ 313   :  12 ; 
+ 314   :  11 ; 
+ 315   :  11 ; 
+ 316   :  11 ; 
+ 317   :  11 ; 
+ 318   :  11 ; 
+ 319   :  11 ; 
+ 31a   :  10 ; 
+ 31b   :  10 ; 
+ 31c   :  10 ; 
+ 31d   :  10 ; 
+ 31e   :  10 ; 
+ 31f   :  f ; 
+ 320   :  f ; 
+ 321   :  f ; 
+ 322   :  f ; 
+ 323   :  e ; 
+ 324   :  e ; 
+ 325   :  e ; 
+ 326   :  e ; 
+ 327   :  e ; 
+ 328   :  d ; 
+ 329   :  d ; 
+ 32a   :  d ; 
+ 32b   :  d ; 
+ 32c   :  d ; 
+ 32d   :  c ; 
+ 32e   :  c ; 
+ 32f   :  c ; 
+ 330   :  c ; 
+ 331   :  c ; 
+ 332   :  b ; 
+ 333   :  b ; 
+ 334   :  b ; 
+ 335   :  b ; 
+ 336   :  a ; 
+ 337   :  a ; 
+ 338   :  a ; 
+ 339   :  a ; 
+ 33a   :  a ; 
+ 33b   :  9 ; 
+ 33c   :  9 ; 
+ 33d   :  9 ; 
+ 33e   :  9 ; 
+ 33f   :  8 ; 
+ 340   :  8 ; 
+ 341   :  8 ; 
+ 342   :  8 ; 
+ 343   :  8 ; 
+ 344   :  7 ; 
+ 345   :  7 ; 
+ 346   :  7 ; 
+ 347   :  7 ; 
+ 348   :  6 ; 
+ 349   :  6 ; 
+ 34a   :  6 ; 
+ 34b   :  6 ; 
+ 34c   :  5 ; 
+ 34d   :  5 ; 
+ 34e   :  5 ; 
+ 34f   :  5 ; 
+ 350   :  4 ; 
+ 351   :  4 ; 
+ 352   :  4 ; 
+ 353   :  4 ; 
+ 354   :  4 ; 
+ 355   :  3 ; 
+ 356   :  3 ; 
+ 357   :  3 ; 
+ 358   :  3 ; 
+ 359   :  2 ; 
+ 35a   :  2 ; 
+ 35b   :  2 ; 
+ 35c   :  2 ; 
+ 35d   :  1 ; 
+ 35e   :  1 ; 
+ 35f   :  1 ; 
+ 360   :  1 ; 
+ 361   :  0 ; 
+ 362   :  0 ; 
+ 363   :  0 ; 
+ 364   :  0 ; 
+ 365   :  ffff ; 
+ 366   :  ffff ; 
+ 367   :  ffff ; 
+ 368   :  ffff ; 
+ 369   :  fffe ; 
+ 36a   :  fffe ; 
+ 36b   :  fffe ; 
+ 36c   :  fffd ; 
+ 36d   :  fffd ; 
+ 36e   :  fffd ; 
+ 36f   :  fffd ; 
+ 370   :  fffc ; 
+ 371   :  fffc ; 
+ 372   :  fffc ; 
+ 373   :  fffc ; 
+ 374   :  fffb ; 
+ 375   :  fffb ; 
+ 376   :  fffb ; 
+ 377   :  fffb ; 
+ 378   :  fffa ; 
+ 379   :  fffa ; 
+ 37a   :  fffa ; 
+ 37b   :  fff9 ; 
+ 37c   :  fff9 ; 
+ 37d   :  fff9 ; 
+ 37e   :  fff9 ; 
+ 37f   :  fff8 ; 
+ 380   :  fff8 ; 
+ 381   :  fff8 ; 
+ 382   :  fff8 ; 
+ 383   :  fff7 ; 
+ 384   :  fff7 ; 
+ 385   :  fff7 ; 
+ 386   :  fff6 ; 
+ 387   :  fff6 ; 
+ 388   :  fff6 ; 
+ 389   :  fff6 ; 
+ 38a   :  fff5 ; 
+ 38b   :  fff5 ; 
+ 38c   :  fff5 ; 
+ 38d   :  fff4 ; 
+ 38e   :  fff4 ; 
+ 38f   :  fff4 ; 
+ 390   :  fff4 ; 
+ 391   :  fff3 ; 
+ 392   :  fff3 ; 
+ 393   :  fff3 ; 
+ 394   :  fff2 ; 
+ 395   :  fff2 ; 
+ 396   :  fff2 ; 
+ 397   :  fff2 ; 
+ 398   :  fff1 ; 
+ 399   :  fff1 ; 
+ 39a   :  fff1 ; 
+ 39b   :  fff0 ; 
+ 39c   :  fff0 ; 
+ 39d   :  fff0 ; 
+ 39e   :  ffef ; 
+ 39f   :  ffef ; 
+ 3a0   :  ffef ; 
+ 3a1   :  ffef ; 
+ 3a2   :  ffee ; 
+ 3a3   :  ffee ; 
+ 3a4   :  ffee ; 
+ 3a5   :  ffed ; 
+ 3a6   :  ffed ; 
+ 3a7   :  ffed ; 
+ 3a8   :  ffec ; 
+ 3a9   :  ffec ; 
+ 3aa   :  ffec ; 
+ 3ab   :  ffec ; 
+ 3ac   :  ffeb ; 
+ 3ad   :  ffeb ; 
+ 3ae   :  ffeb ; 
+ 3af   :  ffea ; 
+ 3b0   :  ffea ; 
+ 3b1   :  ffea ; 
+ 3b2   :  ffe9 ; 
+ 3b3   :  ffe9 ; 
+ 3b4   :  ffe9 ; 
+ 3b5   :  ffe8 ; 
+ 3b6   :  ffe8 ; 
+ 3b7   :  ffe8 ; 
+ 3b8   :  ffe7 ; 
+ 3b9   :  ffe7 ; 
+ 3ba   :  ffe7 ; 
+ 3bb   :  ffe7 ; 
+ 3bc   :  ffe6 ; 
+ 3bd   :  ffe6 ; 
+ 3be   :  ffe6 ; 
+ 3bf   :  ffe5 ; 
+ 3c0   :  ffe5 ; 
+ 3c1   :  ffe5 ; 
+ 3c2   :  ffe4 ; 
+ 3c3   :  ffe4 ; 
+ 3c4   :  ffe4 ; 
+ 3c5   :  ffe3 ; 
+ 3c6   :  ffe3 ; 
+ 3c7   :  ffe3 ; 
+ 3c8   :  ffe2 ; 
+ 3c9   :  ffe2 ; 
+ 3ca   :  ffe2 ; 
+ 3cb   :  ffe1 ; 
+ 3cc   :  ffe1 ; 
+ 3cd   :  ffe1 ; 
+ 3ce   :  ffe0 ; 
+ 3cf   :  ffe0 ; 
+ 3d0   :  ffe0 ; 
+ 3d1   :  ffdf ; 
+ 3d2   :  ffdf ; 
+ 3d3   :  ffdf ; 
+ 3d4   :  ffde ; 
+ 3d5   :  ffde ; 
+ 3d6   :  ffde ; 
+ 3d7   :  ffdd ; 
+ 3d8   :  ffdd ; 
+ 3d9   :  ffdd ; 
+ 3da   :  ffdc ; 
+ 3db   :  ffdc ; 
+ 3dc   :  ffdc ; 
+ 3dd   :  ffdb ; 
+ 3de   :  ffdb ; 
+ 3df   :  ffdb ; 
+ 3e0   :  ffda ; 
+ 3e1   :  ffda ; 
+ 3e2   :  ffda ; 
+ 3e3   :  ffd9 ; 
+ 3e4   :  ffd9 ; 
+ 3e5   :  ffd9 ; 
+ 3e6   :  ffd8 ; 
+ 3e7   :  ffd8 ; 
+ 3e8   :  ffd8 ; 
+ 3e9   :  ffd7 ; 
+ 3ea   :  ffd7 ; 
+ 3eb   :  ffd6 ; 
+ 3ec   :  ffd6 ; 
+ 3ed   :  ffd6 ; 
+ 3ee   :  ffd5 ; 
+ 3ef   :  ffd5 ; 
+ 3f0   :  ffd5 ; 
+ 3f1   :  ffd4 ; 
+ 3f2   :  ffd4 ; 
+ 3f3   :  ffd4 ; 
+ 3f4   :  ffd3 ; 
+ 3f5   :  ffd3 ; 
+ 3f6   :  ffd3 ; 
+ 3f7   :  ffd2 ; 
+ 3f8   :  ffd2 ; 
+ 3f9   :  ffd2 ; 
+ 3fa   :  ffd1 ; 
+ 3fb   :  ffd1 ; 
+ 3fc   :  ffd0 ; 
+ 3fd   :  ffd0 ; 
+ 3fe   :  ffd0 ; 
+ 3ff   :  ffcf ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_15.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_15.mif
new file mode 100644
index 0000000000000000000000000000000000000000..fa29e6a821bf25556f105268a2bc48783c58404d
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_15.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  0 ; 
+ 1   :  0 ; 
+ 2   :  0 ; 
+ 3   :  0 ; 
+ 4   :  0 ; 
+ 5   :  0 ; 
+ 6   :  0 ; 
+ 7   :  0 ; 
+ 8   :  0 ; 
+ 9   :  0 ; 
+ a   :  0 ; 
+ b   :  0 ; 
+ c   :  0 ; 
+ d   :  0 ; 
+ e   :  0 ; 
+ f   :  0 ; 
+ 10   :  0 ; 
+ 11   :  0 ; 
+ 12   :  0 ; 
+ 13   :  0 ; 
+ 14   :  0 ; 
+ 15   :  0 ; 
+ 16   :  0 ; 
+ 17   :  0 ; 
+ 18   :  0 ; 
+ 19   :  0 ; 
+ 1a   :  0 ; 
+ 1b   :  0 ; 
+ 1c   :  0 ; 
+ 1d   :  0 ; 
+ 1e   :  0 ; 
+ 1f   :  0 ; 
+ 20   :  0 ; 
+ 21   :  0 ; 
+ 22   :  0 ; 
+ 23   :  0 ; 
+ 24   :  0 ; 
+ 25   :  0 ; 
+ 26   :  0 ; 
+ 27   :  0 ; 
+ 28   :  0 ; 
+ 29   :  0 ; 
+ 2a   :  0 ; 
+ 2b   :  0 ; 
+ 2c   :  0 ; 
+ 2d   :  0 ; 
+ 2e   :  0 ; 
+ 2f   :  0 ; 
+ 30   :  0 ; 
+ 31   :  0 ; 
+ 32   :  0 ; 
+ 33   :  0 ; 
+ 34   :  0 ; 
+ 35   :  0 ; 
+ 36   :  0 ; 
+ 37   :  0 ; 
+ 38   :  0 ; 
+ 39   :  0 ; 
+ 3a   :  0 ; 
+ 3b   :  0 ; 
+ 3c   :  0 ; 
+ 3d   :  0 ; 
+ 3e   :  0 ; 
+ 3f   :  0 ; 
+ 40   :  0 ; 
+ 41   :  0 ; 
+ 42   :  0 ; 
+ 43   :  0 ; 
+ 44   :  0 ; 
+ 45   :  0 ; 
+ 46   :  0 ; 
+ 47   :  0 ; 
+ 48   :  0 ; 
+ 49   :  0 ; 
+ 4a   :  0 ; 
+ 4b   :  0 ; 
+ 4c   :  0 ; 
+ 4d   :  0 ; 
+ 4e   :  0 ; 
+ 4f   :  0 ; 
+ 50   :  0 ; 
+ 51   :  0 ; 
+ 52   :  0 ; 
+ 53   :  0 ; 
+ 54   :  0 ; 
+ 55   :  0 ; 
+ 56   :  0 ; 
+ 57   :  0 ; 
+ 58   :  0 ; 
+ 59   :  0 ; 
+ 5a   :  0 ; 
+ 5b   :  0 ; 
+ 5c   :  0 ; 
+ 5d   :  0 ; 
+ 5e   :  0 ; 
+ 5f   :  0 ; 
+ 60   :  0 ; 
+ 61   :  0 ; 
+ 62   :  0 ; 
+ 63   :  0 ; 
+ 64   :  0 ; 
+ 65   :  0 ; 
+ 66   :  0 ; 
+ 67   :  0 ; 
+ 68   :  0 ; 
+ 69   :  0 ; 
+ 6a   :  0 ; 
+ 6b   :  0 ; 
+ 6c   :  0 ; 
+ 6d   :  0 ; 
+ 6e   :  0 ; 
+ 6f   :  0 ; 
+ 70   :  0 ; 
+ 71   :  0 ; 
+ 72   :  0 ; 
+ 73   :  0 ; 
+ 74   :  0 ; 
+ 75   :  0 ; 
+ 76   :  0 ; 
+ 77   :  0 ; 
+ 78   :  0 ; 
+ 79   :  0 ; 
+ 7a   :  0 ; 
+ 7b   :  0 ; 
+ 7c   :  0 ; 
+ 7d   :  0 ; 
+ 7e   :  0 ; 
+ 7f   :  0 ; 
+ 80   :  0 ; 
+ 81   :  0 ; 
+ 82   :  0 ; 
+ 83   :  0 ; 
+ 84   :  0 ; 
+ 85   :  0 ; 
+ 86   :  0 ; 
+ 87   :  0 ; 
+ 88   :  0 ; 
+ 89   :  0 ; 
+ 8a   :  0 ; 
+ 8b   :  0 ; 
+ 8c   :  0 ; 
+ 8d   :  0 ; 
+ 8e   :  0 ; 
+ 8f   :  0 ; 
+ 90   :  0 ; 
+ 91   :  0 ; 
+ 92   :  0 ; 
+ 93   :  0 ; 
+ 94   :  0 ; 
+ 95   :  0 ; 
+ 96   :  0 ; 
+ 97   :  0 ; 
+ 98   :  0 ; 
+ 99   :  0 ; 
+ 9a   :  0 ; 
+ 9b   :  0 ; 
+ 9c   :  0 ; 
+ 9d   :  0 ; 
+ 9e   :  0 ; 
+ 9f   :  0 ; 
+ a0   :  0 ; 
+ a1   :  0 ; 
+ a2   :  0 ; 
+ a3   :  0 ; 
+ a4   :  0 ; 
+ a5   :  0 ; 
+ a6   :  0 ; 
+ a7   :  0 ; 
+ a8   :  0 ; 
+ a9   :  0 ; 
+ aa   :  0 ; 
+ ab   :  0 ; 
+ ac   :  0 ; 
+ ad   :  0 ; 
+ ae   :  0 ; 
+ af   :  0 ; 
+ b0   :  0 ; 
+ b1   :  0 ; 
+ b2   :  0 ; 
+ b3   :  0 ; 
+ b4   :  0 ; 
+ b5   :  0 ; 
+ b6   :  0 ; 
+ b7   :  0 ; 
+ b8   :  0 ; 
+ b9   :  0 ; 
+ ba   :  0 ; 
+ bb   :  0 ; 
+ bc   :  0 ; 
+ bd   :  0 ; 
+ be   :  0 ; 
+ bf   :  0 ; 
+ c0   :  0 ; 
+ c1   :  0 ; 
+ c2   :  0 ; 
+ c3   :  0 ; 
+ c4   :  0 ; 
+ c5   :  0 ; 
+ c6   :  0 ; 
+ c7   :  0 ; 
+ c8   :  0 ; 
+ c9   :  0 ; 
+ ca   :  0 ; 
+ cb   :  0 ; 
+ cc   :  0 ; 
+ cd   :  0 ; 
+ ce   :  0 ; 
+ cf   :  0 ; 
+ d0   :  0 ; 
+ d1   :  0 ; 
+ d2   :  0 ; 
+ d3   :  0 ; 
+ d4   :  0 ; 
+ d5   :  0 ; 
+ d6   :  0 ; 
+ d7   :  0 ; 
+ d8   :  0 ; 
+ d9   :  0 ; 
+ da   :  0 ; 
+ db   :  0 ; 
+ dc   :  0 ; 
+ dd   :  0 ; 
+ de   :  0 ; 
+ df   :  0 ; 
+ e0   :  0 ; 
+ e1   :  0 ; 
+ e2   :  0 ; 
+ e3   :  0 ; 
+ e4   :  0 ; 
+ e5   :  0 ; 
+ e6   :  0 ; 
+ e7   :  0 ; 
+ e8   :  0 ; 
+ e9   :  0 ; 
+ ea   :  0 ; 
+ eb   :  0 ; 
+ ec   :  0 ; 
+ ed   :  0 ; 
+ ee   :  0 ; 
+ ef   :  0 ; 
+ f0   :  0 ; 
+ f1   :  0 ; 
+ f2   :  0 ; 
+ f3   :  0 ; 
+ f4   :  0 ; 
+ f5   :  0 ; 
+ f6   :  0 ; 
+ f7   :  0 ; 
+ f8   :  0 ; 
+ f9   :  0 ; 
+ fa   :  0 ; 
+ fb   :  0 ; 
+ fc   :  0 ; 
+ fd   :  0 ; 
+ fe   :  0 ; 
+ ff   :  0 ; 
+ 100   :  0 ; 
+ 101   :  0 ; 
+ 102   :  0 ; 
+ 103   :  0 ; 
+ 104   :  0 ; 
+ 105   :  0 ; 
+ 106   :  0 ; 
+ 107   :  0 ; 
+ 108   :  0 ; 
+ 109   :  0 ; 
+ 10a   :  0 ; 
+ 10b   :  0 ; 
+ 10c   :  0 ; 
+ 10d   :  0 ; 
+ 10e   :  0 ; 
+ 10f   :  0 ; 
+ 110   :  0 ; 
+ 111   :  0 ; 
+ 112   :  0 ; 
+ 113   :  0 ; 
+ 114   :  0 ; 
+ 115   :  0 ; 
+ 116   :  0 ; 
+ 117   :  0 ; 
+ 118   :  0 ; 
+ 119   :  0 ; 
+ 11a   :  0 ; 
+ 11b   :  0 ; 
+ 11c   :  0 ; 
+ 11d   :  0 ; 
+ 11e   :  0 ; 
+ 11f   :  0 ; 
+ 120   :  0 ; 
+ 121   :  0 ; 
+ 122   :  0 ; 
+ 123   :  0 ; 
+ 124   :  0 ; 
+ 125   :  0 ; 
+ 126   :  0 ; 
+ 127   :  0 ; 
+ 128   :  0 ; 
+ 129   :  0 ; 
+ 12a   :  0 ; 
+ 12b   :  0 ; 
+ 12c   :  0 ; 
+ 12d   :  0 ; 
+ 12e   :  0 ; 
+ 12f   :  0 ; 
+ 130   :  0 ; 
+ 131   :  0 ; 
+ 132   :  0 ; 
+ 133   :  0 ; 
+ 134   :  0 ; 
+ 135   :  0 ; 
+ 136   :  0 ; 
+ 137   :  0 ; 
+ 138   :  0 ; 
+ 139   :  0 ; 
+ 13a   :  0 ; 
+ 13b   :  0 ; 
+ 13c   :  0 ; 
+ 13d   :  0 ; 
+ 13e   :  0 ; 
+ 13f   :  0 ; 
+ 140   :  0 ; 
+ 141   :  0 ; 
+ 142   :  0 ; 
+ 143   :  0 ; 
+ 144   :  0 ; 
+ 145   :  0 ; 
+ 146   :  0 ; 
+ 147   :  0 ; 
+ 148   :  0 ; 
+ 149   :  0 ; 
+ 14a   :  0 ; 
+ 14b   :  0 ; 
+ 14c   :  0 ; 
+ 14d   :  0 ; 
+ 14e   :  0 ; 
+ 14f   :  0 ; 
+ 150   :  0 ; 
+ 151   :  0 ; 
+ 152   :  0 ; 
+ 153   :  0 ; 
+ 154   :  0 ; 
+ 155   :  0 ; 
+ 156   :  0 ; 
+ 157   :  0 ; 
+ 158   :  0 ; 
+ 159   :  0 ; 
+ 15a   :  0 ; 
+ 15b   :  0 ; 
+ 15c   :  0 ; 
+ 15d   :  0 ; 
+ 15e   :  0 ; 
+ 15f   :  0 ; 
+ 160   :  0 ; 
+ 161   :  0 ; 
+ 162   :  0 ; 
+ 163   :  0 ; 
+ 164   :  0 ; 
+ 165   :  0 ; 
+ 166   :  0 ; 
+ 167   :  0 ; 
+ 168   :  0 ; 
+ 169   :  0 ; 
+ 16a   :  0 ; 
+ 16b   :  0 ; 
+ 16c   :  0 ; 
+ 16d   :  0 ; 
+ 16e   :  0 ; 
+ 16f   :  0 ; 
+ 170   :  0 ; 
+ 171   :  0 ; 
+ 172   :  0 ; 
+ 173   :  0 ; 
+ 174   :  1 ; 
+ 175   :  1 ; 
+ 176   :  1 ; 
+ 177   :  1 ; 
+ 178   :  1 ; 
+ 179   :  1 ; 
+ 17a   :  1 ; 
+ 17b   :  1 ; 
+ 17c   :  1 ; 
+ 17d   :  1 ; 
+ 17e   :  1 ; 
+ 17f   :  1 ; 
+ 180   :  1 ; 
+ 181   :  1 ; 
+ 182   :  1 ; 
+ 183   :  1 ; 
+ 184   :  1 ; 
+ 185   :  1 ; 
+ 186   :  1 ; 
+ 187   :  1 ; 
+ 188   :  1 ; 
+ 189   :  1 ; 
+ 18a   :  1 ; 
+ 18b   :  1 ; 
+ 18c   :  1 ; 
+ 18d   :  1 ; 
+ 18e   :  1 ; 
+ 18f   :  1 ; 
+ 190   :  1 ; 
+ 191   :  1 ; 
+ 192   :  1 ; 
+ 193   :  1 ; 
+ 194   :  1 ; 
+ 195   :  1 ; 
+ 196   :  1 ; 
+ 197   :  1 ; 
+ 198   :  1 ; 
+ 199   :  1 ; 
+ 19a   :  1 ; 
+ 19b   :  1 ; 
+ 19c   :  1 ; 
+ 19d   :  1 ; 
+ 19e   :  1 ; 
+ 19f   :  1 ; 
+ 1a0   :  1 ; 
+ 1a1   :  1 ; 
+ 1a2   :  1 ; 
+ 1a3   :  1 ; 
+ 1a4   :  1 ; 
+ 1a5   :  1 ; 
+ 1a6   :  1 ; 
+ 1a7   :  1 ; 
+ 1a8   :  1 ; 
+ 1a9   :  1 ; 
+ 1aa   :  1 ; 
+ 1ab   :  1 ; 
+ 1ac   :  1 ; 
+ 1ad   :  1 ; 
+ 1ae   :  1 ; 
+ 1af   :  1 ; 
+ 1b0   :  1 ; 
+ 1b1   :  1 ; 
+ 1b2   :  1 ; 
+ 1b3   :  1 ; 
+ 1b4   :  1 ; 
+ 1b5   :  1 ; 
+ 1b6   :  1 ; 
+ 1b7   :  1 ; 
+ 1b8   :  1 ; 
+ 1b9   :  1 ; 
+ 1ba   :  1 ; 
+ 1bb   :  1 ; 
+ 1bc   :  1 ; 
+ 1bd   :  1 ; 
+ 1be   :  1 ; 
+ 1bf   :  1 ; 
+ 1c0   :  1 ; 
+ 1c1   :  1 ; 
+ 1c2   :  1 ; 
+ 1c3   :  1 ; 
+ 1c4   :  1 ; 
+ 1c5   :  1 ; 
+ 1c6   :  1 ; 
+ 1c7   :  1 ; 
+ 1c8   :  1 ; 
+ 1c9   :  1 ; 
+ 1ca   :  1 ; 
+ 1cb   :  1 ; 
+ 1cc   :  1 ; 
+ 1cd   :  1 ; 
+ 1ce   :  1 ; 
+ 1cf   :  1 ; 
+ 1d0   :  1 ; 
+ 1d1   :  1 ; 
+ 1d2   :  1 ; 
+ 1d3   :  1 ; 
+ 1d4   :  1 ; 
+ 1d5   :  1 ; 
+ 1d6   :  1 ; 
+ 1d7   :  1 ; 
+ 1d8   :  1 ; 
+ 1d9   :  1 ; 
+ 1da   :  1 ; 
+ 1db   :  1 ; 
+ 1dc   :  1 ; 
+ 1dd   :  1 ; 
+ 1de   :  1 ; 
+ 1df   :  1 ; 
+ 1e0   :  1 ; 
+ 1e1   :  1 ; 
+ 1e2   :  1 ; 
+ 1e3   :  1 ; 
+ 1e4   :  1 ; 
+ 1e5   :  1 ; 
+ 1e6   :  1 ; 
+ 1e7   :  1 ; 
+ 1e8   :  1 ; 
+ 1e9   :  1 ; 
+ 1ea   :  1 ; 
+ 1eb   :  1 ; 
+ 1ec   :  1 ; 
+ 1ed   :  1 ; 
+ 1ee   :  1 ; 
+ 1ef   :  1 ; 
+ 1f0   :  1 ; 
+ 1f1   :  1 ; 
+ 1f2   :  1 ; 
+ 1f3   :  1 ; 
+ 1f4   :  1 ; 
+ 1f5   :  1 ; 
+ 1f6   :  1 ; 
+ 1f7   :  1 ; 
+ 1f8   :  1 ; 
+ 1f9   :  2 ; 
+ 1fa   :  2 ; 
+ 1fb   :  2 ; 
+ 1fc   :  2 ; 
+ 1fd   :  2 ; 
+ 1fe   :  2 ; 
+ 1ff   :  2 ; 
+ 200   :  2 ; 
+ 201   :  2 ; 
+ 202   :  2 ; 
+ 203   :  2 ; 
+ 204   :  2 ; 
+ 205   :  2 ; 
+ 206   :  2 ; 
+ 207   :  2 ; 
+ 208   :  2 ; 
+ 209   :  2 ; 
+ 20a   :  2 ; 
+ 20b   :  2 ; 
+ 20c   :  2 ; 
+ 20d   :  2 ; 
+ 20e   :  2 ; 
+ 20f   :  2 ; 
+ 210   :  2 ; 
+ 211   :  2 ; 
+ 212   :  2 ; 
+ 213   :  2 ; 
+ 214   :  2 ; 
+ 215   :  2 ; 
+ 216   :  2 ; 
+ 217   :  2 ; 
+ 218   :  2 ; 
+ 219   :  2 ; 
+ 21a   :  2 ; 
+ 21b   :  2 ; 
+ 21c   :  2 ; 
+ 21d   :  2 ; 
+ 21e   :  2 ; 
+ 21f   :  2 ; 
+ 220   :  2 ; 
+ 221   :  2 ; 
+ 222   :  2 ; 
+ 223   :  2 ; 
+ 224   :  2 ; 
+ 225   :  2 ; 
+ 226   :  2 ; 
+ 227   :  2 ; 
+ 228   :  2 ; 
+ 229   :  2 ; 
+ 22a   :  2 ; 
+ 22b   :  2 ; 
+ 22c   :  2 ; 
+ 22d   :  2 ; 
+ 22e   :  2 ; 
+ 22f   :  2 ; 
+ 230   :  2 ; 
+ 231   :  2 ; 
+ 232   :  2 ; 
+ 233   :  2 ; 
+ 234   :  2 ; 
+ 235   :  2 ; 
+ 236   :  2 ; 
+ 237   :  2 ; 
+ 238   :  2 ; 
+ 239   :  2 ; 
+ 23a   :  2 ; 
+ 23b   :  2 ; 
+ 23c   :  2 ; 
+ 23d   :  2 ; 
+ 23e   :  2 ; 
+ 23f   :  2 ; 
+ 240   :  2 ; 
+ 241   :  2 ; 
+ 242   :  2 ; 
+ 243   :  3 ; 
+ 244   :  3 ; 
+ 245   :  3 ; 
+ 246   :  3 ; 
+ 247   :  3 ; 
+ 248   :  3 ; 
+ 249   :  3 ; 
+ 24a   :  3 ; 
+ 24b   :  3 ; 
+ 24c   :  3 ; 
+ 24d   :  3 ; 
+ 24e   :  3 ; 
+ 24f   :  3 ; 
+ 250   :  3 ; 
+ 251   :  3 ; 
+ 252   :  3 ; 
+ 253   :  3 ; 
+ 254   :  3 ; 
+ 255   :  3 ; 
+ 256   :  3 ; 
+ 257   :  3 ; 
+ 258   :  3 ; 
+ 259   :  3 ; 
+ 25a   :  3 ; 
+ 25b   :  3 ; 
+ 25c   :  3 ; 
+ 25d   :  3 ; 
+ 25e   :  3 ; 
+ 25f   :  3 ; 
+ 260   :  3 ; 
+ 261   :  3 ; 
+ 262   :  3 ; 
+ 263   :  3 ; 
+ 264   :  3 ; 
+ 265   :  3 ; 
+ 266   :  3 ; 
+ 267   :  3 ; 
+ 268   :  3 ; 
+ 269   :  3 ; 
+ 26a   :  3 ; 
+ 26b   :  3 ; 
+ 26c   :  3 ; 
+ 26d   :  3 ; 
+ 26e   :  3 ; 
+ 26f   :  3 ; 
+ 270   :  3 ; 
+ 271   :  3 ; 
+ 272   :  3 ; 
+ 273   :  3 ; 
+ 274   :  3 ; 
+ 275   :  3 ; 
+ 276   :  3 ; 
+ 277   :  3 ; 
+ 278   :  3 ; 
+ 279   :  3 ; 
+ 27a   :  4 ; 
+ 27b   :  4 ; 
+ 27c   :  4 ; 
+ 27d   :  4 ; 
+ 27e   :  4 ; 
+ 27f   :  4 ; 
+ 280   :  4 ; 
+ 281   :  4 ; 
+ 282   :  4 ; 
+ 283   :  4 ; 
+ 284   :  4 ; 
+ 285   :  4 ; 
+ 286   :  4 ; 
+ 287   :  4 ; 
+ 288   :  4 ; 
+ 289   :  4 ; 
+ 28a   :  4 ; 
+ 28b   :  4 ; 
+ 28c   :  4 ; 
+ 28d   :  4 ; 
+ 28e   :  4 ; 
+ 28f   :  4 ; 
+ 290   :  4 ; 
+ 291   :  4 ; 
+ 292   :  4 ; 
+ 293   :  4 ; 
+ 294   :  4 ; 
+ 295   :  4 ; 
+ 296   :  4 ; 
+ 297   :  4 ; 
+ 298   :  4 ; 
+ 299   :  4 ; 
+ 29a   :  4 ; 
+ 29b   :  4 ; 
+ 29c   :  4 ; 
+ 29d   :  4 ; 
+ 29e   :  4 ; 
+ 29f   :  4 ; 
+ 2a0   :  4 ; 
+ 2a1   :  4 ; 
+ 2a2   :  4 ; 
+ 2a3   :  4 ; 
+ 2a4   :  4 ; 
+ 2a5   :  4 ; 
+ 2a6   :  5 ; 
+ 2a7   :  5 ; 
+ 2a8   :  5 ; 
+ 2a9   :  5 ; 
+ 2aa   :  5 ; 
+ 2ab   :  5 ; 
+ 2ac   :  5 ; 
+ 2ad   :  5 ; 
+ 2ae   :  5 ; 
+ 2af   :  5 ; 
+ 2b0   :  5 ; 
+ 2b1   :  5 ; 
+ 2b2   :  5 ; 
+ 2b3   :  5 ; 
+ 2b4   :  5 ; 
+ 2b5   :  5 ; 
+ 2b6   :  5 ; 
+ 2b7   :  5 ; 
+ 2b8   :  5 ; 
+ 2b9   :  5 ; 
+ 2ba   :  5 ; 
+ 2bb   :  5 ; 
+ 2bc   :  5 ; 
+ 2bd   :  5 ; 
+ 2be   :  5 ; 
+ 2bf   :  5 ; 
+ 2c0   :  5 ; 
+ 2c1   :  5 ; 
+ 2c2   :  5 ; 
+ 2c3   :  5 ; 
+ 2c4   :  5 ; 
+ 2c5   :  5 ; 
+ 2c6   :  5 ; 
+ 2c7   :  5 ; 
+ 2c8   :  5 ; 
+ 2c9   :  5 ; 
+ 2ca   :  5 ; 
+ 2cb   :  5 ; 
+ 2cc   :  6 ; 
+ 2cd   :  6 ; 
+ 2ce   :  6 ; 
+ 2cf   :  6 ; 
+ 2d0   :  6 ; 
+ 2d1   :  6 ; 
+ 2d2   :  6 ; 
+ 2d3   :  6 ; 
+ 2d4   :  6 ; 
+ 2d5   :  6 ; 
+ 2d6   :  6 ; 
+ 2d7   :  6 ; 
+ 2d8   :  6 ; 
+ 2d9   :  6 ; 
+ 2da   :  6 ; 
+ 2db   :  6 ; 
+ 2dc   :  6 ; 
+ 2dd   :  6 ; 
+ 2de   :  6 ; 
+ 2df   :  6 ; 
+ 2e0   :  6 ; 
+ 2e1   :  6 ; 
+ 2e2   :  6 ; 
+ 2e3   :  6 ; 
+ 2e4   :  6 ; 
+ 2e5   :  6 ; 
+ 2e6   :  6 ; 
+ 2e7   :  6 ; 
+ 2e8   :  6 ; 
+ 2e9   :  6 ; 
+ 2ea   :  6 ; 
+ 2eb   :  6 ; 
+ 2ec   :  6 ; 
+ 2ed   :  7 ; 
+ 2ee   :  7 ; 
+ 2ef   :  7 ; 
+ 2f0   :  7 ; 
+ 2f1   :  7 ; 
+ 2f2   :  7 ; 
+ 2f3   :  7 ; 
+ 2f4   :  7 ; 
+ 2f5   :  7 ; 
+ 2f6   :  7 ; 
+ 2f7   :  7 ; 
+ 2f8   :  7 ; 
+ 2f9   :  7 ; 
+ 2fa   :  7 ; 
+ 2fb   :  7 ; 
+ 2fc   :  7 ; 
+ 2fd   :  7 ; 
+ 2fe   :  7 ; 
+ 2ff   :  7 ; 
+ 300   :  7 ; 
+ 301   :  7 ; 
+ 302   :  7 ; 
+ 303   :  7 ; 
+ 304   :  7 ; 
+ 305   :  7 ; 
+ 306   :  7 ; 
+ 307   :  7 ; 
+ 308   :  7 ; 
+ 309   :  7 ; 
+ 30a   :  7 ; 
+ 30b   :  8 ; 
+ 30c   :  8 ; 
+ 30d   :  8 ; 
+ 30e   :  8 ; 
+ 30f   :  8 ; 
+ 310   :  8 ; 
+ 311   :  8 ; 
+ 312   :  8 ; 
+ 313   :  8 ; 
+ 314   :  8 ; 
+ 315   :  8 ; 
+ 316   :  8 ; 
+ 317   :  8 ; 
+ 318   :  8 ; 
+ 319   :  8 ; 
+ 31a   :  8 ; 
+ 31b   :  8 ; 
+ 31c   :  8 ; 
+ 31d   :  8 ; 
+ 31e   :  8 ; 
+ 31f   :  8 ; 
+ 320   :  8 ; 
+ 321   :  8 ; 
+ 322   :  8 ; 
+ 323   :  8 ; 
+ 324   :  8 ; 
+ 325   :  8 ; 
+ 326   :  9 ; 
+ 327   :  9 ; 
+ 328   :  9 ; 
+ 329   :  9 ; 
+ 32a   :  9 ; 
+ 32b   :  9 ; 
+ 32c   :  9 ; 
+ 32d   :  9 ; 
+ 32e   :  9 ; 
+ 32f   :  9 ; 
+ 330   :  9 ; 
+ 331   :  9 ; 
+ 332   :  9 ; 
+ 333   :  9 ; 
+ 334   :  9 ; 
+ 335   :  9 ; 
+ 336   :  9 ; 
+ 337   :  9 ; 
+ 338   :  9 ; 
+ 339   :  9 ; 
+ 33a   :  9 ; 
+ 33b   :  9 ; 
+ 33c   :  9 ; 
+ 33d   :  9 ; 
+ 33e   :  9 ; 
+ 33f   :  a ; 
+ 340   :  a ; 
+ 341   :  a ; 
+ 342   :  a ; 
+ 343   :  a ; 
+ 344   :  a ; 
+ 345   :  a ; 
+ 346   :  a ; 
+ 347   :  a ; 
+ 348   :  a ; 
+ 349   :  a ; 
+ 34a   :  a ; 
+ 34b   :  a ; 
+ 34c   :  a ; 
+ 34d   :  a ; 
+ 34e   :  a ; 
+ 34f   :  a ; 
+ 350   :  a ; 
+ 351   :  a ; 
+ 352   :  a ; 
+ 353   :  a ; 
+ 354   :  a ; 
+ 355   :  a ; 
+ 356   :  a ; 
+ 357   :  b ; 
+ 358   :  b ; 
+ 359   :  b ; 
+ 35a   :  b ; 
+ 35b   :  b ; 
+ 35c   :  b ; 
+ 35d   :  b ; 
+ 35e   :  b ; 
+ 35f   :  b ; 
+ 360   :  b ; 
+ 361   :  b ; 
+ 362   :  b ; 
+ 363   :  b ; 
+ 364   :  b ; 
+ 365   :  b ; 
+ 366   :  b ; 
+ 367   :  b ; 
+ 368   :  b ; 
+ 369   :  b ; 
+ 36a   :  b ; 
+ 36b   :  b ; 
+ 36c   :  b ; 
+ 36d   :  c ; 
+ 36e   :  c ; 
+ 36f   :  c ; 
+ 370   :  c ; 
+ 371   :  c ; 
+ 372   :  c ; 
+ 373   :  c ; 
+ 374   :  c ; 
+ 375   :  c ; 
+ 376   :  c ; 
+ 377   :  c ; 
+ 378   :  c ; 
+ 379   :  c ; 
+ 37a   :  c ; 
+ 37b   :  c ; 
+ 37c   :  c ; 
+ 37d   :  c ; 
+ 37e   :  c ; 
+ 37f   :  c ; 
+ 380   :  c ; 
+ 381   :  c ; 
+ 382   :  d ; 
+ 383   :  d ; 
+ 384   :  d ; 
+ 385   :  d ; 
+ 386   :  d ; 
+ 387   :  d ; 
+ 388   :  d ; 
+ 389   :  d ; 
+ 38a   :  d ; 
+ 38b   :  d ; 
+ 38c   :  d ; 
+ 38d   :  d ; 
+ 38e   :  d ; 
+ 38f   :  d ; 
+ 390   :  d ; 
+ 391   :  d ; 
+ 392   :  d ; 
+ 393   :  d ; 
+ 394   :  d ; 
+ 395   :  d ; 
+ 396   :  e ; 
+ 397   :  e ; 
+ 398   :  e ; 
+ 399   :  e ; 
+ 39a   :  e ; 
+ 39b   :  e ; 
+ 39c   :  e ; 
+ 39d   :  e ; 
+ 39e   :  e ; 
+ 39f   :  e ; 
+ 3a0   :  e ; 
+ 3a1   :  e ; 
+ 3a2   :  e ; 
+ 3a3   :  e ; 
+ 3a4   :  e ; 
+ 3a5   :  e ; 
+ 3a6   :  e ; 
+ 3a7   :  e ; 
+ 3a8   :  e ; 
+ 3a9   :  f ; 
+ 3aa   :  f ; 
+ 3ab   :  f ; 
+ 3ac   :  f ; 
+ 3ad   :  f ; 
+ 3ae   :  f ; 
+ 3af   :  f ; 
+ 3b0   :  f ; 
+ 3b1   :  f ; 
+ 3b2   :  f ; 
+ 3b3   :  f ; 
+ 3b4   :  f ; 
+ 3b5   :  f ; 
+ 3b6   :  f ; 
+ 3b7   :  f ; 
+ 3b8   :  f ; 
+ 3b9   :  f ; 
+ 3ba   :  f ; 
+ 3bb   :  f ; 
+ 3bc   :  10 ; 
+ 3bd   :  10 ; 
+ 3be   :  10 ; 
+ 3bf   :  10 ; 
+ 3c0   :  10 ; 
+ 3c1   :  10 ; 
+ 3c2   :  10 ; 
+ 3c3   :  10 ; 
+ 3c4   :  10 ; 
+ 3c5   :  10 ; 
+ 3c6   :  10 ; 
+ 3c7   :  10 ; 
+ 3c8   :  10 ; 
+ 3c9   :  10 ; 
+ 3ca   :  10 ; 
+ 3cb   :  10 ; 
+ 3cc   :  10 ; 
+ 3cd   :  10 ; 
+ 3ce   :  11 ; 
+ 3cf   :  11 ; 
+ 3d0   :  11 ; 
+ 3d1   :  11 ; 
+ 3d2   :  11 ; 
+ 3d3   :  11 ; 
+ 3d4   :  11 ; 
+ 3d5   :  11 ; 
+ 3d6   :  11 ; 
+ 3d7   :  11 ; 
+ 3d8   :  11 ; 
+ 3d9   :  11 ; 
+ 3da   :  11 ; 
+ 3db   :  11 ; 
+ 3dc   :  11 ; 
+ 3dd   :  11 ; 
+ 3de   :  11 ; 
+ 3df   :  12 ; 
+ 3e0   :  12 ; 
+ 3e1   :  12 ; 
+ 3e2   :  12 ; 
+ 3e3   :  12 ; 
+ 3e4   :  12 ; 
+ 3e5   :  12 ; 
+ 3e6   :  12 ; 
+ 3e7   :  12 ; 
+ 3e8   :  12 ; 
+ 3e9   :  12 ; 
+ 3ea   :  12 ; 
+ 3eb   :  12 ; 
+ 3ec   :  12 ; 
+ 3ed   :  12 ; 
+ 3ee   :  12 ; 
+ 3ef   :  12 ; 
+ 3f0   :  13 ; 
+ 3f1   :  13 ; 
+ 3f2   :  13 ; 
+ 3f3   :  13 ; 
+ 3f4   :  13 ; 
+ 3f5   :  13 ; 
+ 3f6   :  13 ; 
+ 3f7   :  13 ; 
+ 3f8   :  13 ; 
+ 3f9   :  13 ; 
+ 3fa   :  13 ; 
+ 3fb   :  13 ; 
+ 3fc   :  13 ; 
+ 3fd   :  13 ; 
+ 3fe   :  13 ; 
+ 3ff   :  13 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_2.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_2.mif
new file mode 100644
index 0000000000000000000000000000000000000000..db082680b6cb4ae82b28386cc66f1a917a95b401
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_2.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  19 ; 
+ 1   :  18 ; 
+ 2   :  17 ; 
+ 3   :  16 ; 
+ 4   :  15 ; 
+ 5   :  14 ; 
+ 6   :  13 ; 
+ 7   :  12 ; 
+ 8   :  10 ; 
+ 9   :  f ; 
+ a   :  e ; 
+ b   :  d ; 
+ c   :  c ; 
+ d   :  b ; 
+ e   :  a ; 
+ f   :  9 ; 
+ 10   :  8 ; 
+ 11   :  6 ; 
+ 12   :  5 ; 
+ 13   :  4 ; 
+ 14   :  3 ; 
+ 15   :  2 ; 
+ 16   :  1 ; 
+ 17   :  0 ; 
+ 18   :  ffff ; 
+ 19   :  fffe ; 
+ 1a   :  fffd ; 
+ 1b   :  fffc ; 
+ 1c   :  fffb ; 
+ 1d   :  fffa ; 
+ 1e   :  fff8 ; 
+ 1f   :  fff7 ; 
+ 20   :  fff6 ; 
+ 21   :  fff5 ; 
+ 22   :  fff4 ; 
+ 23   :  fff3 ; 
+ 24   :  fff2 ; 
+ 25   :  fff1 ; 
+ 26   :  fff0 ; 
+ 27   :  ffef ; 
+ 28   :  ffee ; 
+ 29   :  ffed ; 
+ 2a   :  ffec ; 
+ 2b   :  ffeb ; 
+ 2c   :  ffea ; 
+ 2d   :  ffe9 ; 
+ 2e   :  ffe8 ; 
+ 2f   :  ffe7 ; 
+ 30   :  ffe6 ; 
+ 31   :  ffe5 ; 
+ 32   :  ffe4 ; 
+ 33   :  ffe3 ; 
+ 34   :  ffe2 ; 
+ 35   :  ffe1 ; 
+ 36   :  ffe0 ; 
+ 37   :  ffdf ; 
+ 38   :  ffde ; 
+ 39   :  ffdd ; 
+ 3a   :  ffdc ; 
+ 3b   :  ffdb ; 
+ 3c   :  ffda ; 
+ 3d   :  ffd9 ; 
+ 3e   :  ffd8 ; 
+ 3f   :  ffd7 ; 
+ 40   :  ffd6 ; 
+ 41   :  ffd5 ; 
+ 42   :  ffd4 ; 
+ 43   :  ffd3 ; 
+ 44   :  ffd2 ; 
+ 45   :  ffd1 ; 
+ 46   :  ffd0 ; 
+ 47   :  ffcf ; 
+ 48   :  ffce ; 
+ 49   :  ffcd ; 
+ 4a   :  ffcc ; 
+ 4b   :  ffcb ; 
+ 4c   :  ffca ; 
+ 4d   :  ffc9 ; 
+ 4e   :  ffc8 ; 
+ 4f   :  ffc7 ; 
+ 50   :  ffc6 ; 
+ 51   :  ffc5 ; 
+ 52   :  ffc4 ; 
+ 53   :  ffc3 ; 
+ 54   :  ffc2 ; 
+ 55   :  ffc1 ; 
+ 56   :  ffc0 ; 
+ 57   :  ffc0 ; 
+ 58   :  ffbf ; 
+ 59   :  ffbe ; 
+ 5a   :  ffbd ; 
+ 5b   :  ffbc ; 
+ 5c   :  ffbb ; 
+ 5d   :  ffba ; 
+ 5e   :  ffb9 ; 
+ 5f   :  ffb8 ; 
+ 60   :  ffb7 ; 
+ 61   :  ffb6 ; 
+ 62   :  ffb5 ; 
+ 63   :  ffb5 ; 
+ 64   :  ffb4 ; 
+ 65   :  ffb3 ; 
+ 66   :  ffb2 ; 
+ 67   :  ffb1 ; 
+ 68   :  ffb0 ; 
+ 69   :  ffaf ; 
+ 6a   :  ffae ; 
+ 6b   :  ffad ; 
+ 6c   :  ffad ; 
+ 6d   :  ffac ; 
+ 6e   :  ffab ; 
+ 6f   :  ffaa ; 
+ 70   :  ffa9 ; 
+ 71   :  ffa8 ; 
+ 72   :  ffa7 ; 
+ 73   :  ffa6 ; 
+ 74   :  ffa6 ; 
+ 75   :  ffa5 ; 
+ 76   :  ffa4 ; 
+ 77   :  ffa3 ; 
+ 78   :  ffa2 ; 
+ 79   :  ffa1 ; 
+ 7a   :  ffa0 ; 
+ 7b   :  ffa0 ; 
+ 7c   :  ff9f ; 
+ 7d   :  ff9e ; 
+ 7e   :  ff9d ; 
+ 7f   :  ff9c ; 
+ 80   :  ff9b ; 
+ 81   :  ff9b ; 
+ 82   :  ff9a ; 
+ 83   :  ff99 ; 
+ 84   :  ff98 ; 
+ 85   :  ff97 ; 
+ 86   :  ff97 ; 
+ 87   :  ff96 ; 
+ 88   :  ff95 ; 
+ 89   :  ff94 ; 
+ 8a   :  ff93 ; 
+ 8b   :  ff92 ; 
+ 8c   :  ff92 ; 
+ 8d   :  ff91 ; 
+ 8e   :  ff90 ; 
+ 8f   :  ff8f ; 
+ 90   :  ff8f ; 
+ 91   :  ff8e ; 
+ 92   :  ff8d ; 
+ 93   :  ff8c ; 
+ 94   :  ff8b ; 
+ 95   :  ff8b ; 
+ 96   :  ff8a ; 
+ 97   :  ff89 ; 
+ 98   :  ff88 ; 
+ 99   :  ff88 ; 
+ 9a   :  ff87 ; 
+ 9b   :  ff86 ; 
+ 9c   :  ff85 ; 
+ 9d   :  ff85 ; 
+ 9e   :  ff84 ; 
+ 9f   :  ff83 ; 
+ a0   :  ff82 ; 
+ a1   :  ff82 ; 
+ a2   :  ff81 ; 
+ a3   :  ff80 ; 
+ a4   :  ff7f ; 
+ a5   :  ff7f ; 
+ a6   :  ff7e ; 
+ a7   :  ff7d ; 
+ a8   :  ff7c ; 
+ a9   :  ff7c ; 
+ aa   :  ff7b ; 
+ ab   :  ff7a ; 
+ ac   :  ff7a ; 
+ ad   :  ff79 ; 
+ ae   :  ff78 ; 
+ af   :  ff77 ; 
+ b0   :  ff77 ; 
+ b1   :  ff76 ; 
+ b2   :  ff75 ; 
+ b3   :  ff75 ; 
+ b4   :  ff74 ; 
+ b5   :  ff73 ; 
+ b6   :  ff73 ; 
+ b7   :  ff72 ; 
+ b8   :  ff71 ; 
+ b9   :  ff71 ; 
+ ba   :  ff70 ; 
+ bb   :  ff6f ; 
+ bc   :  ff6f ; 
+ bd   :  ff6e ; 
+ be   :  ff6d ; 
+ bf   :  ff6d ; 
+ c0   :  ff6c ; 
+ c1   :  ff6b ; 
+ c2   :  ff6b ; 
+ c3   :  ff6a ; 
+ c4   :  ff69 ; 
+ c5   :  ff69 ; 
+ c6   :  ff68 ; 
+ c7   :  ff67 ; 
+ c8   :  ff67 ; 
+ c9   :  ff66 ; 
+ ca   :  ff65 ; 
+ cb   :  ff65 ; 
+ cc   :  ff64 ; 
+ cd   :  ff64 ; 
+ ce   :  ff63 ; 
+ cf   :  ff62 ; 
+ d0   :  ff62 ; 
+ d1   :  ff61 ; 
+ d2   :  ff60 ; 
+ d3   :  ff60 ; 
+ d4   :  ff5f ; 
+ d5   :  ff5f ; 
+ d6   :  ff5e ; 
+ d7   :  ff5d ; 
+ d8   :  ff5d ; 
+ d9   :  ff5c ; 
+ da   :  ff5c ; 
+ db   :  ff5b ; 
+ dc   :  ff5b ; 
+ dd   :  ff5a ; 
+ de   :  ff59 ; 
+ df   :  ff59 ; 
+ e0   :  ff58 ; 
+ e1   :  ff58 ; 
+ e2   :  ff57 ; 
+ e3   :  ff57 ; 
+ e4   :  ff56 ; 
+ e5   :  ff55 ; 
+ e6   :  ff55 ; 
+ e7   :  ff54 ; 
+ e8   :  ff54 ; 
+ e9   :  ff53 ; 
+ ea   :  ff53 ; 
+ eb   :  ff52 ; 
+ ec   :  ff52 ; 
+ ed   :  ff51 ; 
+ ee   :  ff51 ; 
+ ef   :  ff50 ; 
+ f0   :  ff4f ; 
+ f1   :  ff4f ; 
+ f2   :  ff4e ; 
+ f3   :  ff4e ; 
+ f4   :  ff4d ; 
+ f5   :  ff4d ; 
+ f6   :  ff4c ; 
+ f7   :  ff4c ; 
+ f8   :  ff4b ; 
+ f9   :  ff4b ; 
+ fa   :  ff4a ; 
+ fb   :  ff4a ; 
+ fc   :  ff49 ; 
+ fd   :  ff49 ; 
+ fe   :  ff48 ; 
+ ff   :  ff48 ; 
+ 100   :  ff47 ; 
+ 101   :  ff47 ; 
+ 102   :  ff46 ; 
+ 103   :  ff46 ; 
+ 104   :  ff46 ; 
+ 105   :  ff45 ; 
+ 106   :  ff45 ; 
+ 107   :  ff44 ; 
+ 108   :  ff44 ; 
+ 109   :  ff43 ; 
+ 10a   :  ff43 ; 
+ 10b   :  ff42 ; 
+ 10c   :  ff42 ; 
+ 10d   :  ff41 ; 
+ 10e   :  ff41 ; 
+ 10f   :  ff41 ; 
+ 110   :  ff40 ; 
+ 111   :  ff40 ; 
+ 112   :  ff3f ; 
+ 113   :  ff3f ; 
+ 114   :  ff3e ; 
+ 115   :  ff3e ; 
+ 116   :  ff3d ; 
+ 117   :  ff3d ; 
+ 118   :  ff3d ; 
+ 119   :  ff3c ; 
+ 11a   :  ff3c ; 
+ 11b   :  ff3b ; 
+ 11c   :  ff3b ; 
+ 11d   :  ff3b ; 
+ 11e   :  ff3a ; 
+ 11f   :  ff3a ; 
+ 120   :  ff39 ; 
+ 121   :  ff39 ; 
+ 122   :  ff39 ; 
+ 123   :  ff38 ; 
+ 124   :  ff38 ; 
+ 125   :  ff37 ; 
+ 126   :  ff37 ; 
+ 127   :  ff37 ; 
+ 128   :  ff36 ; 
+ 129   :  ff36 ; 
+ 12a   :  ff36 ; 
+ 12b   :  ff35 ; 
+ 12c   :  ff35 ; 
+ 12d   :  ff34 ; 
+ 12e   :  ff34 ; 
+ 12f   :  ff34 ; 
+ 130   :  ff33 ; 
+ 131   :  ff33 ; 
+ 132   :  ff33 ; 
+ 133   :  ff32 ; 
+ 134   :  ff32 ; 
+ 135   :  ff32 ; 
+ 136   :  ff31 ; 
+ 137   :  ff31 ; 
+ 138   :  ff31 ; 
+ 139   :  ff30 ; 
+ 13a   :  ff30 ; 
+ 13b   :  ff30 ; 
+ 13c   :  ff2f ; 
+ 13d   :  ff2f ; 
+ 13e   :  ff2f ; 
+ 13f   :  ff2e ; 
+ 140   :  ff2e ; 
+ 141   :  ff2e ; 
+ 142   :  ff2d ; 
+ 143   :  ff2d ; 
+ 144   :  ff2d ; 
+ 145   :  ff2d ; 
+ 146   :  ff2c ; 
+ 147   :  ff2c ; 
+ 148   :  ff2c ; 
+ 149   :  ff2b ; 
+ 14a   :  ff2b ; 
+ 14b   :  ff2b ; 
+ 14c   :  ff2b ; 
+ 14d   :  ff2a ; 
+ 14e   :  ff2a ; 
+ 14f   :  ff2a ; 
+ 150   :  ff29 ; 
+ 151   :  ff29 ; 
+ 152   :  ff29 ; 
+ 153   :  ff29 ; 
+ 154   :  ff28 ; 
+ 155   :  ff28 ; 
+ 156   :  ff28 ; 
+ 157   :  ff28 ; 
+ 158   :  ff27 ; 
+ 159   :  ff27 ; 
+ 15a   :  ff27 ; 
+ 15b   :  ff27 ; 
+ 15c   :  ff26 ; 
+ 15d   :  ff26 ; 
+ 15e   :  ff26 ; 
+ 15f   :  ff26 ; 
+ 160   :  ff25 ; 
+ 161   :  ff25 ; 
+ 162   :  ff25 ; 
+ 163   :  ff25 ; 
+ 164   :  ff25 ; 
+ 165   :  ff24 ; 
+ 166   :  ff24 ; 
+ 167   :  ff24 ; 
+ 168   :  ff24 ; 
+ 169   :  ff24 ; 
+ 16a   :  ff23 ; 
+ 16b   :  ff23 ; 
+ 16c   :  ff23 ; 
+ 16d   :  ff23 ; 
+ 16e   :  ff23 ; 
+ 16f   :  ff22 ; 
+ 170   :  ff22 ; 
+ 171   :  ff22 ; 
+ 172   :  ff22 ; 
+ 173   :  ff22 ; 
+ 174   :  ff21 ; 
+ 175   :  ff21 ; 
+ 176   :  ff21 ; 
+ 177   :  ff21 ; 
+ 178   :  ff21 ; 
+ 179   :  ff21 ; 
+ 17a   :  ff20 ; 
+ 17b   :  ff20 ; 
+ 17c   :  ff20 ; 
+ 17d   :  ff20 ; 
+ 17e   :  ff20 ; 
+ 17f   :  ff20 ; 
+ 180   :  ff1f ; 
+ 181   :  ff1f ; 
+ 182   :  ff1f ; 
+ 183   :  ff1f ; 
+ 184   :  ff1f ; 
+ 185   :  ff1f ; 
+ 186   :  ff1f ; 
+ 187   :  ff1e ; 
+ 188   :  ff1e ; 
+ 189   :  ff1e ; 
+ 18a   :  ff1e ; 
+ 18b   :  ff1e ; 
+ 18c   :  ff1e ; 
+ 18d   :  ff1e ; 
+ 18e   :  ff1e ; 
+ 18f   :  ff1d ; 
+ 190   :  ff1d ; 
+ 191   :  ff1d ; 
+ 192   :  ff1d ; 
+ 193   :  ff1d ; 
+ 194   :  ff1d ; 
+ 195   :  ff1d ; 
+ 196   :  ff1d ; 
+ 197   :  ff1d ; 
+ 198   :  ff1c ; 
+ 199   :  ff1c ; 
+ 19a   :  ff1c ; 
+ 19b   :  ff1c ; 
+ 19c   :  ff1c ; 
+ 19d   :  ff1c ; 
+ 19e   :  ff1c ; 
+ 19f   :  ff1c ; 
+ 1a0   :  ff1c ; 
+ 1a1   :  ff1c ; 
+ 1a2   :  ff1c ; 
+ 1a3   :  ff1c ; 
+ 1a4   :  ff1c ; 
+ 1a5   :  ff1b ; 
+ 1a6   :  ff1b ; 
+ 1a7   :  ff1b ; 
+ 1a8   :  ff1b ; 
+ 1a9   :  ff1b ; 
+ 1aa   :  ff1b ; 
+ 1ab   :  ff1b ; 
+ 1ac   :  ff1b ; 
+ 1ad   :  ff1b ; 
+ 1ae   :  ff1b ; 
+ 1af   :  ff1b ; 
+ 1b0   :  ff1b ; 
+ 1b1   :  ff1b ; 
+ 1b2   :  ff1b ; 
+ 1b3   :  ff1b ; 
+ 1b4   :  ff1b ; 
+ 1b5   :  ff1b ; 
+ 1b6   :  ff1b ; 
+ 1b7   :  ff1b ; 
+ 1b8   :  ff1b ; 
+ 1b9   :  ff1b ; 
+ 1ba   :  ff1b ; 
+ 1bb   :  ff1b ; 
+ 1bc   :  ff1b ; 
+ 1bd   :  ff1b ; 
+ 1be   :  ff1b ; 
+ 1bf   :  ff1b ; 
+ 1c0   :  ff1b ; 
+ 1c1   :  ff1b ; 
+ 1c2   :  ff1b ; 
+ 1c3   :  ff1b ; 
+ 1c4   :  ff1b ; 
+ 1c5   :  ff1b ; 
+ 1c6   :  ff1b ; 
+ 1c7   :  ff1b ; 
+ 1c8   :  ff1b ; 
+ 1c9   :  ff1b ; 
+ 1ca   :  ff1b ; 
+ 1cb   :  ff1b ; 
+ 1cc   :  ff1b ; 
+ 1cd   :  ff1b ; 
+ 1ce   :  ff1b ; 
+ 1cf   :  ff1b ; 
+ 1d0   :  ff1b ; 
+ 1d1   :  ff1b ; 
+ 1d2   :  ff1b ; 
+ 1d3   :  ff1b ; 
+ 1d4   :  ff1b ; 
+ 1d5   :  ff1b ; 
+ 1d6   :  ff1b ; 
+ 1d7   :  ff1b ; 
+ 1d8   :  ff1b ; 
+ 1d9   :  ff1b ; 
+ 1da   :  ff1b ; 
+ 1db   :  ff1b ; 
+ 1dc   :  ff1b ; 
+ 1dd   :  ff1b ; 
+ 1de   :  ff1b ; 
+ 1df   :  ff1b ; 
+ 1e0   :  ff1b ; 
+ 1e1   :  ff1c ; 
+ 1e2   :  ff1c ; 
+ 1e3   :  ff1c ; 
+ 1e4   :  ff1c ; 
+ 1e5   :  ff1c ; 
+ 1e6   :  ff1c ; 
+ 1e7   :  ff1c ; 
+ 1e8   :  ff1c ; 
+ 1e9   :  ff1c ; 
+ 1ea   :  ff1c ; 
+ 1eb   :  ff1c ; 
+ 1ec   :  ff1c ; 
+ 1ed   :  ff1c ; 
+ 1ee   :  ff1d ; 
+ 1ef   :  ff1d ; 
+ 1f0   :  ff1d ; 
+ 1f1   :  ff1d ; 
+ 1f2   :  ff1d ; 
+ 1f3   :  ff1d ; 
+ 1f4   :  ff1d ; 
+ 1f5   :  ff1d ; 
+ 1f6   :  ff1d ; 
+ 1f7   :  ff1d ; 
+ 1f8   :  ff1d ; 
+ 1f9   :  ff1e ; 
+ 1fa   :  ff1e ; 
+ 1fb   :  ff1e ; 
+ 1fc   :  ff1e ; 
+ 1fd   :  ff1e ; 
+ 1fe   :  ff1e ; 
+ 1ff   :  ff1e ; 
+ 200   :  ff1e ; 
+ 201   :  ff1f ; 
+ 202   :  ff1f ; 
+ 203   :  ff1f ; 
+ 204   :  ff1f ; 
+ 205   :  ff1f ; 
+ 206   :  ff1f ; 
+ 207   :  ff1f ; 
+ 208   :  ff1f ; 
+ 209   :  ff20 ; 
+ 20a   :  ff20 ; 
+ 20b   :  ff20 ; 
+ 20c   :  ff20 ; 
+ 20d   :  ff20 ; 
+ 20e   :  ff20 ; 
+ 20f   :  ff20 ; 
+ 210   :  ff21 ; 
+ 211   :  ff21 ; 
+ 212   :  ff21 ; 
+ 213   :  ff21 ; 
+ 214   :  ff21 ; 
+ 215   :  ff21 ; 
+ 216   :  ff21 ; 
+ 217   :  ff22 ; 
+ 218   :  ff22 ; 
+ 219   :  ff22 ; 
+ 21a   :  ff22 ; 
+ 21b   :  ff22 ; 
+ 21c   :  ff22 ; 
+ 21d   :  ff23 ; 
+ 21e   :  ff23 ; 
+ 21f   :  ff23 ; 
+ 220   :  ff23 ; 
+ 221   :  ff23 ; 
+ 222   :  ff24 ; 
+ 223   :  ff24 ; 
+ 224   :  ff24 ; 
+ 225   :  ff24 ; 
+ 226   :  ff24 ; 
+ 227   :  ff24 ; 
+ 228   :  ff25 ; 
+ 229   :  ff25 ; 
+ 22a   :  ff25 ; 
+ 22b   :  ff25 ; 
+ 22c   :  ff25 ; 
+ 22d   :  ff26 ; 
+ 22e   :  ff26 ; 
+ 22f   :  ff26 ; 
+ 230   :  ff26 ; 
+ 231   :  ff26 ; 
+ 232   :  ff27 ; 
+ 233   :  ff27 ; 
+ 234   :  ff27 ; 
+ 235   :  ff27 ; 
+ 236   :  ff27 ; 
+ 237   :  ff28 ; 
+ 238   :  ff28 ; 
+ 239   :  ff28 ; 
+ 23a   :  ff28 ; 
+ 23b   :  ff29 ; 
+ 23c   :  ff29 ; 
+ 23d   :  ff29 ; 
+ 23e   :  ff29 ; 
+ 23f   :  ff29 ; 
+ 240   :  ff2a ; 
+ 241   :  ff2a ; 
+ 242   :  ff2a ; 
+ 243   :  ff2a ; 
+ 244   :  ff2b ; 
+ 245   :  ff2b ; 
+ 246   :  ff2b ; 
+ 247   :  ff2b ; 
+ 248   :  ff2b ; 
+ 249   :  ff2c ; 
+ 24a   :  ff2c ; 
+ 24b   :  ff2c ; 
+ 24c   :  ff2c ; 
+ 24d   :  ff2d ; 
+ 24e   :  ff2d ; 
+ 24f   :  ff2d ; 
+ 250   :  ff2d ; 
+ 251   :  ff2e ; 
+ 252   :  ff2e ; 
+ 253   :  ff2e ; 
+ 254   :  ff2e ; 
+ 255   :  ff2f ; 
+ 256   :  ff2f ; 
+ 257   :  ff2f ; 
+ 258   :  ff2f ; 
+ 259   :  ff30 ; 
+ 25a   :  ff30 ; 
+ 25b   :  ff30 ; 
+ 25c   :  ff30 ; 
+ 25d   :  ff31 ; 
+ 25e   :  ff31 ; 
+ 25f   :  ff31 ; 
+ 260   :  ff32 ; 
+ 261   :  ff32 ; 
+ 262   :  ff32 ; 
+ 263   :  ff32 ; 
+ 264   :  ff33 ; 
+ 265   :  ff33 ; 
+ 266   :  ff33 ; 
+ 267   :  ff33 ; 
+ 268   :  ff34 ; 
+ 269   :  ff34 ; 
+ 26a   :  ff34 ; 
+ 26b   :  ff35 ; 
+ 26c   :  ff35 ; 
+ 26d   :  ff35 ; 
+ 26e   :  ff35 ; 
+ 26f   :  ff36 ; 
+ 270   :  ff36 ; 
+ 271   :  ff36 ; 
+ 272   :  ff37 ; 
+ 273   :  ff37 ; 
+ 274   :  ff37 ; 
+ 275   :  ff37 ; 
+ 276   :  ff38 ; 
+ 277   :  ff38 ; 
+ 278   :  ff38 ; 
+ 279   :  ff39 ; 
+ 27a   :  ff39 ; 
+ 27b   :  ff39 ; 
+ 27c   :  ff3a ; 
+ 27d   :  ff3a ; 
+ 27e   :  ff3a ; 
+ 27f   :  ff3a ; 
+ 280   :  ff3b ; 
+ 281   :  ff3b ; 
+ 282   :  ff3b ; 
+ 283   :  ff3c ; 
+ 284   :  ff3c ; 
+ 285   :  ff3c ; 
+ 286   :  ff3d ; 
+ 287   :  ff3d ; 
+ 288   :  ff3d ; 
+ 289   :  ff3e ; 
+ 28a   :  ff3e ; 
+ 28b   :  ff3e ; 
+ 28c   :  ff3f ; 
+ 28d   :  ff3f ; 
+ 28e   :  ff3f ; 
+ 28f   :  ff3f ; 
+ 290   :  ff40 ; 
+ 291   :  ff40 ; 
+ 292   :  ff40 ; 
+ 293   :  ff41 ; 
+ 294   :  ff41 ; 
+ 295   :  ff41 ; 
+ 296   :  ff42 ; 
+ 297   :  ff42 ; 
+ 298   :  ff42 ; 
+ 299   :  ff43 ; 
+ 29a   :  ff43 ; 
+ 29b   :  ff43 ; 
+ 29c   :  ff44 ; 
+ 29d   :  ff44 ; 
+ 29e   :  ff44 ; 
+ 29f   :  ff45 ; 
+ 2a0   :  ff45 ; 
+ 2a1   :  ff45 ; 
+ 2a2   :  ff46 ; 
+ 2a3   :  ff46 ; 
+ 2a4   :  ff46 ; 
+ 2a5   :  ff47 ; 
+ 2a6   :  ff47 ; 
+ 2a7   :  ff47 ; 
+ 2a8   :  ff48 ; 
+ 2a9   :  ff48 ; 
+ 2aa   :  ff48 ; 
+ 2ab   :  ff49 ; 
+ 2ac   :  ff49 ; 
+ 2ad   :  ff4a ; 
+ 2ae   :  ff4a ; 
+ 2af   :  ff4a ; 
+ 2b0   :  ff4b ; 
+ 2b1   :  ff4b ; 
+ 2b2   :  ff4b ; 
+ 2b3   :  ff4c ; 
+ 2b4   :  ff4c ; 
+ 2b5   :  ff4c ; 
+ 2b6   :  ff4d ; 
+ 2b7   :  ff4d ; 
+ 2b8   :  ff4d ; 
+ 2b9   :  ff4e ; 
+ 2ba   :  ff4e ; 
+ 2bb   :  ff4f ; 
+ 2bc   :  ff4f ; 
+ 2bd   :  ff4f ; 
+ 2be   :  ff50 ; 
+ 2bf   :  ff50 ; 
+ 2c0   :  ff50 ; 
+ 2c1   :  ff51 ; 
+ 2c2   :  ff51 ; 
+ 2c3   :  ff51 ; 
+ 2c4   :  ff52 ; 
+ 2c5   :  ff52 ; 
+ 2c6   :  ff53 ; 
+ 2c7   :  ff53 ; 
+ 2c8   :  ff53 ; 
+ 2c9   :  ff54 ; 
+ 2ca   :  ff54 ; 
+ 2cb   :  ff54 ; 
+ 2cc   :  ff55 ; 
+ 2cd   :  ff55 ; 
+ 2ce   :  ff55 ; 
+ 2cf   :  ff56 ; 
+ 2d0   :  ff56 ; 
+ 2d1   :  ff57 ; 
+ 2d2   :  ff57 ; 
+ 2d3   :  ff57 ; 
+ 2d4   :  ff58 ; 
+ 2d5   :  ff58 ; 
+ 2d6   :  ff58 ; 
+ 2d7   :  ff59 ; 
+ 2d8   :  ff59 ; 
+ 2d9   :  ff5a ; 
+ 2da   :  ff5a ; 
+ 2db   :  ff5a ; 
+ 2dc   :  ff5b ; 
+ 2dd   :  ff5b ; 
+ 2de   :  ff5c ; 
+ 2df   :  ff5c ; 
+ 2e0   :  ff5c ; 
+ 2e1   :  ff5d ; 
+ 2e2   :  ff5d ; 
+ 2e3   :  ff5d ; 
+ 2e4   :  ff5e ; 
+ 2e5   :  ff5e ; 
+ 2e6   :  ff5f ; 
+ 2e7   :  ff5f ; 
+ 2e8   :  ff5f ; 
+ 2e9   :  ff60 ; 
+ 2ea   :  ff60 ; 
+ 2eb   :  ff61 ; 
+ 2ec   :  ff61 ; 
+ 2ed   :  ff61 ; 
+ 2ee   :  ff62 ; 
+ 2ef   :  ff62 ; 
+ 2f0   :  ff63 ; 
+ 2f1   :  ff63 ; 
+ 2f2   :  ff63 ; 
+ 2f3   :  ff64 ; 
+ 2f4   :  ff64 ; 
+ 2f5   :  ff64 ; 
+ 2f6   :  ff65 ; 
+ 2f7   :  ff65 ; 
+ 2f8   :  ff66 ; 
+ 2f9   :  ff66 ; 
+ 2fa   :  ff66 ; 
+ 2fb   :  ff67 ; 
+ 2fc   :  ff67 ; 
+ 2fd   :  ff68 ; 
+ 2fe   :  ff68 ; 
+ 2ff   :  ff68 ; 
+ 300   :  ff69 ; 
+ 301   :  ff69 ; 
+ 302   :  ff6a ; 
+ 303   :  ff6a ; 
+ 304   :  ff6a ; 
+ 305   :  ff6b ; 
+ 306   :  ff6b ; 
+ 307   :  ff6c ; 
+ 308   :  ff6c ; 
+ 309   :  ff6c ; 
+ 30a   :  ff6d ; 
+ 30b   :  ff6d ; 
+ 30c   :  ff6e ; 
+ 30d   :  ff6e ; 
+ 30e   :  ff6e ; 
+ 30f   :  ff6f ; 
+ 310   :  ff6f ; 
+ 311   :  ff70 ; 
+ 312   :  ff70 ; 
+ 313   :  ff71 ; 
+ 314   :  ff71 ; 
+ 315   :  ff71 ; 
+ 316   :  ff72 ; 
+ 317   :  ff72 ; 
+ 318   :  ff73 ; 
+ 319   :  ff73 ; 
+ 31a   :  ff73 ; 
+ 31b   :  ff74 ; 
+ 31c   :  ff74 ; 
+ 31d   :  ff75 ; 
+ 31e   :  ff75 ; 
+ 31f   :  ff75 ; 
+ 320   :  ff76 ; 
+ 321   :  ff76 ; 
+ 322   :  ff77 ; 
+ 323   :  ff77 ; 
+ 324   :  ff77 ; 
+ 325   :  ff78 ; 
+ 326   :  ff78 ; 
+ 327   :  ff79 ; 
+ 328   :  ff79 ; 
+ 329   :  ff79 ; 
+ 32a   :  ff7a ; 
+ 32b   :  ff7a ; 
+ 32c   :  ff7b ; 
+ 32d   :  ff7b ; 
+ 32e   :  ff7c ; 
+ 32f   :  ff7c ; 
+ 330   :  ff7c ; 
+ 331   :  ff7d ; 
+ 332   :  ff7d ; 
+ 333   :  ff7e ; 
+ 334   :  ff7e ; 
+ 335   :  ff7e ; 
+ 336   :  ff7f ; 
+ 337   :  ff7f ; 
+ 338   :  ff80 ; 
+ 339   :  ff80 ; 
+ 33a   :  ff81 ; 
+ 33b   :  ff81 ; 
+ 33c   :  ff81 ; 
+ 33d   :  ff82 ; 
+ 33e   :  ff82 ; 
+ 33f   :  ff83 ; 
+ 340   :  ff83 ; 
+ 341   :  ff83 ; 
+ 342   :  ff84 ; 
+ 343   :  ff84 ; 
+ 344   :  ff85 ; 
+ 345   :  ff85 ; 
+ 346   :  ff85 ; 
+ 347   :  ff86 ; 
+ 348   :  ff86 ; 
+ 349   :  ff87 ; 
+ 34a   :  ff87 ; 
+ 34b   :  ff88 ; 
+ 34c   :  ff88 ; 
+ 34d   :  ff88 ; 
+ 34e   :  ff89 ; 
+ 34f   :  ff89 ; 
+ 350   :  ff8a ; 
+ 351   :  ff8a ; 
+ 352   :  ff8a ; 
+ 353   :  ff8b ; 
+ 354   :  ff8b ; 
+ 355   :  ff8c ; 
+ 356   :  ff8c ; 
+ 357   :  ff8d ; 
+ 358   :  ff8d ; 
+ 359   :  ff8d ; 
+ 35a   :  ff8e ; 
+ 35b   :  ff8e ; 
+ 35c   :  ff8f ; 
+ 35d   :  ff8f ; 
+ 35e   :  ff8f ; 
+ 35f   :  ff90 ; 
+ 360   :  ff90 ; 
+ 361   :  ff91 ; 
+ 362   :  ff91 ; 
+ 363   :  ff91 ; 
+ 364   :  ff92 ; 
+ 365   :  ff92 ; 
+ 366   :  ff93 ; 
+ 367   :  ff93 ; 
+ 368   :  ff94 ; 
+ 369   :  ff94 ; 
+ 36a   :  ff94 ; 
+ 36b   :  ff95 ; 
+ 36c   :  ff95 ; 
+ 36d   :  ff96 ; 
+ 36e   :  ff96 ; 
+ 36f   :  ff96 ; 
+ 370   :  ff97 ; 
+ 371   :  ff97 ; 
+ 372   :  ff98 ; 
+ 373   :  ff98 ; 
+ 374   :  ff99 ; 
+ 375   :  ff99 ; 
+ 376   :  ff99 ; 
+ 377   :  ff9a ; 
+ 378   :  ff9a ; 
+ 379   :  ff9b ; 
+ 37a   :  ff9b ; 
+ 37b   :  ff9b ; 
+ 37c   :  ff9c ; 
+ 37d   :  ff9c ; 
+ 37e   :  ff9d ; 
+ 37f   :  ff9d ; 
+ 380   :  ff9d ; 
+ 381   :  ff9e ; 
+ 382   :  ff9e ; 
+ 383   :  ff9f ; 
+ 384   :  ff9f ; 
+ 385   :  ffa0 ; 
+ 386   :  ffa0 ; 
+ 387   :  ffa0 ; 
+ 388   :  ffa1 ; 
+ 389   :  ffa1 ; 
+ 38a   :  ffa2 ; 
+ 38b   :  ffa2 ; 
+ 38c   :  ffa2 ; 
+ 38d   :  ffa3 ; 
+ 38e   :  ffa3 ; 
+ 38f   :  ffa4 ; 
+ 390   :  ffa4 ; 
+ 391   :  ffa4 ; 
+ 392   :  ffa5 ; 
+ 393   :  ffa5 ; 
+ 394   :  ffa6 ; 
+ 395   :  ffa6 ; 
+ 396   :  ffa6 ; 
+ 397   :  ffa7 ; 
+ 398   :  ffa7 ; 
+ 399   :  ffa8 ; 
+ 39a   :  ffa8 ; 
+ 39b   :  ffa8 ; 
+ 39c   :  ffa9 ; 
+ 39d   :  ffa9 ; 
+ 39e   :  ffaa ; 
+ 39f   :  ffaa ; 
+ 3a0   :  ffaa ; 
+ 3a1   :  ffab ; 
+ 3a2   :  ffab ; 
+ 3a3   :  ffac ; 
+ 3a4   :  ffac ; 
+ 3a5   :  ffad ; 
+ 3a6   :  ffad ; 
+ 3a7   :  ffad ; 
+ 3a8   :  ffae ; 
+ 3a9   :  ffae ; 
+ 3aa   :  ffaf ; 
+ 3ab   :  ffaf ; 
+ 3ac   :  ffaf ; 
+ 3ad   :  ffb0 ; 
+ 3ae   :  ffb0 ; 
+ 3af   :  ffb1 ; 
+ 3b0   :  ffb1 ; 
+ 3b1   :  ffb1 ; 
+ 3b2   :  ffb2 ; 
+ 3b3   :  ffb2 ; 
+ 3b4   :  ffb2 ; 
+ 3b5   :  ffb3 ; 
+ 3b6   :  ffb3 ; 
+ 3b7   :  ffb4 ; 
+ 3b8   :  ffb4 ; 
+ 3b9   :  ffb4 ; 
+ 3ba   :  ffb5 ; 
+ 3bb   :  ffb5 ; 
+ 3bc   :  ffb6 ; 
+ 3bd   :  ffb6 ; 
+ 3be   :  ffb6 ; 
+ 3bf   :  ffb7 ; 
+ 3c0   :  ffb7 ; 
+ 3c1   :  ffb8 ; 
+ 3c2   :  ffb8 ; 
+ 3c3   :  ffb8 ; 
+ 3c4   :  ffb9 ; 
+ 3c5   :  ffb9 ; 
+ 3c6   :  ffba ; 
+ 3c7   :  ffba ; 
+ 3c8   :  ffba ; 
+ 3c9   :  ffbb ; 
+ 3ca   :  ffbb ; 
+ 3cb   :  ffbc ; 
+ 3cc   :  ffbc ; 
+ 3cd   :  ffbc ; 
+ 3ce   :  ffbd ; 
+ 3cf   :  ffbd ; 
+ 3d0   :  ffbd ; 
+ 3d1   :  ffbe ; 
+ 3d2   :  ffbe ; 
+ 3d3   :  ffbf ; 
+ 3d4   :  ffbf ; 
+ 3d5   :  ffbf ; 
+ 3d6   :  ffc0 ; 
+ 3d7   :  ffc0 ; 
+ 3d8   :  ffc1 ; 
+ 3d9   :  ffc1 ; 
+ 3da   :  ffc1 ; 
+ 3db   :  ffc2 ; 
+ 3dc   :  ffc2 ; 
+ 3dd   :  ffc2 ; 
+ 3de   :  ffc3 ; 
+ 3df   :  ffc3 ; 
+ 3e0   :  ffc4 ; 
+ 3e1   :  ffc4 ; 
+ 3e2   :  ffc4 ; 
+ 3e3   :  ffc5 ; 
+ 3e4   :  ffc5 ; 
+ 3e5   :  ffc5 ; 
+ 3e6   :  ffc6 ; 
+ 3e7   :  ffc6 ; 
+ 3e8   :  ffc7 ; 
+ 3e9   :  ffc7 ; 
+ 3ea   :  ffc7 ; 
+ 3eb   :  ffc8 ; 
+ 3ec   :  ffc8 ; 
+ 3ed   :  ffc8 ; 
+ 3ee   :  ffc9 ; 
+ 3ef   :  ffc9 ; 
+ 3f0   :  ffca ; 
+ 3f1   :  ffca ; 
+ 3f2   :  ffca ; 
+ 3f3   :  ffcb ; 
+ 3f4   :  ffcb ; 
+ 3f5   :  ffcb ; 
+ 3f6   :  ffcc ; 
+ 3f7   :  ffcc ; 
+ 3f8   :  ffcc ; 
+ 3f9   :  ffcd ; 
+ 3fa   :  ffcd ; 
+ 3fb   :  ffce ; 
+ 3fc   :  ffce ; 
+ 3fd   :  ffce ; 
+ 3fe   :  ffcf ; 
+ 3ff   :  ffcf ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_3.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_3.mif
new file mode 100644
index 0000000000000000000000000000000000000000..ea6f0d96792e99c38132050ba0bf4ef49461d8cd
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_3.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  30 ; 
+ 1   :  32 ; 
+ 2   :  35 ; 
+ 3   :  38 ; 
+ 4   :  3a ; 
+ 5   :  3d ; 
+ 6   :  40 ; 
+ 7   :  42 ; 
+ 8   :  45 ; 
+ 9   :  48 ; 
+ a   :  4a ; 
+ b   :  4d ; 
+ c   :  50 ; 
+ d   :  52 ; 
+ e   :  55 ; 
+ f   :  58 ; 
+ 10   :  5a ; 
+ 11   :  5d ; 
+ 12   :  60 ; 
+ 13   :  62 ; 
+ 14   :  65 ; 
+ 15   :  67 ; 
+ 16   :  6a ; 
+ 17   :  6d ; 
+ 18   :  6f ; 
+ 19   :  72 ; 
+ 1a   :  74 ; 
+ 1b   :  77 ; 
+ 1c   :  79 ; 
+ 1d   :  7c ; 
+ 1e   :  7f ; 
+ 1f   :  81 ; 
+ 20   :  84 ; 
+ 21   :  86 ; 
+ 22   :  89 ; 
+ 23   :  8b ; 
+ 24   :  8e ; 
+ 25   :  90 ; 
+ 26   :  93 ; 
+ 27   :  95 ; 
+ 28   :  98 ; 
+ 29   :  9a ; 
+ 2a   :  9d ; 
+ 2b   :  9f ; 
+ 2c   :  a2 ; 
+ 2d   :  a4 ; 
+ 2e   :  a7 ; 
+ 2f   :  a9 ; 
+ 30   :  ac ; 
+ 31   :  ae ; 
+ 32   :  b0 ; 
+ 33   :  b3 ; 
+ 34   :  b5 ; 
+ 35   :  b8 ; 
+ 36   :  ba ; 
+ 37   :  bd ; 
+ 38   :  bf ; 
+ 39   :  c1 ; 
+ 3a   :  c4 ; 
+ 3b   :  c6 ; 
+ 3c   :  c9 ; 
+ 3d   :  cb ; 
+ 3e   :  cd ; 
+ 3f   :  d0 ; 
+ 40   :  d2 ; 
+ 41   :  d4 ; 
+ 42   :  d7 ; 
+ 43   :  d9 ; 
+ 44   :  db ; 
+ 45   :  de ; 
+ 46   :  e0 ; 
+ 47   :  e2 ; 
+ 48   :  e5 ; 
+ 49   :  e7 ; 
+ 4a   :  e9 ; 
+ 4b   :  ec ; 
+ 4c   :  ee ; 
+ 4d   :  f0 ; 
+ 4e   :  f3 ; 
+ 4f   :  f5 ; 
+ 50   :  f7 ; 
+ 51   :  f9 ; 
+ 52   :  fc ; 
+ 53   :  fe ; 
+ 54   :  100 ; 
+ 55   :  102 ; 
+ 56   :  105 ; 
+ 57   :  107 ; 
+ 58   :  109 ; 
+ 59   :  10b ; 
+ 5a   :  10e ; 
+ 5b   :  110 ; 
+ 5c   :  112 ; 
+ 5d   :  114 ; 
+ 5e   :  116 ; 
+ 5f   :  118 ; 
+ 60   :  11b ; 
+ 61   :  11d ; 
+ 62   :  11f ; 
+ 63   :  121 ; 
+ 64   :  123 ; 
+ 65   :  125 ; 
+ 66   :  128 ; 
+ 67   :  12a ; 
+ 68   :  12c ; 
+ 69   :  12e ; 
+ 6a   :  130 ; 
+ 6b   :  132 ; 
+ 6c   :  134 ; 
+ 6d   :  136 ; 
+ 6e   :  138 ; 
+ 6f   :  13a ; 
+ 70   :  13d ; 
+ 71   :  13f ; 
+ 72   :  141 ; 
+ 73   :  143 ; 
+ 74   :  145 ; 
+ 75   :  147 ; 
+ 76   :  149 ; 
+ 77   :  14b ; 
+ 78   :  14d ; 
+ 79   :  14f ; 
+ 7a   :  151 ; 
+ 7b   :  153 ; 
+ 7c   :  155 ; 
+ 7d   :  157 ; 
+ 7e   :  159 ; 
+ 7f   :  15b ; 
+ 80   :  15d ; 
+ 81   :  15f ; 
+ 82   :  161 ; 
+ 83   :  163 ; 
+ 84   :  165 ; 
+ 85   :  167 ; 
+ 86   :  168 ; 
+ 87   :  16a ; 
+ 88   :  16c ; 
+ 89   :  16e ; 
+ 8a   :  170 ; 
+ 8b   :  172 ; 
+ 8c   :  174 ; 
+ 8d   :  176 ; 
+ 8e   :  178 ; 
+ 8f   :  17a ; 
+ 90   :  17b ; 
+ 91   :  17d ; 
+ 92   :  17f ; 
+ 93   :  181 ; 
+ 94   :  183 ; 
+ 95   :  185 ; 
+ 96   :  186 ; 
+ 97   :  188 ; 
+ 98   :  18a ; 
+ 99   :  18c ; 
+ 9a   :  18e ; 
+ 9b   :  18f ; 
+ 9c   :  191 ; 
+ 9d   :  193 ; 
+ 9e   :  195 ; 
+ 9f   :  197 ; 
+ a0   :  198 ; 
+ a1   :  19a ; 
+ a2   :  19c ; 
+ a3   :  19e ; 
+ a4   :  19f ; 
+ a5   :  1a1 ; 
+ a6   :  1a3 ; 
+ a7   :  1a4 ; 
+ a8   :  1a6 ; 
+ a9   :  1a8 ; 
+ aa   :  1a9 ; 
+ ab   :  1ab ; 
+ ac   :  1ad ; 
+ ad   :  1ae ; 
+ ae   :  1b0 ; 
+ af   :  1b2 ; 
+ b0   :  1b3 ; 
+ b1   :  1b5 ; 
+ b2   :  1b7 ; 
+ b3   :  1b8 ; 
+ b4   :  1ba ; 
+ b5   :  1bc ; 
+ b6   :  1bd ; 
+ b7   :  1bf ; 
+ b8   :  1c0 ; 
+ b9   :  1c2 ; 
+ ba   :  1c3 ; 
+ bb   :  1c5 ; 
+ bc   :  1c7 ; 
+ bd   :  1c8 ; 
+ be   :  1ca ; 
+ bf   :  1cb ; 
+ c0   :  1cd ; 
+ c1   :  1ce ; 
+ c2   :  1d0 ; 
+ c3   :  1d1 ; 
+ c4   :  1d3 ; 
+ c5   :  1d4 ; 
+ c6   :  1d6 ; 
+ c7   :  1d7 ; 
+ c8   :  1d9 ; 
+ c9   :  1da ; 
+ ca   :  1dc ; 
+ cb   :  1dd ; 
+ cc   :  1df ; 
+ cd   :  1e0 ; 
+ ce   :  1e2 ; 
+ cf   :  1e3 ; 
+ d0   :  1e4 ; 
+ d1   :  1e6 ; 
+ d2   :  1e7 ; 
+ d3   :  1e9 ; 
+ d4   :  1ea ; 
+ d5   :  1eb ; 
+ d6   :  1ed ; 
+ d7   :  1ee ; 
+ d8   :  1f0 ; 
+ d9   :  1f1 ; 
+ da   :  1f2 ; 
+ db   :  1f4 ; 
+ dc   :  1f5 ; 
+ dd   :  1f6 ; 
+ de   :  1f8 ; 
+ df   :  1f9 ; 
+ e0   :  1fa ; 
+ e1   :  1fb ; 
+ e2   :  1fd ; 
+ e3   :  1fe ; 
+ e4   :  1ff ; 
+ e5   :  201 ; 
+ e6   :  202 ; 
+ e7   :  203 ; 
+ e8   :  204 ; 
+ e9   :  206 ; 
+ ea   :  207 ; 
+ eb   :  208 ; 
+ ec   :  209 ; 
+ ed   :  20b ; 
+ ee   :  20c ; 
+ ef   :  20d ; 
+ f0   :  20e ; 
+ f1   :  20f ; 
+ f2   :  211 ; 
+ f3   :  212 ; 
+ f4   :  213 ; 
+ f5   :  214 ; 
+ f6   :  215 ; 
+ f7   :  216 ; 
+ f8   :  217 ; 
+ f9   :  219 ; 
+ fa   :  21a ; 
+ fb   :  21b ; 
+ fc   :  21c ; 
+ fd   :  21d ; 
+ fe   :  21e ; 
+ ff   :  21f ; 
+ 100   :  220 ; 
+ 101   :  221 ; 
+ 102   :  222 ; 
+ 103   :  224 ; 
+ 104   :  225 ; 
+ 105   :  226 ; 
+ 106   :  227 ; 
+ 107   :  228 ; 
+ 108   :  229 ; 
+ 109   :  22a ; 
+ 10a   :  22b ; 
+ 10b   :  22c ; 
+ 10c   :  22d ; 
+ 10d   :  22e ; 
+ 10e   :  22f ; 
+ 10f   :  230 ; 
+ 110   :  231 ; 
+ 111   :  232 ; 
+ 112   :  233 ; 
+ 113   :  234 ; 
+ 114   :  235 ; 
+ 115   :  235 ; 
+ 116   :  236 ; 
+ 117   :  237 ; 
+ 118   :  238 ; 
+ 119   :  239 ; 
+ 11a   :  23a ; 
+ 11b   :  23b ; 
+ 11c   :  23c ; 
+ 11d   :  23d ; 
+ 11e   :  23e ; 
+ 11f   :  23e ; 
+ 120   :  23f ; 
+ 121   :  240 ; 
+ 122   :  241 ; 
+ 123   :  242 ; 
+ 124   :  243 ; 
+ 125   :  243 ; 
+ 126   :  244 ; 
+ 127   :  245 ; 
+ 128   :  246 ; 
+ 129   :  247 ; 
+ 12a   :  247 ; 
+ 12b   :  248 ; 
+ 12c   :  249 ; 
+ 12d   :  24a ; 
+ 12e   :  24b ; 
+ 12f   :  24b ; 
+ 130   :  24c ; 
+ 131   :  24d ; 
+ 132   :  24e ; 
+ 133   :  24e ; 
+ 134   :  24f ; 
+ 135   :  250 ; 
+ 136   :  250 ; 
+ 137   :  251 ; 
+ 138   :  252 ; 
+ 139   :  252 ; 
+ 13a   :  253 ; 
+ 13b   :  254 ; 
+ 13c   :  254 ; 
+ 13d   :  255 ; 
+ 13e   :  256 ; 
+ 13f   :  256 ; 
+ 140   :  257 ; 
+ 141   :  258 ; 
+ 142   :  258 ; 
+ 143   :  259 ; 
+ 144   :  25a ; 
+ 145   :  25a ; 
+ 146   :  25b ; 
+ 147   :  25b ; 
+ 148   :  25c ; 
+ 149   :  25c ; 
+ 14a   :  25d ; 
+ 14b   :  25e ; 
+ 14c   :  25e ; 
+ 14d   :  25f ; 
+ 14e   :  25f ; 
+ 14f   :  260 ; 
+ 150   :  260 ; 
+ 151   :  261 ; 
+ 152   :  261 ; 
+ 153   :  262 ; 
+ 154   :  262 ; 
+ 155   :  263 ; 
+ 156   :  263 ; 
+ 157   :  264 ; 
+ 158   :  264 ; 
+ 159   :  265 ; 
+ 15a   :  265 ; 
+ 15b   :  266 ; 
+ 15c   :  266 ; 
+ 15d   :  267 ; 
+ 15e   :  267 ; 
+ 15f   :  267 ; 
+ 160   :  268 ; 
+ 161   :  268 ; 
+ 162   :  269 ; 
+ 163   :  269 ; 
+ 164   :  269 ; 
+ 165   :  26a ; 
+ 166   :  26a ; 
+ 167   :  26b ; 
+ 168   :  26b ; 
+ 169   :  26b ; 
+ 16a   :  26c ; 
+ 16b   :  26c ; 
+ 16c   :  26c ; 
+ 16d   :  26d ; 
+ 16e   :  26d ; 
+ 16f   :  26d ; 
+ 170   :  26e ; 
+ 171   :  26e ; 
+ 172   :  26e ; 
+ 173   :  26e ; 
+ 174   :  26f ; 
+ 175   :  26f ; 
+ 176   :  26f ; 
+ 177   :  270 ; 
+ 178   :  270 ; 
+ 179   :  270 ; 
+ 17a   :  270 ; 
+ 17b   :  271 ; 
+ 17c   :  271 ; 
+ 17d   :  271 ; 
+ 17e   :  271 ; 
+ 17f   :  272 ; 
+ 180   :  272 ; 
+ 181   :  272 ; 
+ 182   :  272 ; 
+ 183   :  272 ; 
+ 184   :  273 ; 
+ 185   :  273 ; 
+ 186   :  273 ; 
+ 187   :  273 ; 
+ 188   :  273 ; 
+ 189   :  273 ; 
+ 18a   :  273 ; 
+ 18b   :  274 ; 
+ 18c   :  274 ; 
+ 18d   :  274 ; 
+ 18e   :  274 ; 
+ 18f   :  274 ; 
+ 190   :  274 ; 
+ 191   :  274 ; 
+ 192   :  274 ; 
+ 193   :  275 ; 
+ 194   :  275 ; 
+ 195   :  275 ; 
+ 196   :  275 ; 
+ 197   :  275 ; 
+ 198   :  275 ; 
+ 199   :  275 ; 
+ 19a   :  275 ; 
+ 19b   :  275 ; 
+ 19c   :  275 ; 
+ 19d   :  275 ; 
+ 19e   :  275 ; 
+ 19f   :  275 ; 
+ 1a0   :  275 ; 
+ 1a1   :  275 ; 
+ 1a2   :  275 ; 
+ 1a3   :  275 ; 
+ 1a4   :  275 ; 
+ 1a5   :  275 ; 
+ 1a6   :  275 ; 
+ 1a7   :  275 ; 
+ 1a8   :  275 ; 
+ 1a9   :  275 ; 
+ 1aa   :  275 ; 
+ 1ab   :  275 ; 
+ 1ac   :  275 ; 
+ 1ad   :  275 ; 
+ 1ae   :  275 ; 
+ 1af   :  275 ; 
+ 1b0   :  274 ; 
+ 1b1   :  274 ; 
+ 1b2   :  274 ; 
+ 1b3   :  274 ; 
+ 1b4   :  274 ; 
+ 1b5   :  274 ; 
+ 1b6   :  274 ; 
+ 1b7   :  274 ; 
+ 1b8   :  274 ; 
+ 1b9   :  273 ; 
+ 1ba   :  273 ; 
+ 1bb   :  273 ; 
+ 1bc   :  273 ; 
+ 1bd   :  273 ; 
+ 1be   :  273 ; 
+ 1bf   :  272 ; 
+ 1c0   :  272 ; 
+ 1c1   :  272 ; 
+ 1c2   :  272 ; 
+ 1c3   :  272 ; 
+ 1c4   :  271 ; 
+ 1c5   :  271 ; 
+ 1c6   :  271 ; 
+ 1c7   :  271 ; 
+ 1c8   :  271 ; 
+ 1c9   :  270 ; 
+ 1ca   :  270 ; 
+ 1cb   :  270 ; 
+ 1cc   :  270 ; 
+ 1cd   :  26f ; 
+ 1ce   :  26f ; 
+ 1cf   :  26f ; 
+ 1d0   :  26f ; 
+ 1d1   :  26e ; 
+ 1d2   :  26e ; 
+ 1d3   :  26e ; 
+ 1d4   :  26d ; 
+ 1d5   :  26d ; 
+ 1d6   :  26d ; 
+ 1d7   :  26d ; 
+ 1d8   :  26c ; 
+ 1d9   :  26c ; 
+ 1da   :  26c ; 
+ 1db   :  26b ; 
+ 1dc   :  26b ; 
+ 1dd   :  26b ; 
+ 1de   :  26a ; 
+ 1df   :  26a ; 
+ 1e0   :  26a ; 
+ 1e1   :  269 ; 
+ 1e2   :  269 ; 
+ 1e3   :  268 ; 
+ 1e4   :  268 ; 
+ 1e5   :  268 ; 
+ 1e6   :  267 ; 
+ 1e7   :  267 ; 
+ 1e8   :  266 ; 
+ 1e9   :  266 ; 
+ 1ea   :  266 ; 
+ 1eb   :  265 ; 
+ 1ec   :  265 ; 
+ 1ed   :  264 ; 
+ 1ee   :  264 ; 
+ 1ef   :  264 ; 
+ 1f0   :  263 ; 
+ 1f1   :  263 ; 
+ 1f2   :  262 ; 
+ 1f3   :  262 ; 
+ 1f4   :  261 ; 
+ 1f5   :  261 ; 
+ 1f6   :  260 ; 
+ 1f7   :  260 ; 
+ 1f8   :  25f ; 
+ 1f9   :  25f ; 
+ 1fa   :  25e ; 
+ 1fb   :  25e ; 
+ 1fc   :  25d ; 
+ 1fd   :  25d ; 
+ 1fe   :  25c ; 
+ 1ff   :  25c ; 
+ 200   :  25b ; 
+ 201   :  25b ; 
+ 202   :  25a ; 
+ 203   :  25a ; 
+ 204   :  259 ; 
+ 205   :  259 ; 
+ 206   :  258 ; 
+ 207   :  258 ; 
+ 208   :  257 ; 
+ 209   :  256 ; 
+ 20a   :  256 ; 
+ 20b   :  255 ; 
+ 20c   :  255 ; 
+ 20d   :  254 ; 
+ 20e   :  254 ; 
+ 20f   :  253 ; 
+ 210   :  252 ; 
+ 211   :  252 ; 
+ 212   :  251 ; 
+ 213   :  250 ; 
+ 214   :  250 ; 
+ 215   :  24f ; 
+ 216   :  24f ; 
+ 217   :  24e ; 
+ 218   :  24d ; 
+ 219   :  24d ; 
+ 21a   :  24c ; 
+ 21b   :  24b ; 
+ 21c   :  24b ; 
+ 21d   :  24a ; 
+ 21e   :  249 ; 
+ 21f   :  249 ; 
+ 220   :  248 ; 
+ 221   :  247 ; 
+ 222   :  247 ; 
+ 223   :  246 ; 
+ 224   :  245 ; 
+ 225   :  245 ; 
+ 226   :  244 ; 
+ 227   :  243 ; 
+ 228   :  243 ; 
+ 229   :  242 ; 
+ 22a   :  241 ; 
+ 22b   :  240 ; 
+ 22c   :  240 ; 
+ 22d   :  23f ; 
+ 22e   :  23e ; 
+ 22f   :  23e ; 
+ 230   :  23d ; 
+ 231   :  23c ; 
+ 232   :  23b ; 
+ 233   :  23b ; 
+ 234   :  23a ; 
+ 235   :  239 ; 
+ 236   :  238 ; 
+ 237   :  237 ; 
+ 238   :  237 ; 
+ 239   :  236 ; 
+ 23a   :  235 ; 
+ 23b   :  234 ; 
+ 23c   :  234 ; 
+ 23d   :  233 ; 
+ 23e   :  232 ; 
+ 23f   :  231 ; 
+ 240   :  230 ; 
+ 241   :  230 ; 
+ 242   :  22f ; 
+ 243   :  22e ; 
+ 244   :  22d ; 
+ 245   :  22c ; 
+ 246   :  22b ; 
+ 247   :  22b ; 
+ 248   :  22a ; 
+ 249   :  229 ; 
+ 24a   :  228 ; 
+ 24b   :  227 ; 
+ 24c   :  226 ; 
+ 24d   :  225 ; 
+ 24e   :  225 ; 
+ 24f   :  224 ; 
+ 250   :  223 ; 
+ 251   :  222 ; 
+ 252   :  221 ; 
+ 253   :  220 ; 
+ 254   :  21f ; 
+ 255   :  21e ; 
+ 256   :  21e ; 
+ 257   :  21d ; 
+ 258   :  21c ; 
+ 259   :  21b ; 
+ 25a   :  21a ; 
+ 25b   :  219 ; 
+ 25c   :  218 ; 
+ 25d   :  217 ; 
+ 25e   :  216 ; 
+ 25f   :  215 ; 
+ 260   :  214 ; 
+ 261   :  214 ; 
+ 262   :  213 ; 
+ 263   :  212 ; 
+ 264   :  211 ; 
+ 265   :  210 ; 
+ 266   :  20f ; 
+ 267   :  20e ; 
+ 268   :  20d ; 
+ 269   :  20c ; 
+ 26a   :  20b ; 
+ 26b   :  20a ; 
+ 26c   :  209 ; 
+ 26d   :  208 ; 
+ 26e   :  207 ; 
+ 26f   :  206 ; 
+ 270   :  205 ; 
+ 271   :  204 ; 
+ 272   :  203 ; 
+ 273   :  202 ; 
+ 274   :  201 ; 
+ 275   :  200 ; 
+ 276   :  1ff ; 
+ 277   :  1fe ; 
+ 278   :  1fd ; 
+ 279   :  1fc ; 
+ 27a   :  1fb ; 
+ 27b   :  1fa ; 
+ 27c   :  1f9 ; 
+ 27d   :  1f8 ; 
+ 27e   :  1f7 ; 
+ 27f   :  1f6 ; 
+ 280   :  1f5 ; 
+ 281   :  1f4 ; 
+ 282   :  1f3 ; 
+ 283   :  1f2 ; 
+ 284   :  1f1 ; 
+ 285   :  1f0 ; 
+ 286   :  1ef ; 
+ 287   :  1ee ; 
+ 288   :  1ed ; 
+ 289   :  1ec ; 
+ 28a   :  1eb ; 
+ 28b   :  1ea ; 
+ 28c   :  1e8 ; 
+ 28d   :  1e7 ; 
+ 28e   :  1e6 ; 
+ 28f   :  1e5 ; 
+ 290   :  1e4 ; 
+ 291   :  1e3 ; 
+ 292   :  1e2 ; 
+ 293   :  1e1 ; 
+ 294   :  1e0 ; 
+ 295   :  1df ; 
+ 296   :  1de ; 
+ 297   :  1dd ; 
+ 298   :  1db ; 
+ 299   :  1da ; 
+ 29a   :  1d9 ; 
+ 29b   :  1d8 ; 
+ 29c   :  1d7 ; 
+ 29d   :  1d6 ; 
+ 29e   :  1d5 ; 
+ 29f   :  1d4 ; 
+ 2a0   :  1d3 ; 
+ 2a1   :  1d1 ; 
+ 2a2   :  1d0 ; 
+ 2a3   :  1cf ; 
+ 2a4   :  1ce ; 
+ 2a5   :  1cd ; 
+ 2a6   :  1cc ; 
+ 2a7   :  1cb ; 
+ 2a8   :  1ca ; 
+ 2a9   :  1c8 ; 
+ 2aa   :  1c7 ; 
+ 2ab   :  1c6 ; 
+ 2ac   :  1c5 ; 
+ 2ad   :  1c4 ; 
+ 2ae   :  1c3 ; 
+ 2af   :  1c2 ; 
+ 2b0   :  1c0 ; 
+ 2b1   :  1bf ; 
+ 2b2   :  1be ; 
+ 2b3   :  1bd ; 
+ 2b4   :  1bc ; 
+ 2b5   :  1bb ; 
+ 2b6   :  1b9 ; 
+ 2b7   :  1b8 ; 
+ 2b8   :  1b7 ; 
+ 2b9   :  1b6 ; 
+ 2ba   :  1b5 ; 
+ 2bb   :  1b4 ; 
+ 2bc   :  1b2 ; 
+ 2bd   :  1b1 ; 
+ 2be   :  1b0 ; 
+ 2bf   :  1af ; 
+ 2c0   :  1ae ; 
+ 2c1   :  1ac ; 
+ 2c2   :  1ab ; 
+ 2c3   :  1aa ; 
+ 2c4   :  1a9 ; 
+ 2c5   :  1a8 ; 
+ 2c6   :  1a6 ; 
+ 2c7   :  1a5 ; 
+ 2c8   :  1a4 ; 
+ 2c9   :  1a3 ; 
+ 2ca   :  1a2 ; 
+ 2cb   :  1a0 ; 
+ 2cc   :  19f ; 
+ 2cd   :  19e ; 
+ 2ce   :  19d ; 
+ 2cf   :  19c ; 
+ 2d0   :  19a ; 
+ 2d1   :  199 ; 
+ 2d2   :  198 ; 
+ 2d3   :  197 ; 
+ 2d4   :  195 ; 
+ 2d5   :  194 ; 
+ 2d6   :  193 ; 
+ 2d7   :  192 ; 
+ 2d8   :  190 ; 
+ 2d9   :  18f ; 
+ 2da   :  18e ; 
+ 2db   :  18d ; 
+ 2dc   :  18c ; 
+ 2dd   :  18a ; 
+ 2de   :  189 ; 
+ 2df   :  188 ; 
+ 2e0   :  187 ; 
+ 2e1   :  185 ; 
+ 2e2   :  184 ; 
+ 2e3   :  183 ; 
+ 2e4   :  182 ; 
+ 2e5   :  180 ; 
+ 2e6   :  17f ; 
+ 2e7   :  17e ; 
+ 2e8   :  17d ; 
+ 2e9   :  17b ; 
+ 2ea   :  17a ; 
+ 2eb   :  179 ; 
+ 2ec   :  178 ; 
+ 2ed   :  176 ; 
+ 2ee   :  175 ; 
+ 2ef   :  174 ; 
+ 2f0   :  172 ; 
+ 2f1   :  171 ; 
+ 2f2   :  170 ; 
+ 2f3   :  16f ; 
+ 2f4   :  16d ; 
+ 2f5   :  16c ; 
+ 2f6   :  16b ; 
+ 2f7   :  16a ; 
+ 2f8   :  168 ; 
+ 2f9   :  167 ; 
+ 2fa   :  166 ; 
+ 2fb   :  164 ; 
+ 2fc   :  163 ; 
+ 2fd   :  162 ; 
+ 2fe   :  161 ; 
+ 2ff   :  15f ; 
+ 300   :  15e ; 
+ 301   :  15d ; 
+ 302   :  15b ; 
+ 303   :  15a ; 
+ 304   :  159 ; 
+ 305   :  158 ; 
+ 306   :  156 ; 
+ 307   :  155 ; 
+ 308   :  154 ; 
+ 309   :  152 ; 
+ 30a   :  151 ; 
+ 30b   :  150 ; 
+ 30c   :  14f ; 
+ 30d   :  14d ; 
+ 30e   :  14c ; 
+ 30f   :  14b ; 
+ 310   :  149 ; 
+ 311   :  148 ; 
+ 312   :  147 ; 
+ 313   :  145 ; 
+ 314   :  144 ; 
+ 315   :  143 ; 
+ 316   :  142 ; 
+ 317   :  140 ; 
+ 318   :  13f ; 
+ 319   :  13e ; 
+ 31a   :  13c ; 
+ 31b   :  13b ; 
+ 31c   :  13a ; 
+ 31d   :  138 ; 
+ 31e   :  137 ; 
+ 31f   :  136 ; 
+ 320   :  134 ; 
+ 321   :  133 ; 
+ 322   :  132 ; 
+ 323   :  131 ; 
+ 324   :  12f ; 
+ 325   :  12e ; 
+ 326   :  12d ; 
+ 327   :  12b ; 
+ 328   :  12a ; 
+ 329   :  129 ; 
+ 32a   :  127 ; 
+ 32b   :  126 ; 
+ 32c   :  125 ; 
+ 32d   :  123 ; 
+ 32e   :  122 ; 
+ 32f   :  121 ; 
+ 330   :  11f ; 
+ 331   :  11e ; 
+ 332   :  11d ; 
+ 333   :  11b ; 
+ 334   :  11a ; 
+ 335   :  119 ; 
+ 336   :  118 ; 
+ 337   :  116 ; 
+ 338   :  115 ; 
+ 339   :  114 ; 
+ 33a   :  112 ; 
+ 33b   :  111 ; 
+ 33c   :  110 ; 
+ 33d   :  10e ; 
+ 33e   :  10d ; 
+ 33f   :  10c ; 
+ 340   :  10a ; 
+ 341   :  109 ; 
+ 342   :  108 ; 
+ 343   :  106 ; 
+ 344   :  105 ; 
+ 345   :  104 ; 
+ 346   :  102 ; 
+ 347   :  101 ; 
+ 348   :  100 ; 
+ 349   :  fe ; 
+ 34a   :  fd ; 
+ 34b   :  fc ; 
+ 34c   :  fa ; 
+ 34d   :  f9 ; 
+ 34e   :  f8 ; 
+ 34f   :  f7 ; 
+ 350   :  f5 ; 
+ 351   :  f4 ; 
+ 352   :  f3 ; 
+ 353   :  f1 ; 
+ 354   :  f0 ; 
+ 355   :  ef ; 
+ 356   :  ed ; 
+ 357   :  ec ; 
+ 358   :  eb ; 
+ 359   :  e9 ; 
+ 35a   :  e8 ; 
+ 35b   :  e7 ; 
+ 35c   :  e5 ; 
+ 35d   :  e4 ; 
+ 35e   :  e3 ; 
+ 35f   :  e1 ; 
+ 360   :  e0 ; 
+ 361   :  df ; 
+ 362   :  dd ; 
+ 363   :  dc ; 
+ 364   :  db ; 
+ 365   :  da ; 
+ 366   :  d8 ; 
+ 367   :  d7 ; 
+ 368   :  d6 ; 
+ 369   :  d4 ; 
+ 36a   :  d3 ; 
+ 36b   :  d2 ; 
+ 36c   :  d0 ; 
+ 36d   :  cf ; 
+ 36e   :  ce ; 
+ 36f   :  cc ; 
+ 370   :  cb ; 
+ 371   :  ca ; 
+ 372   :  c8 ; 
+ 373   :  c7 ; 
+ 374   :  c6 ; 
+ 375   :  c5 ; 
+ 376   :  c3 ; 
+ 377   :  c2 ; 
+ 378   :  c1 ; 
+ 379   :  bf ; 
+ 37a   :  be ; 
+ 37b   :  bd ; 
+ 37c   :  bb ; 
+ 37d   :  ba ; 
+ 37e   :  b9 ; 
+ 37f   :  b8 ; 
+ 380   :  b6 ; 
+ 381   :  b5 ; 
+ 382   :  b4 ; 
+ 383   :  b2 ; 
+ 384   :  b1 ; 
+ 385   :  b0 ; 
+ 386   :  ae ; 
+ 387   :  ad ; 
+ 388   :  ac ; 
+ 389   :  ab ; 
+ 38a   :  a9 ; 
+ 38b   :  a8 ; 
+ 38c   :  a7 ; 
+ 38d   :  a5 ; 
+ 38e   :  a4 ; 
+ 38f   :  a3 ; 
+ 390   :  a2 ; 
+ 391   :  a0 ; 
+ 392   :  9f ; 
+ 393   :  9e ; 
+ 394   :  9c ; 
+ 395   :  9b ; 
+ 396   :  9a ; 
+ 397   :  99 ; 
+ 398   :  97 ; 
+ 399   :  96 ; 
+ 39a   :  95 ; 
+ 39b   :  93 ; 
+ 39c   :  92 ; 
+ 39d   :  91 ; 
+ 39e   :  90 ; 
+ 39f   :  8e ; 
+ 3a0   :  8d ; 
+ 3a1   :  8c ; 
+ 3a2   :  8a ; 
+ 3a3   :  89 ; 
+ 3a4   :  88 ; 
+ 3a5   :  87 ; 
+ 3a6   :  85 ; 
+ 3a7   :  84 ; 
+ 3a8   :  83 ; 
+ 3a9   :  82 ; 
+ 3aa   :  80 ; 
+ 3ab   :  7f ; 
+ 3ac   :  7e ; 
+ 3ad   :  7d ; 
+ 3ae   :  7b ; 
+ 3af   :  7a ; 
+ 3b0   :  79 ; 
+ 3b1   :  78 ; 
+ 3b2   :  76 ; 
+ 3b3   :  75 ; 
+ 3b4   :  74 ; 
+ 3b5   :  73 ; 
+ 3b6   :  71 ; 
+ 3b7   :  70 ; 
+ 3b8   :  6f ; 
+ 3b9   :  6e ; 
+ 3ba   :  6c ; 
+ 3bb   :  6b ; 
+ 3bc   :  6a ; 
+ 3bd   :  69 ; 
+ 3be   :  67 ; 
+ 3bf   :  66 ; 
+ 3c0   :  65 ; 
+ 3c1   :  64 ; 
+ 3c2   :  62 ; 
+ 3c3   :  61 ; 
+ 3c4   :  60 ; 
+ 3c5   :  5f ; 
+ 3c6   :  5e ; 
+ 3c7   :  5c ; 
+ 3c8   :  5b ; 
+ 3c9   :  5a ; 
+ 3ca   :  59 ; 
+ 3cb   :  57 ; 
+ 3cc   :  56 ; 
+ 3cd   :  55 ; 
+ 3ce   :  54 ; 
+ 3cf   :  53 ; 
+ 3d0   :  51 ; 
+ 3d1   :  50 ; 
+ 3d2   :  4f ; 
+ 3d3   :  4e ; 
+ 3d4   :  4d ; 
+ 3d5   :  4b ; 
+ 3d6   :  4a ; 
+ 3d7   :  49 ; 
+ 3d8   :  48 ; 
+ 3d9   :  47 ; 
+ 3da   :  45 ; 
+ 3db   :  44 ; 
+ 3dc   :  43 ; 
+ 3dd   :  42 ; 
+ 3de   :  41 ; 
+ 3df   :  3f ; 
+ 3e0   :  3e ; 
+ 3e1   :  3d ; 
+ 3e2   :  3c ; 
+ 3e3   :  3b ; 
+ 3e4   :  3a ; 
+ 3e5   :  38 ; 
+ 3e6   :  37 ; 
+ 3e7   :  36 ; 
+ 3e8   :  35 ; 
+ 3e9   :  34 ; 
+ 3ea   :  33 ; 
+ 3eb   :  31 ; 
+ 3ec   :  30 ; 
+ 3ed   :  2f ; 
+ 3ee   :  2e ; 
+ 3ef   :  2d ; 
+ 3f0   :  2c ; 
+ 3f1   :  2a ; 
+ 3f2   :  29 ; 
+ 3f3   :  28 ; 
+ 3f4   :  27 ; 
+ 3f5   :  26 ; 
+ 3f6   :  25 ; 
+ 3f7   :  24 ; 
+ 3f8   :  22 ; 
+ 3f9   :  21 ; 
+ 3fa   :  20 ; 
+ 3fb   :  1f ; 
+ 3fc   :  1e ; 
+ 3fd   :  1d ; 
+ 3fe   :  1c ; 
+ 3ff   :  1a ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_4.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_4.mif
new file mode 100644
index 0000000000000000000000000000000000000000..0394c4527e784a41f64dbcba0498852ba80cafb0
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_4.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  ff6e ; 
+ 1   :  ff69 ; 
+ 2   :  ff63 ; 
+ 3   :  ff5d ; 
+ 4   :  ff57 ; 
+ 5   :  ff51 ; 
+ 6   :  ff4c ; 
+ 7   :  ff46 ; 
+ 8   :  ff40 ; 
+ 9   :  ff3b ; 
+ a   :  ff35 ; 
+ b   :  ff2f ; 
+ c   :  ff29 ; 
+ d   :  ff24 ; 
+ e   :  ff1e ; 
+ f   :  ff18 ; 
+ 10   :  ff13 ; 
+ 11   :  ff0d ; 
+ 12   :  ff08 ; 
+ 13   :  ff02 ; 
+ 14   :  fefc ; 
+ 15   :  fef7 ; 
+ 16   :  fef1 ; 
+ 17   :  feec ; 
+ 18   :  fee6 ; 
+ 19   :  fee0 ; 
+ 1a   :  fedb ; 
+ 1b   :  fed5 ; 
+ 1c   :  fed0 ; 
+ 1d   :  feca ; 
+ 1e   :  fec5 ; 
+ 1f   :  febf ; 
+ 20   :  feba ; 
+ 21   :  feb4 ; 
+ 22   :  feaf ; 
+ 23   :  feaa ; 
+ 24   :  fea4 ; 
+ 25   :  fe9f ; 
+ 26   :  fe99 ; 
+ 27   :  fe94 ; 
+ 28   :  fe8f ; 
+ 29   :  fe89 ; 
+ 2a   :  fe84 ; 
+ 2b   :  fe7e ; 
+ 2c   :  fe79 ; 
+ 2d   :  fe74 ; 
+ 2e   :  fe6e ; 
+ 2f   :  fe69 ; 
+ 30   :  fe64 ; 
+ 31   :  fe5f ; 
+ 32   :  fe59 ; 
+ 33   :  fe54 ; 
+ 34   :  fe4f ; 
+ 35   :  fe4a ; 
+ 36   :  fe44 ; 
+ 37   :  fe3f ; 
+ 38   :  fe3a ; 
+ 39   :  fe35 ; 
+ 3a   :  fe30 ; 
+ 3b   :  fe2a ; 
+ 3c   :  fe25 ; 
+ 3d   :  fe20 ; 
+ 3e   :  fe1b ; 
+ 3f   :  fe16 ; 
+ 40   :  fe11 ; 
+ 41   :  fe0c ; 
+ 42   :  fe07 ; 
+ 43   :  fe02 ; 
+ 44   :  fdfd ; 
+ 45   :  fdf8 ; 
+ 46   :  fdf2 ; 
+ 47   :  fded ; 
+ 48   :  fde8 ; 
+ 49   :  fde3 ; 
+ 4a   :  fdde ; 
+ 4b   :  fdda ; 
+ 4c   :  fdd5 ; 
+ 4d   :  fdd0 ; 
+ 4e   :  fdcb ; 
+ 4f   :  fdc6 ; 
+ 50   :  fdc1 ; 
+ 51   :  fdbc ; 
+ 52   :  fdb7 ; 
+ 53   :  fdb2 ; 
+ 54   :  fdad ; 
+ 55   :  fda9 ; 
+ 56   :  fda4 ; 
+ 57   :  fd9f ; 
+ 58   :  fd9a ; 
+ 59   :  fd95 ; 
+ 5a   :  fd91 ; 
+ 5b   :  fd8c ; 
+ 5c   :  fd87 ; 
+ 5d   :  fd82 ; 
+ 5e   :  fd7e ; 
+ 5f   :  fd79 ; 
+ 60   :  fd74 ; 
+ 61   :  fd70 ; 
+ 62   :  fd6b ; 
+ 63   :  fd66 ; 
+ 64   :  fd62 ; 
+ 65   :  fd5d ; 
+ 66   :  fd58 ; 
+ 67   :  fd54 ; 
+ 68   :  fd4f ; 
+ 69   :  fd4b ; 
+ 6a   :  fd46 ; 
+ 6b   :  fd41 ; 
+ 6c   :  fd3d ; 
+ 6d   :  fd38 ; 
+ 6e   :  fd34 ; 
+ 6f   :  fd2f ; 
+ 70   :  fd2b ; 
+ 71   :  fd26 ; 
+ 72   :  fd22 ; 
+ 73   :  fd1e ; 
+ 74   :  fd19 ; 
+ 75   :  fd15 ; 
+ 76   :  fd10 ; 
+ 77   :  fd0c ; 
+ 78   :  fd08 ; 
+ 79   :  fd03 ; 
+ 7a   :  fcff ; 
+ 7b   :  fcfa ; 
+ 7c   :  fcf6 ; 
+ 7d   :  fcf2 ; 
+ 7e   :  fcee ; 
+ 7f   :  fce9 ; 
+ 80   :  fce5 ; 
+ 81   :  fce1 ; 
+ 82   :  fcdd ; 
+ 83   :  fcd8 ; 
+ 84   :  fcd4 ; 
+ 85   :  fcd0 ; 
+ 86   :  fccc ; 
+ 87   :  fcc8 ; 
+ 88   :  fcc3 ; 
+ 89   :  fcbf ; 
+ 8a   :  fcbb ; 
+ 8b   :  fcb7 ; 
+ 8c   :  fcb3 ; 
+ 8d   :  fcaf ; 
+ 8e   :  fcab ; 
+ 8f   :  fca7 ; 
+ 90   :  fca3 ; 
+ 91   :  fc9f ; 
+ 92   :  fc9b ; 
+ 93   :  fc97 ; 
+ 94   :  fc93 ; 
+ 95   :  fc8f ; 
+ 96   :  fc8b ; 
+ 97   :  fc87 ; 
+ 98   :  fc83 ; 
+ 99   :  fc7f ; 
+ 9a   :  fc7b ; 
+ 9b   :  fc77 ; 
+ 9c   :  fc74 ; 
+ 9d   :  fc70 ; 
+ 9e   :  fc6c ; 
+ 9f   :  fc68 ; 
+ a0   :  fc64 ; 
+ a1   :  fc60 ; 
+ a2   :  fc5d ; 
+ a3   :  fc59 ; 
+ a4   :  fc55 ; 
+ a5   :  fc51 ; 
+ a6   :  fc4e ; 
+ a7   :  fc4a ; 
+ a8   :  fc46 ; 
+ a9   :  fc43 ; 
+ aa   :  fc3f ; 
+ ab   :  fc3b ; 
+ ac   :  fc38 ; 
+ ad   :  fc34 ; 
+ ae   :  fc31 ; 
+ af   :  fc2d ; 
+ b0   :  fc29 ; 
+ b1   :  fc26 ; 
+ b2   :  fc22 ; 
+ b3   :  fc1f ; 
+ b4   :  fc1b ; 
+ b5   :  fc18 ; 
+ b6   :  fc14 ; 
+ b7   :  fc11 ; 
+ b8   :  fc0d ; 
+ b9   :  fc0a ; 
+ ba   :  fc07 ; 
+ bb   :  fc03 ; 
+ bc   :  fc00 ; 
+ bd   :  fbfc ; 
+ be   :  fbf9 ; 
+ bf   :  fbf6 ; 
+ c0   :  fbf2 ; 
+ c1   :  fbef ; 
+ c2   :  fbec ; 
+ c3   :  fbe8 ; 
+ c4   :  fbe5 ; 
+ c5   :  fbe2 ; 
+ c6   :  fbdf ; 
+ c7   :  fbdc ; 
+ c8   :  fbd8 ; 
+ c9   :  fbd5 ; 
+ ca   :  fbd2 ; 
+ cb   :  fbcf ; 
+ cc   :  fbcc ; 
+ cd   :  fbc9 ; 
+ ce   :  fbc5 ; 
+ cf   :  fbc2 ; 
+ d0   :  fbbf ; 
+ d1   :  fbbc ; 
+ d2   :  fbb9 ; 
+ d3   :  fbb6 ; 
+ d4   :  fbb3 ; 
+ d5   :  fbb0 ; 
+ d6   :  fbad ; 
+ d7   :  fbaa ; 
+ d8   :  fba7 ; 
+ d9   :  fba4 ; 
+ da   :  fba1 ; 
+ db   :  fb9e ; 
+ dc   :  fb9b ; 
+ dd   :  fb99 ; 
+ de   :  fb96 ; 
+ df   :  fb93 ; 
+ e0   :  fb90 ; 
+ e1   :  fb8d ; 
+ e2   :  fb8a ; 
+ e3   :  fb88 ; 
+ e4   :  fb85 ; 
+ e5   :  fb82 ; 
+ e6   :  fb7f ; 
+ e7   :  fb7d ; 
+ e8   :  fb7a ; 
+ e9   :  fb77 ; 
+ ea   :  fb74 ; 
+ eb   :  fb72 ; 
+ ec   :  fb6f ; 
+ ed   :  fb6c ; 
+ ee   :  fb6a ; 
+ ef   :  fb67 ; 
+ f0   :  fb65 ; 
+ f1   :  fb62 ; 
+ f2   :  fb5f ; 
+ f3   :  fb5d ; 
+ f4   :  fb5a ; 
+ f5   :  fb58 ; 
+ f6   :  fb55 ; 
+ f7   :  fb53 ; 
+ f8   :  fb50 ; 
+ f9   :  fb4e ; 
+ fa   :  fb4c ; 
+ fb   :  fb49 ; 
+ fc   :  fb47 ; 
+ fd   :  fb44 ; 
+ fe   :  fb42 ; 
+ ff   :  fb40 ; 
+ 100   :  fb3d ; 
+ 101   :  fb3b ; 
+ 102   :  fb39 ; 
+ 103   :  fb36 ; 
+ 104   :  fb34 ; 
+ 105   :  fb32 ; 
+ 106   :  fb30 ; 
+ 107   :  fb2d ; 
+ 108   :  fb2b ; 
+ 109   :  fb29 ; 
+ 10a   :  fb27 ; 
+ 10b   :  fb24 ; 
+ 10c   :  fb22 ; 
+ 10d   :  fb20 ; 
+ 10e   :  fb1e ; 
+ 10f   :  fb1c ; 
+ 110   :  fb1a ; 
+ 111   :  fb18 ; 
+ 112   :  fb16 ; 
+ 113   :  fb14 ; 
+ 114   :  fb12 ; 
+ 115   :  fb10 ; 
+ 116   :  fb0e ; 
+ 117   :  fb0c ; 
+ 118   :  fb0a ; 
+ 119   :  fb08 ; 
+ 11a   :  fb06 ; 
+ 11b   :  fb04 ; 
+ 11c   :  fb02 ; 
+ 11d   :  fb00 ; 
+ 11e   :  fafe ; 
+ 11f   :  fafc ; 
+ 120   :  fafa ; 
+ 121   :  faf9 ; 
+ 122   :  faf7 ; 
+ 123   :  faf5 ; 
+ 124   :  faf3 ; 
+ 125   :  faf1 ; 
+ 126   :  faf0 ; 
+ 127   :  faee ; 
+ 128   :  faec ; 
+ 129   :  faea ; 
+ 12a   :  fae9 ; 
+ 12b   :  fae7 ; 
+ 12c   :  fae5 ; 
+ 12d   :  fae4 ; 
+ 12e   :  fae2 ; 
+ 12f   :  fae1 ; 
+ 130   :  fadf ; 
+ 131   :  fadd ; 
+ 132   :  fadc ; 
+ 133   :  fada ; 
+ 134   :  fad9 ; 
+ 135   :  fad7 ; 
+ 136   :  fad6 ; 
+ 137   :  fad4 ; 
+ 138   :  fad3 ; 
+ 139   :  fad1 ; 
+ 13a   :  fad0 ; 
+ 13b   :  face ; 
+ 13c   :  facd ; 
+ 13d   :  facb ; 
+ 13e   :  faca ; 
+ 13f   :  fac9 ; 
+ 140   :  fac7 ; 
+ 141   :  fac6 ; 
+ 142   :  fac5 ; 
+ 143   :  fac3 ; 
+ 144   :  fac2 ; 
+ 145   :  fac1 ; 
+ 146   :  fabf ; 
+ 147   :  fabe ; 
+ 148   :  fabd ; 
+ 149   :  fabc ; 
+ 14a   :  fabb ; 
+ 14b   :  fab9 ; 
+ 14c   :  fab8 ; 
+ 14d   :  fab7 ; 
+ 14e   :  fab6 ; 
+ 14f   :  fab5 ; 
+ 150   :  fab4 ; 
+ 151   :  fab3 ; 
+ 152   :  fab1 ; 
+ 153   :  fab0 ; 
+ 154   :  faaf ; 
+ 155   :  faae ; 
+ 156   :  faad ; 
+ 157   :  faac ; 
+ 158   :  faab ; 
+ 159   :  faaa ; 
+ 15a   :  faa9 ; 
+ 15b   :  faa8 ; 
+ 15c   :  faa7 ; 
+ 15d   :  faa7 ; 
+ 15e   :  faa6 ; 
+ 15f   :  faa5 ; 
+ 160   :  faa4 ; 
+ 161   :  faa3 ; 
+ 162   :  faa2 ; 
+ 163   :  faa1 ; 
+ 164   :  faa0 ; 
+ 165   :  faa0 ; 
+ 166   :  fa9f ; 
+ 167   :  fa9e ; 
+ 168   :  fa9d ; 
+ 169   :  fa9d ; 
+ 16a   :  fa9c ; 
+ 16b   :  fa9b ; 
+ 16c   :  fa9a ; 
+ 16d   :  fa9a ; 
+ 16e   :  fa99 ; 
+ 16f   :  fa98 ; 
+ 170   :  fa98 ; 
+ 171   :  fa97 ; 
+ 172   :  fa97 ; 
+ 173   :  fa96 ; 
+ 174   :  fa95 ; 
+ 175   :  fa95 ; 
+ 176   :  fa94 ; 
+ 177   :  fa94 ; 
+ 178   :  fa93 ; 
+ 179   :  fa93 ; 
+ 17a   :  fa92 ; 
+ 17b   :  fa92 ; 
+ 17c   :  fa91 ; 
+ 17d   :  fa91 ; 
+ 17e   :  fa90 ; 
+ 17f   :  fa90 ; 
+ 180   :  fa90 ; 
+ 181   :  fa8f ; 
+ 182   :  fa8f ; 
+ 183   :  fa8e ; 
+ 184   :  fa8e ; 
+ 185   :  fa8e ; 
+ 186   :  fa8d ; 
+ 187   :  fa8d ; 
+ 188   :  fa8d ; 
+ 189   :  fa8d ; 
+ 18a   :  fa8c ; 
+ 18b   :  fa8c ; 
+ 18c   :  fa8c ; 
+ 18d   :  fa8c ; 
+ 18e   :  fa8b ; 
+ 18f   :  fa8b ; 
+ 190   :  fa8b ; 
+ 191   :  fa8b ; 
+ 192   :  fa8b ; 
+ 193   :  fa8b ; 
+ 194   :  fa8a ; 
+ 195   :  fa8a ; 
+ 196   :  fa8a ; 
+ 197   :  fa8a ; 
+ 198   :  fa8a ; 
+ 199   :  fa8a ; 
+ 19a   :  fa8a ; 
+ 19b   :  fa8a ; 
+ 19c   :  fa8a ; 
+ 19d   :  fa8a ; 
+ 19e   :  fa8a ; 
+ 19f   :  fa8a ; 
+ 1a0   :  fa8a ; 
+ 1a1   :  fa8a ; 
+ 1a2   :  fa8a ; 
+ 1a3   :  fa8a ; 
+ 1a4   :  fa8a ; 
+ 1a5   :  fa8a ; 
+ 1a6   :  fa8b ; 
+ 1a7   :  fa8b ; 
+ 1a8   :  fa8b ; 
+ 1a9   :  fa8b ; 
+ 1aa   :  fa8b ; 
+ 1ab   :  fa8b ; 
+ 1ac   :  fa8c ; 
+ 1ad   :  fa8c ; 
+ 1ae   :  fa8c ; 
+ 1af   :  fa8c ; 
+ 1b0   :  fa8d ; 
+ 1b1   :  fa8d ; 
+ 1b2   :  fa8d ; 
+ 1b3   :  fa8d ; 
+ 1b4   :  fa8e ; 
+ 1b5   :  fa8e ; 
+ 1b6   :  fa8e ; 
+ 1b7   :  fa8f ; 
+ 1b8   :  fa8f ; 
+ 1b9   :  fa90 ; 
+ 1ba   :  fa90 ; 
+ 1bb   :  fa90 ; 
+ 1bc   :  fa91 ; 
+ 1bd   :  fa91 ; 
+ 1be   :  fa92 ; 
+ 1bf   :  fa92 ; 
+ 1c0   :  fa93 ; 
+ 1c1   :  fa93 ; 
+ 1c2   :  fa94 ; 
+ 1c3   :  fa94 ; 
+ 1c4   :  fa95 ; 
+ 1c5   :  fa95 ; 
+ 1c6   :  fa96 ; 
+ 1c7   :  fa96 ; 
+ 1c8   :  fa97 ; 
+ 1c9   :  fa97 ; 
+ 1ca   :  fa98 ; 
+ 1cb   :  fa99 ; 
+ 1cc   :  fa99 ; 
+ 1cd   :  fa9a ; 
+ 1ce   :  fa9b ; 
+ 1cf   :  fa9b ; 
+ 1d0   :  fa9c ; 
+ 1d1   :  fa9d ; 
+ 1d2   :  fa9d ; 
+ 1d3   :  fa9e ; 
+ 1d4   :  fa9f ; 
+ 1d5   :  faa0 ; 
+ 1d6   :  faa0 ; 
+ 1d7   :  faa1 ; 
+ 1d8   :  faa2 ; 
+ 1d9   :  faa3 ; 
+ 1da   :  faa3 ; 
+ 1db   :  faa4 ; 
+ 1dc   :  faa5 ; 
+ 1dd   :  faa6 ; 
+ 1de   :  faa7 ; 
+ 1df   :  faa8 ; 
+ 1e0   :  faa9 ; 
+ 1e1   :  faa9 ; 
+ 1e2   :  faaa ; 
+ 1e3   :  faab ; 
+ 1e4   :  faac ; 
+ 1e5   :  faad ; 
+ 1e6   :  faae ; 
+ 1e7   :  faaf ; 
+ 1e8   :  fab0 ; 
+ 1e9   :  fab1 ; 
+ 1ea   :  fab2 ; 
+ 1eb   :  fab3 ; 
+ 1ec   :  fab4 ; 
+ 1ed   :  fab5 ; 
+ 1ee   :  fab6 ; 
+ 1ef   :  fab7 ; 
+ 1f0   :  fab8 ; 
+ 1f1   :  fab9 ; 
+ 1f2   :  faba ; 
+ 1f3   :  fabc ; 
+ 1f4   :  fabd ; 
+ 1f5   :  fabe ; 
+ 1f6   :  fabf ; 
+ 1f7   :  fac0 ; 
+ 1f8   :  fac1 ; 
+ 1f9   :  fac2 ; 
+ 1fa   :  fac4 ; 
+ 1fb   :  fac5 ; 
+ 1fc   :  fac6 ; 
+ 1fd   :  fac7 ; 
+ 1fe   :  fac9 ; 
+ 1ff   :  faca ; 
+ 200   :  facb ; 
+ 201   :  facc ; 
+ 202   :  face ; 
+ 203   :  facf ; 
+ 204   :  fad0 ; 
+ 205   :  fad1 ; 
+ 206   :  fad3 ; 
+ 207   :  fad4 ; 
+ 208   :  fad5 ; 
+ 209   :  fad7 ; 
+ 20a   :  fad8 ; 
+ 20b   :  fada ; 
+ 20c   :  fadb ; 
+ 20d   :  fadc ; 
+ 20e   :  fade ; 
+ 20f   :  fadf ; 
+ 210   :  fae1 ; 
+ 211   :  fae2 ; 
+ 212   :  fae4 ; 
+ 213   :  fae5 ; 
+ 214   :  fae6 ; 
+ 215   :  fae8 ; 
+ 216   :  fae9 ; 
+ 217   :  faeb ; 
+ 218   :  faed ; 
+ 219   :  faee ; 
+ 21a   :  faf0 ; 
+ 21b   :  faf1 ; 
+ 21c   :  faf3 ; 
+ 21d   :  faf4 ; 
+ 21e   :  faf6 ; 
+ 21f   :  faf7 ; 
+ 220   :  faf9 ; 
+ 221   :  fafb ; 
+ 222   :  fafc ; 
+ 223   :  fafe ; 
+ 224   :  fb00 ; 
+ 225   :  fb01 ; 
+ 226   :  fb03 ; 
+ 227   :  fb05 ; 
+ 228   :  fb06 ; 
+ 229   :  fb08 ; 
+ 22a   :  fb0a ; 
+ 22b   :  fb0b ; 
+ 22c   :  fb0d ; 
+ 22d   :  fb0f ; 
+ 22e   :  fb11 ; 
+ 22f   :  fb12 ; 
+ 230   :  fb14 ; 
+ 231   :  fb16 ; 
+ 232   :  fb18 ; 
+ 233   :  fb19 ; 
+ 234   :  fb1b ; 
+ 235   :  fb1d ; 
+ 236   :  fb1f ; 
+ 237   :  fb21 ; 
+ 238   :  fb23 ; 
+ 239   :  fb24 ; 
+ 23a   :  fb26 ; 
+ 23b   :  fb28 ; 
+ 23c   :  fb2a ; 
+ 23d   :  fb2c ; 
+ 23e   :  fb2e ; 
+ 23f   :  fb30 ; 
+ 240   :  fb32 ; 
+ 241   :  fb34 ; 
+ 242   :  fb36 ; 
+ 243   :  fb38 ; 
+ 244   :  fb39 ; 
+ 245   :  fb3b ; 
+ 246   :  fb3d ; 
+ 247   :  fb3f ; 
+ 248   :  fb41 ; 
+ 249   :  fb43 ; 
+ 24a   :  fb45 ; 
+ 24b   :  fb47 ; 
+ 24c   :  fb49 ; 
+ 24d   :  fb4b ; 
+ 24e   :  fb4e ; 
+ 24f   :  fb50 ; 
+ 250   :  fb52 ; 
+ 251   :  fb54 ; 
+ 252   :  fb56 ; 
+ 253   :  fb58 ; 
+ 254   :  fb5a ; 
+ 255   :  fb5c ; 
+ 256   :  fb5e ; 
+ 257   :  fb60 ; 
+ 258   :  fb62 ; 
+ 259   :  fb65 ; 
+ 25a   :  fb67 ; 
+ 25b   :  fb69 ; 
+ 25c   :  fb6b ; 
+ 25d   :  fb6d ; 
+ 25e   :  fb6f ; 
+ 25f   :  fb72 ; 
+ 260   :  fb74 ; 
+ 261   :  fb76 ; 
+ 262   :  fb78 ; 
+ 263   :  fb7b ; 
+ 264   :  fb7d ; 
+ 265   :  fb7f ; 
+ 266   :  fb81 ; 
+ 267   :  fb83 ; 
+ 268   :  fb86 ; 
+ 269   :  fb88 ; 
+ 26a   :  fb8a ; 
+ 26b   :  fb8d ; 
+ 26c   :  fb8f ; 
+ 26d   :  fb91 ; 
+ 26e   :  fb94 ; 
+ 26f   :  fb96 ; 
+ 270   :  fb98 ; 
+ 271   :  fb9b ; 
+ 272   :  fb9d ; 
+ 273   :  fb9f ; 
+ 274   :  fba2 ; 
+ 275   :  fba4 ; 
+ 276   :  fba6 ; 
+ 277   :  fba9 ; 
+ 278   :  fbab ; 
+ 279   :  fbad ; 
+ 27a   :  fbb0 ; 
+ 27b   :  fbb2 ; 
+ 27c   :  fbb5 ; 
+ 27d   :  fbb7 ; 
+ 27e   :  fbba ; 
+ 27f   :  fbbc ; 
+ 280   :  fbbe ; 
+ 281   :  fbc1 ; 
+ 282   :  fbc3 ; 
+ 283   :  fbc6 ; 
+ 284   :  fbc8 ; 
+ 285   :  fbcb ; 
+ 286   :  fbcd ; 
+ 287   :  fbd0 ; 
+ 288   :  fbd2 ; 
+ 289   :  fbd5 ; 
+ 28a   :  fbd7 ; 
+ 28b   :  fbda ; 
+ 28c   :  fbdc ; 
+ 28d   :  fbdf ; 
+ 28e   :  fbe1 ; 
+ 28f   :  fbe4 ; 
+ 290   :  fbe7 ; 
+ 291   :  fbe9 ; 
+ 292   :  fbec ; 
+ 293   :  fbee ; 
+ 294   :  fbf1 ; 
+ 295   :  fbf3 ; 
+ 296   :  fbf6 ; 
+ 297   :  fbf9 ; 
+ 298   :  fbfb ; 
+ 299   :  fbfe ; 
+ 29a   :  fc00 ; 
+ 29b   :  fc03 ; 
+ 29c   :  fc06 ; 
+ 29d   :  fc08 ; 
+ 29e   :  fc0b ; 
+ 29f   :  fc0e ; 
+ 2a0   :  fc10 ; 
+ 2a1   :  fc13 ; 
+ 2a2   :  fc16 ; 
+ 2a3   :  fc18 ; 
+ 2a4   :  fc1b ; 
+ 2a5   :  fc1e ; 
+ 2a6   :  fc20 ; 
+ 2a7   :  fc23 ; 
+ 2a8   :  fc26 ; 
+ 2a9   :  fc28 ; 
+ 2aa   :  fc2b ; 
+ 2ab   :  fc2e ; 
+ 2ac   :  fc31 ; 
+ 2ad   :  fc33 ; 
+ 2ae   :  fc36 ; 
+ 2af   :  fc39 ; 
+ 2b0   :  fc3c ; 
+ 2b1   :  fc3e ; 
+ 2b2   :  fc41 ; 
+ 2b3   :  fc44 ; 
+ 2b4   :  fc47 ; 
+ 2b5   :  fc49 ; 
+ 2b6   :  fc4c ; 
+ 2b7   :  fc4f ; 
+ 2b8   :  fc52 ; 
+ 2b9   :  fc54 ; 
+ 2ba   :  fc57 ; 
+ 2bb   :  fc5a ; 
+ 2bc   :  fc5d ; 
+ 2bd   :  fc60 ; 
+ 2be   :  fc63 ; 
+ 2bf   :  fc65 ; 
+ 2c0   :  fc68 ; 
+ 2c1   :  fc6b ; 
+ 2c2   :  fc6e ; 
+ 2c3   :  fc71 ; 
+ 2c4   :  fc74 ; 
+ 2c5   :  fc76 ; 
+ 2c6   :  fc79 ; 
+ 2c7   :  fc7c ; 
+ 2c8   :  fc7f ; 
+ 2c9   :  fc82 ; 
+ 2ca   :  fc85 ; 
+ 2cb   :  fc88 ; 
+ 2cc   :  fc8a ; 
+ 2cd   :  fc8d ; 
+ 2ce   :  fc90 ; 
+ 2cf   :  fc93 ; 
+ 2d0   :  fc96 ; 
+ 2d1   :  fc99 ; 
+ 2d2   :  fc9c ; 
+ 2d3   :  fc9f ; 
+ 2d4   :  fca2 ; 
+ 2d5   :  fca5 ; 
+ 2d6   :  fca8 ; 
+ 2d7   :  fcaa ; 
+ 2d8   :  fcad ; 
+ 2d9   :  fcb0 ; 
+ 2da   :  fcb3 ; 
+ 2db   :  fcb6 ; 
+ 2dc   :  fcb9 ; 
+ 2dd   :  fcbc ; 
+ 2de   :  fcbf ; 
+ 2df   :  fcc2 ; 
+ 2e0   :  fcc5 ; 
+ 2e1   :  fcc8 ; 
+ 2e2   :  fccb ; 
+ 2e3   :  fcce ; 
+ 2e4   :  fcd1 ; 
+ 2e5   :  fcd4 ; 
+ 2e6   :  fcd7 ; 
+ 2e7   :  fcda ; 
+ 2e8   :  fcdd ; 
+ 2e9   :  fce0 ; 
+ 2ea   :  fce3 ; 
+ 2eb   :  fce6 ; 
+ 2ec   :  fce9 ; 
+ 2ed   :  fcec ; 
+ 2ee   :  fcef ; 
+ 2ef   :  fcf2 ; 
+ 2f0   :  fcf5 ; 
+ 2f1   :  fcf8 ; 
+ 2f2   :  fcfb ; 
+ 2f3   :  fcfe ; 
+ 2f4   :  fd01 ; 
+ 2f5   :  fd04 ; 
+ 2f6   :  fd07 ; 
+ 2f7   :  fd0a ; 
+ 2f8   :  fd0d ; 
+ 2f9   :  fd10 ; 
+ 2fa   :  fd13 ; 
+ 2fb   :  fd16 ; 
+ 2fc   :  fd19 ; 
+ 2fd   :  fd1c ; 
+ 2fe   :  fd20 ; 
+ 2ff   :  fd23 ; 
+ 300   :  fd26 ; 
+ 301   :  fd29 ; 
+ 302   :  fd2c ; 
+ 303   :  fd2f ; 
+ 304   :  fd32 ; 
+ 305   :  fd35 ; 
+ 306   :  fd38 ; 
+ 307   :  fd3b ; 
+ 308   :  fd3e ; 
+ 309   :  fd41 ; 
+ 30a   :  fd44 ; 
+ 30b   :  fd47 ; 
+ 30c   :  fd4b ; 
+ 30d   :  fd4e ; 
+ 30e   :  fd51 ; 
+ 30f   :  fd54 ; 
+ 310   :  fd57 ; 
+ 311   :  fd5a ; 
+ 312   :  fd5d ; 
+ 313   :  fd60 ; 
+ 314   :  fd63 ; 
+ 315   :  fd67 ; 
+ 316   :  fd6a ; 
+ 317   :  fd6d ; 
+ 318   :  fd70 ; 
+ 319   :  fd73 ; 
+ 31a   :  fd76 ; 
+ 31b   :  fd79 ; 
+ 31c   :  fd7c ; 
+ 31d   :  fd7f ; 
+ 31e   :  fd83 ; 
+ 31f   :  fd86 ; 
+ 320   :  fd89 ; 
+ 321   :  fd8c ; 
+ 322   :  fd8f ; 
+ 323   :  fd92 ; 
+ 324   :  fd95 ; 
+ 325   :  fd98 ; 
+ 326   :  fd9c ; 
+ 327   :  fd9f ; 
+ 328   :  fda2 ; 
+ 329   :  fda5 ; 
+ 32a   :  fda8 ; 
+ 32b   :  fdab ; 
+ 32c   :  fdae ; 
+ 32d   :  fdb2 ; 
+ 32e   :  fdb5 ; 
+ 32f   :  fdb8 ; 
+ 330   :  fdbb ; 
+ 331   :  fdbe ; 
+ 332   :  fdc1 ; 
+ 333   :  fdc4 ; 
+ 334   :  fdc8 ; 
+ 335   :  fdcb ; 
+ 336   :  fdce ; 
+ 337   :  fdd1 ; 
+ 338   :  fdd4 ; 
+ 339   :  fdd7 ; 
+ 33a   :  fddb ; 
+ 33b   :  fdde ; 
+ 33c   :  fde1 ; 
+ 33d   :  fde4 ; 
+ 33e   :  fde7 ; 
+ 33f   :  fdea ; 
+ 340   :  fded ; 
+ 341   :  fdf1 ; 
+ 342   :  fdf4 ; 
+ 343   :  fdf7 ; 
+ 344   :  fdfa ; 
+ 345   :  fdfd ; 
+ 346   :  fe00 ; 
+ 347   :  fe04 ; 
+ 348   :  fe07 ; 
+ 349   :  fe0a ; 
+ 34a   :  fe0d ; 
+ 34b   :  fe10 ; 
+ 34c   :  fe13 ; 
+ 34d   :  fe17 ; 
+ 34e   :  fe1a ; 
+ 34f   :  fe1d ; 
+ 350   :  fe20 ; 
+ 351   :  fe23 ; 
+ 352   :  fe26 ; 
+ 353   :  fe2a ; 
+ 354   :  fe2d ; 
+ 355   :  fe30 ; 
+ 356   :  fe33 ; 
+ 357   :  fe36 ; 
+ 358   :  fe39 ; 
+ 359   :  fe3c ; 
+ 35a   :  fe40 ; 
+ 35b   :  fe43 ; 
+ 35c   :  fe46 ; 
+ 35d   :  fe49 ; 
+ 35e   :  fe4c ; 
+ 35f   :  fe4f ; 
+ 360   :  fe53 ; 
+ 361   :  fe56 ; 
+ 362   :  fe59 ; 
+ 363   :  fe5c ; 
+ 364   :  fe5f ; 
+ 365   :  fe62 ; 
+ 366   :  fe65 ; 
+ 367   :  fe69 ; 
+ 368   :  fe6c ; 
+ 369   :  fe6f ; 
+ 36a   :  fe72 ; 
+ 36b   :  fe75 ; 
+ 36c   :  fe78 ; 
+ 36d   :  fe7b ; 
+ 36e   :  fe7f ; 
+ 36f   :  fe82 ; 
+ 370   :  fe85 ; 
+ 371   :  fe88 ; 
+ 372   :  fe8b ; 
+ 373   :  fe8e ; 
+ 374   :  fe91 ; 
+ 375   :  fe95 ; 
+ 376   :  fe98 ; 
+ 377   :  fe9b ; 
+ 378   :  fe9e ; 
+ 379   :  fea1 ; 
+ 37a   :  fea4 ; 
+ 37b   :  fea7 ; 
+ 37c   :  feaa ; 
+ 37d   :  feae ; 
+ 37e   :  feb1 ; 
+ 37f   :  feb4 ; 
+ 380   :  feb7 ; 
+ 381   :  feba ; 
+ 382   :  febd ; 
+ 383   :  fec0 ; 
+ 384   :  fec3 ; 
+ 385   :  fec7 ; 
+ 386   :  feca ; 
+ 387   :  fecd ; 
+ 388   :  fed0 ; 
+ 389   :  fed3 ; 
+ 38a   :  fed6 ; 
+ 38b   :  fed9 ; 
+ 38c   :  fedc ; 
+ 38d   :  fedf ; 
+ 38e   :  fee2 ; 
+ 38f   :  fee6 ; 
+ 390   :  fee9 ; 
+ 391   :  feec ; 
+ 392   :  feef ; 
+ 393   :  fef2 ; 
+ 394   :  fef5 ; 
+ 395   :  fef8 ; 
+ 396   :  fefb ; 
+ 397   :  fefe ; 
+ 398   :  ff01 ; 
+ 399   :  ff04 ; 
+ 39a   :  ff07 ; 
+ 39b   :  ff0a ; 
+ 39c   :  ff0e ; 
+ 39d   :  ff11 ; 
+ 39e   :  ff14 ; 
+ 39f   :  ff17 ; 
+ 3a0   :  ff1a ; 
+ 3a1   :  ff1d ; 
+ 3a2   :  ff20 ; 
+ 3a3   :  ff23 ; 
+ 3a4   :  ff26 ; 
+ 3a5   :  ff29 ; 
+ 3a6   :  ff2c ; 
+ 3a7   :  ff2f ; 
+ 3a8   :  ff32 ; 
+ 3a9   :  ff35 ; 
+ 3aa   :  ff38 ; 
+ 3ab   :  ff3b ; 
+ 3ac   :  ff3e ; 
+ 3ad   :  ff41 ; 
+ 3ae   :  ff44 ; 
+ 3af   :  ff47 ; 
+ 3b0   :  ff4a ; 
+ 3b1   :  ff4d ; 
+ 3b2   :  ff50 ; 
+ 3b3   :  ff53 ; 
+ 3b4   :  ff56 ; 
+ 3b5   :  ff59 ; 
+ 3b6   :  ff5c ; 
+ 3b7   :  ff5f ; 
+ 3b8   :  ff62 ; 
+ 3b9   :  ff65 ; 
+ 3ba   :  ff68 ; 
+ 3bb   :  ff6b ; 
+ 3bc   :  ff6e ; 
+ 3bd   :  ff71 ; 
+ 3be   :  ff74 ; 
+ 3bf   :  ff77 ; 
+ 3c0   :  ff7a ; 
+ 3c1   :  ff7d ; 
+ 3c2   :  ff80 ; 
+ 3c3   :  ff83 ; 
+ 3c4   :  ff86 ; 
+ 3c5   :  ff89 ; 
+ 3c6   :  ff8c ; 
+ 3c7   :  ff8f ; 
+ 3c8   :  ff92 ; 
+ 3c9   :  ff95 ; 
+ 3ca   :  ff97 ; 
+ 3cb   :  ff9a ; 
+ 3cc   :  ff9d ; 
+ 3cd   :  ffa0 ; 
+ 3ce   :  ffa3 ; 
+ 3cf   :  ffa6 ; 
+ 3d0   :  ffa9 ; 
+ 3d1   :  ffac ; 
+ 3d2   :  ffaf ; 
+ 3d3   :  ffb2 ; 
+ 3d4   :  ffb5 ; 
+ 3d5   :  ffb7 ; 
+ 3d6   :  ffba ; 
+ 3d7   :  ffbd ; 
+ 3d8   :  ffc0 ; 
+ 3d9   :  ffc3 ; 
+ 3da   :  ffc6 ; 
+ 3db   :  ffc9 ; 
+ 3dc   :  ffcc ; 
+ 3dd   :  ffce ; 
+ 3de   :  ffd1 ; 
+ 3df   :  ffd4 ; 
+ 3e0   :  ffd7 ; 
+ 3e1   :  ffda ; 
+ 3e2   :  ffdd ; 
+ 3e3   :  ffdf ; 
+ 3e4   :  ffe2 ; 
+ 3e5   :  ffe5 ; 
+ 3e6   :  ffe8 ; 
+ 3e7   :  ffeb ; 
+ 3e8   :  ffee ; 
+ 3e9   :  fff0 ; 
+ 3ea   :  fff3 ; 
+ 3eb   :  fff6 ; 
+ 3ec   :  fff9 ; 
+ 3ed   :  fffc ; 
+ 3ee   :  fffe ; 
+ 3ef   :  1 ; 
+ 3f0   :  4 ; 
+ 3f1   :  7 ; 
+ 3f2   :  9 ; 
+ 3f3   :  c ; 
+ 3f4   :  f ; 
+ 3f5   :  12 ; 
+ 3f6   :  14 ; 
+ 3f7   :  17 ; 
+ 3f8   :  1a ; 
+ 3f9   :  1d ; 
+ 3fa   :  1f ; 
+ 3fb   :  22 ; 
+ 3fc   :  25 ; 
+ 3fd   :  28 ; 
+ 3fe   :  2a ; 
+ 3ff   :  2d ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_5.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_5.mif
new file mode 100644
index 0000000000000000000000000000000000000000..7c1872dbcb348ba453075776fb162292d78179d1
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_5.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  f0 ; 
+ 1   :  fc ; 
+ 2   :  108 ; 
+ 3   :  114 ; 
+ 4   :  120 ; 
+ 5   :  12d ; 
+ 6   :  139 ; 
+ 7   :  145 ; 
+ 8   :  151 ; 
+ 9   :  15d ; 
+ a   :  168 ; 
+ b   :  174 ; 
+ c   :  180 ; 
+ d   :  18c ; 
+ e   :  198 ; 
+ f   :  1a4 ; 
+ 10   :  1b0 ; 
+ 11   :  1bc ; 
+ 12   :  1c7 ; 
+ 13   :  1d3 ; 
+ 14   :  1df ; 
+ 15   :  1ea ; 
+ 16   :  1f6 ; 
+ 17   :  202 ; 
+ 18   :  20d ; 
+ 19   :  219 ; 
+ 1a   :  225 ; 
+ 1b   :  230 ; 
+ 1c   :  23c ; 
+ 1d   :  247 ; 
+ 1e   :  253 ; 
+ 1f   :  25e ; 
+ 20   :  26a ; 
+ 21   :  275 ; 
+ 22   :  281 ; 
+ 23   :  28c ; 
+ 24   :  297 ; 
+ 25   :  2a3 ; 
+ 26   :  2ae ; 
+ 27   :  2b9 ; 
+ 28   :  2c5 ; 
+ 29   :  2d0 ; 
+ 2a   :  2db ; 
+ 2b   :  2e6 ; 
+ 2c   :  2f1 ; 
+ 2d   :  2fd ; 
+ 2e   :  308 ; 
+ 2f   :  313 ; 
+ 30   :  31e ; 
+ 31   :  329 ; 
+ 32   :  334 ; 
+ 33   :  33f ; 
+ 34   :  34a ; 
+ 35   :  355 ; 
+ 36   :  360 ; 
+ 37   :  36b ; 
+ 38   :  376 ; 
+ 39   :  381 ; 
+ 3a   :  38b ; 
+ 3b   :  396 ; 
+ 3c   :  3a1 ; 
+ 3d   :  3ac ; 
+ 3e   :  3b7 ; 
+ 3f   :  3c1 ; 
+ 40   :  3cc ; 
+ 41   :  3d7 ; 
+ 42   :  3e1 ; 
+ 43   :  3ec ; 
+ 44   :  3f6 ; 
+ 45   :  401 ; 
+ 46   :  40c ; 
+ 47   :  416 ; 
+ 48   :  420 ; 
+ 49   :  42b ; 
+ 4a   :  435 ; 
+ 4b   :  440 ; 
+ 4c   :  44a ; 
+ 4d   :  454 ; 
+ 4e   :  45f ; 
+ 4f   :  469 ; 
+ 50   :  473 ; 
+ 51   :  47e ; 
+ 52   :  488 ; 
+ 53   :  492 ; 
+ 54   :  49c ; 
+ 55   :  4a6 ; 
+ 56   :  4b0 ; 
+ 57   :  4bb ; 
+ 58   :  4c5 ; 
+ 59   :  4cf ; 
+ 5a   :  4d9 ; 
+ 5b   :  4e3 ; 
+ 5c   :  4ed ; 
+ 5d   :  4f6 ; 
+ 5e   :  500 ; 
+ 5f   :  50a ; 
+ 60   :  514 ; 
+ 61   :  51e ; 
+ 62   :  528 ; 
+ 63   :  531 ; 
+ 64   :  53b ; 
+ 65   :  545 ; 
+ 66   :  54f ; 
+ 67   :  558 ; 
+ 68   :  562 ; 
+ 69   :  56b ; 
+ 6a   :  575 ; 
+ 6b   :  57f ; 
+ 6c   :  588 ; 
+ 6d   :  592 ; 
+ 6e   :  59b ; 
+ 6f   :  5a4 ; 
+ 70   :  5ae ; 
+ 71   :  5b7 ; 
+ 72   :  5c1 ; 
+ 73   :  5ca ; 
+ 74   :  5d3 ; 
+ 75   :  5dc ; 
+ 76   :  5e6 ; 
+ 77   :  5ef ; 
+ 78   :  5f8 ; 
+ 79   :  601 ; 
+ 7a   :  60a ; 
+ 7b   :  613 ; 
+ 7c   :  61c ; 
+ 7d   :  625 ; 
+ 7e   :  62e ; 
+ 7f   :  637 ; 
+ 80   :  640 ; 
+ 81   :  649 ; 
+ 82   :  652 ; 
+ 83   :  65b ; 
+ 84   :  664 ; 
+ 85   :  66d ; 
+ 86   :  675 ; 
+ 87   :  67e ; 
+ 88   :  687 ; 
+ 89   :  68f ; 
+ 8a   :  698 ; 
+ 8b   :  6a1 ; 
+ 8c   :  6a9 ; 
+ 8d   :  6b2 ; 
+ 8e   :  6ba ; 
+ 8f   :  6c3 ; 
+ 90   :  6cb ; 
+ 91   :  6d4 ; 
+ 92   :  6dc ; 
+ 93   :  6e5 ; 
+ 94   :  6ed ; 
+ 95   :  6f5 ; 
+ 96   :  6fe ; 
+ 97   :  706 ; 
+ 98   :  70e ; 
+ 99   :  716 ; 
+ 9a   :  71e ; 
+ 9b   :  727 ; 
+ 9c   :  72f ; 
+ 9d   :  737 ; 
+ 9e   :  73f ; 
+ 9f   :  747 ; 
+ a0   :  74f ; 
+ a1   :  757 ; 
+ a2   :  75f ; 
+ a3   :  767 ; 
+ a4   :  76e ; 
+ a5   :  776 ; 
+ a6   :  77e ; 
+ a7   :  786 ; 
+ a8   :  78e ; 
+ a9   :  795 ; 
+ aa   :  79d ; 
+ ab   :  7a5 ; 
+ ac   :  7ac ; 
+ ad   :  7b4 ; 
+ ae   :  7bb ; 
+ af   :  7c3 ; 
+ b0   :  7cb ; 
+ b1   :  7d2 ; 
+ b2   :  7d9 ; 
+ b3   :  7e1 ; 
+ b4   :  7e8 ; 
+ b5   :  7f0 ; 
+ b6   :  7f7 ; 
+ b7   :  7fe ; 
+ b8   :  805 ; 
+ b9   :  80d ; 
+ ba   :  814 ; 
+ bb   :  81b ; 
+ bc   :  822 ; 
+ bd   :  829 ; 
+ be   :  830 ; 
+ bf   :  837 ; 
+ c0   :  83e ; 
+ c1   :  845 ; 
+ c2   :  84c ; 
+ c3   :  853 ; 
+ c4   :  85a ; 
+ c5   :  861 ; 
+ c6   :  868 ; 
+ c7   :  86f ; 
+ c8   :  875 ; 
+ c9   :  87c ; 
+ ca   :  883 ; 
+ cb   :  889 ; 
+ cc   :  890 ; 
+ cd   :  897 ; 
+ ce   :  89d ; 
+ cf   :  8a4 ; 
+ d0   :  8aa ; 
+ d1   :  8b1 ; 
+ d2   :  8b7 ; 
+ d3   :  8be ; 
+ d4   :  8c4 ; 
+ d5   :  8ca ; 
+ d6   :  8d1 ; 
+ d7   :  8d7 ; 
+ d8   :  8dd ; 
+ d9   :  8e3 ; 
+ da   :  8ea ; 
+ db   :  8f0 ; 
+ dc   :  8f6 ; 
+ dd   :  8fc ; 
+ de   :  902 ; 
+ df   :  908 ; 
+ e0   :  90e ; 
+ e1   :  914 ; 
+ e2   :  91a ; 
+ e3   :  920 ; 
+ e4   :  926 ; 
+ e5   :  92c ; 
+ e6   :  931 ; 
+ e7   :  937 ; 
+ e8   :  93d ; 
+ e9   :  943 ; 
+ ea   :  948 ; 
+ eb   :  94e ; 
+ ec   :  954 ; 
+ ed   :  959 ; 
+ ee   :  95f ; 
+ ef   :  964 ; 
+ f0   :  96a ; 
+ f1   :  96f ; 
+ f2   :  975 ; 
+ f3   :  97a ; 
+ f4   :  97f ; 
+ f5   :  985 ; 
+ f6   :  98a ; 
+ f7   :  98f ; 
+ f8   :  994 ; 
+ f9   :  99a ; 
+ fa   :  99f ; 
+ fb   :  9a4 ; 
+ fc   :  9a9 ; 
+ fd   :  9ae ; 
+ fe   :  9b3 ; 
+ ff   :  9b8 ; 
+ 100   :  9bd ; 
+ 101   :  9c2 ; 
+ 102   :  9c7 ; 
+ 103   :  9cc ; 
+ 104   :  9d1 ; 
+ 105   :  9d6 ; 
+ 106   :  9da ; 
+ 107   :  9df ; 
+ 108   :  9e4 ; 
+ 109   :  9e8 ; 
+ 10a   :  9ed ; 
+ 10b   :  9f2 ; 
+ 10c   :  9f6 ; 
+ 10d   :  9fb ; 
+ 10e   :  9ff ; 
+ 10f   :  a04 ; 
+ 110   :  a08 ; 
+ 111   :  a0d ; 
+ 112   :  a11 ; 
+ 113   :  a16 ; 
+ 114   :  a1a ; 
+ 115   :  a1e ; 
+ 116   :  a23 ; 
+ 117   :  a27 ; 
+ 118   :  a2b ; 
+ 119   :  a2f ; 
+ 11a   :  a33 ; 
+ 11b   :  a37 ; 
+ 11c   :  a3b ; 
+ 11d   :  a40 ; 
+ 11e   :  a44 ; 
+ 11f   :  a48 ; 
+ 120   :  a4b ; 
+ 121   :  a4f ; 
+ 122   :  a53 ; 
+ 123   :  a57 ; 
+ 124   :  a5b ; 
+ 125   :  a5f ; 
+ 126   :  a63 ; 
+ 127   :  a66 ; 
+ 128   :  a6a ; 
+ 129   :  a6e ; 
+ 12a   :  a71 ; 
+ 12b   :  a75 ; 
+ 12c   :  a78 ; 
+ 12d   :  a7c ; 
+ 12e   :  a7f ; 
+ 12f   :  a83 ; 
+ 130   :  a86 ; 
+ 131   :  a8a ; 
+ 132   :  a8d ; 
+ 133   :  a90 ; 
+ 134   :  a94 ; 
+ 135   :  a97 ; 
+ 136   :  a9a ; 
+ 137   :  a9e ; 
+ 138   :  aa1 ; 
+ 139   :  aa4 ; 
+ 13a   :  aa7 ; 
+ 13b   :  aaa ; 
+ 13c   :  aad ; 
+ 13d   :  ab0 ; 
+ 13e   :  ab3 ; 
+ 13f   :  ab6 ; 
+ 140   :  ab9 ; 
+ 141   :  abc ; 
+ 142   :  abf ; 
+ 143   :  ac2 ; 
+ 144   :  ac4 ; 
+ 145   :  ac7 ; 
+ 146   :  aca ; 
+ 147   :  acd ; 
+ 148   :  acf ; 
+ 149   :  ad2 ; 
+ 14a   :  ad5 ; 
+ 14b   :  ad7 ; 
+ 14c   :  ada ; 
+ 14d   :  adc ; 
+ 14e   :  adf ; 
+ 14f   :  ae1 ; 
+ 150   :  ae4 ; 
+ 151   :  ae6 ; 
+ 152   :  ae8 ; 
+ 153   :  aeb ; 
+ 154   :  aed ; 
+ 155   :  aef ; 
+ 156   :  af2 ; 
+ 157   :  af4 ; 
+ 158   :  af6 ; 
+ 159   :  af8 ; 
+ 15a   :  afa ; 
+ 15b   :  afc ; 
+ 15c   :  afe ; 
+ 15d   :  b00 ; 
+ 15e   :  b02 ; 
+ 15f   :  b04 ; 
+ 160   :  b06 ; 
+ 161   :  b08 ; 
+ 162   :  b0a ; 
+ 163   :  b0c ; 
+ 164   :  b0e ; 
+ 165   :  b10 ; 
+ 166   :  b11 ; 
+ 167   :  b13 ; 
+ 168   :  b15 ; 
+ 169   :  b16 ; 
+ 16a   :  b18 ; 
+ 16b   :  b1a ; 
+ 16c   :  b1b ; 
+ 16d   :  b1d ; 
+ 16e   :  b1e ; 
+ 16f   :  b20 ; 
+ 170   :  b21 ; 
+ 171   :  b22 ; 
+ 172   :  b24 ; 
+ 173   :  b25 ; 
+ 174   :  b27 ; 
+ 175   :  b28 ; 
+ 176   :  b29 ; 
+ 177   :  b2a ; 
+ 178   :  b2b ; 
+ 179   :  b2d ; 
+ 17a   :  b2e ; 
+ 17b   :  b2f ; 
+ 17c   :  b30 ; 
+ 17d   :  b31 ; 
+ 17e   :  b32 ; 
+ 17f   :  b33 ; 
+ 180   :  b34 ; 
+ 181   :  b35 ; 
+ 182   :  b36 ; 
+ 183   :  b37 ; 
+ 184   :  b38 ; 
+ 185   :  b38 ; 
+ 186   :  b39 ; 
+ 187   :  b3a ; 
+ 188   :  b3b ; 
+ 189   :  b3b ; 
+ 18a   :  b3c ; 
+ 18b   :  b3d ; 
+ 18c   :  b3d ; 
+ 18d   :  b3e ; 
+ 18e   :  b3e ; 
+ 18f   :  b3f ; 
+ 190   :  b3f ; 
+ 191   :  b40 ; 
+ 192   :  b40 ; 
+ 193   :  b40 ; 
+ 194   :  b41 ; 
+ 195   :  b41 ; 
+ 196   :  b41 ; 
+ 197   :  b42 ; 
+ 198   :  b42 ; 
+ 199   :  b42 ; 
+ 19a   :  b42 ; 
+ 19b   :  b43 ; 
+ 19c   :  b43 ; 
+ 19d   :  b43 ; 
+ 19e   :  b43 ; 
+ 19f   :  b43 ; 
+ 1a0   :  b43 ; 
+ 1a1   :  b43 ; 
+ 1a2   :  b43 ; 
+ 1a3   :  b43 ; 
+ 1a4   :  b43 ; 
+ 1a5   :  b43 ; 
+ 1a6   :  b42 ; 
+ 1a7   :  b42 ; 
+ 1a8   :  b42 ; 
+ 1a9   :  b42 ; 
+ 1aa   :  b41 ; 
+ 1ab   :  b41 ; 
+ 1ac   :  b41 ; 
+ 1ad   :  b41 ; 
+ 1ae   :  b40 ; 
+ 1af   :  b40 ; 
+ 1b0   :  b3f ; 
+ 1b1   :  b3f ; 
+ 1b2   :  b3e ; 
+ 1b3   :  b3e ; 
+ 1b4   :  b3d ; 
+ 1b5   :  b3d ; 
+ 1b6   :  b3c ; 
+ 1b7   :  b3b ; 
+ 1b8   :  b3b ; 
+ 1b9   :  b3a ; 
+ 1ba   :  b39 ; 
+ 1bb   :  b39 ; 
+ 1bc   :  b38 ; 
+ 1bd   :  b37 ; 
+ 1be   :  b36 ; 
+ 1bf   :  b35 ; 
+ 1c0   :  b34 ; 
+ 1c1   :  b33 ; 
+ 1c2   :  b33 ; 
+ 1c3   :  b32 ; 
+ 1c4   :  b31 ; 
+ 1c5   :  b30 ; 
+ 1c6   :  b2f ; 
+ 1c7   :  b2d ; 
+ 1c8   :  b2c ; 
+ 1c9   :  b2b ; 
+ 1ca   :  b2a ; 
+ 1cb   :  b29 ; 
+ 1cc   :  b28 ; 
+ 1cd   :  b26 ; 
+ 1ce   :  b25 ; 
+ 1cf   :  b24 ; 
+ 1d0   :  b23 ; 
+ 1d1   :  b21 ; 
+ 1d2   :  b20 ; 
+ 1d3   :  b1e ; 
+ 1d4   :  b1d ; 
+ 1d5   :  b1c ; 
+ 1d6   :  b1a ; 
+ 1d7   :  b19 ; 
+ 1d8   :  b17 ; 
+ 1d9   :  b15 ; 
+ 1da   :  b14 ; 
+ 1db   :  b12 ; 
+ 1dc   :  b11 ; 
+ 1dd   :  b0f ; 
+ 1de   :  b0d ; 
+ 1df   :  b0c ; 
+ 1e0   :  b0a ; 
+ 1e1   :  b08 ; 
+ 1e2   :  b06 ; 
+ 1e3   :  b04 ; 
+ 1e4   :  b03 ; 
+ 1e5   :  b01 ; 
+ 1e6   :  aff ; 
+ 1e7   :  afd ; 
+ 1e8   :  afb ; 
+ 1e9   :  af9 ; 
+ 1ea   :  af7 ; 
+ 1eb   :  af5 ; 
+ 1ec   :  af3 ; 
+ 1ed   :  af1 ; 
+ 1ee   :  aef ; 
+ 1ef   :  aed ; 
+ 1f0   :  aeb ; 
+ 1f1   :  ae8 ; 
+ 1f2   :  ae6 ; 
+ 1f3   :  ae4 ; 
+ 1f4   :  ae2 ; 
+ 1f5   :  ae0 ; 
+ 1f6   :  add ; 
+ 1f7   :  adb ; 
+ 1f8   :  ad9 ; 
+ 1f9   :  ad6 ; 
+ 1fa   :  ad4 ; 
+ 1fb   :  ad1 ; 
+ 1fc   :  acf ; 
+ 1fd   :  acd ; 
+ 1fe   :  aca ; 
+ 1ff   :  ac8 ; 
+ 200   :  ac5 ; 
+ 201   :  ac2 ; 
+ 202   :  ac0 ; 
+ 203   :  abd ; 
+ 204   :  abb ; 
+ 205   :  ab8 ; 
+ 206   :  ab5 ; 
+ 207   :  ab3 ; 
+ 208   :  ab0 ; 
+ 209   :  aad ; 
+ 20a   :  aaa ; 
+ 20b   :  aa8 ; 
+ 20c   :  aa5 ; 
+ 20d   :  aa2 ; 
+ 20e   :  a9f ; 
+ 20f   :  a9c ; 
+ 210   :  a99 ; 
+ 211   :  a96 ; 
+ 212   :  a93 ; 
+ 213   :  a90 ; 
+ 214   :  a8d ; 
+ 215   :  a8a ; 
+ 216   :  a87 ; 
+ 217   :  a84 ; 
+ 218   :  a81 ; 
+ 219   :  a7e ; 
+ 21a   :  a7b ; 
+ 21b   :  a78 ; 
+ 21c   :  a75 ; 
+ 21d   :  a71 ; 
+ 21e   :  a6e ; 
+ 21f   :  a6b ; 
+ 220   :  a68 ; 
+ 221   :  a64 ; 
+ 222   :  a61 ; 
+ 223   :  a5e ; 
+ 224   :  a5a ; 
+ 225   :  a57 ; 
+ 226   :  a54 ; 
+ 227   :  a50 ; 
+ 228   :  a4d ; 
+ 229   :  a49 ; 
+ 22a   :  a46 ; 
+ 22b   :  a42 ; 
+ 22c   :  a3f ; 
+ 22d   :  a3b ; 
+ 22e   :  a38 ; 
+ 22f   :  a34 ; 
+ 230   :  a30 ; 
+ 231   :  a2d ; 
+ 232   :  a29 ; 
+ 233   :  a25 ; 
+ 234   :  a22 ; 
+ 235   :  a1e ; 
+ 236   :  a1a ; 
+ 237   :  a16 ; 
+ 238   :  a13 ; 
+ 239   :  a0f ; 
+ 23a   :  a0b ; 
+ 23b   :  a07 ; 
+ 23c   :  a03 ; 
+ 23d   :  a00 ; 
+ 23e   :  9fc ; 
+ 23f   :  9f8 ; 
+ 240   :  9f4 ; 
+ 241   :  9f0 ; 
+ 242   :  9ec ; 
+ 243   :  9e8 ; 
+ 244   :  9e4 ; 
+ 245   :  9e0 ; 
+ 246   :  9dc ; 
+ 247   :  9d8 ; 
+ 248   :  9d4 ; 
+ 249   :  9cf ; 
+ 24a   :  9cb ; 
+ 24b   :  9c7 ; 
+ 24c   :  9c3 ; 
+ 24d   :  9bf ; 
+ 24e   :  9bb ; 
+ 24f   :  9b6 ; 
+ 250   :  9b2 ; 
+ 251   :  9ae ; 
+ 252   :  9aa ; 
+ 253   :  9a5 ; 
+ 254   :  9a1 ; 
+ 255   :  99d ; 
+ 256   :  998 ; 
+ 257   :  994 ; 
+ 258   :  98f ; 
+ 259   :  98b ; 
+ 25a   :  987 ; 
+ 25b   :  982 ; 
+ 25c   :  97e ; 
+ 25d   :  979 ; 
+ 25e   :  975 ; 
+ 25f   :  970 ; 
+ 260   :  96c ; 
+ 261   :  967 ; 
+ 262   :  962 ; 
+ 263   :  95e ; 
+ 264   :  959 ; 
+ 265   :  955 ; 
+ 266   :  950 ; 
+ 267   :  94b ; 
+ 268   :  947 ; 
+ 269   :  942 ; 
+ 26a   :  93d ; 
+ 26b   :  939 ; 
+ 26c   :  934 ; 
+ 26d   :  92f ; 
+ 26e   :  92a ; 
+ 26f   :  925 ; 
+ 270   :  921 ; 
+ 271   :  91c ; 
+ 272   :  917 ; 
+ 273   :  912 ; 
+ 274   :  90d ; 
+ 275   :  908 ; 
+ 276   :  903 ; 
+ 277   :  8fe ; 
+ 278   :  8fa ; 
+ 279   :  8f5 ; 
+ 27a   :  8f0 ; 
+ 27b   :  8eb ; 
+ 27c   :  8e6 ; 
+ 27d   :  8e1 ; 
+ 27e   :  8dc ; 
+ 27f   :  8d6 ; 
+ 280   :  8d1 ; 
+ 281   :  8cc ; 
+ 282   :  8c7 ; 
+ 283   :  8c2 ; 
+ 284   :  8bd ; 
+ 285   :  8b8 ; 
+ 286   :  8b3 ; 
+ 287   :  8ad ; 
+ 288   :  8a8 ; 
+ 289   :  8a3 ; 
+ 28a   :  89e ; 
+ 28b   :  899 ; 
+ 28c   :  893 ; 
+ 28d   :  88e ; 
+ 28e   :  889 ; 
+ 28f   :  884 ; 
+ 290   :  87e ; 
+ 291   :  879 ; 
+ 292   :  874 ; 
+ 293   :  86e ; 
+ 294   :  869 ; 
+ 295   :  863 ; 
+ 296   :  85e ; 
+ 297   :  859 ; 
+ 298   :  853 ; 
+ 299   :  84e ; 
+ 29a   :  848 ; 
+ 29b   :  843 ; 
+ 29c   :  83d ; 
+ 29d   :  838 ; 
+ 29e   :  832 ; 
+ 29f   :  82d ; 
+ 2a0   :  827 ; 
+ 2a1   :  822 ; 
+ 2a2   :  81c ; 
+ 2a3   :  817 ; 
+ 2a4   :  811 ; 
+ 2a5   :  80c ; 
+ 2a6   :  806 ; 
+ 2a7   :  800 ; 
+ 2a8   :  7fb ; 
+ 2a9   :  7f5 ; 
+ 2aa   :  7ef ; 
+ 2ab   :  7ea ; 
+ 2ac   :  7e4 ; 
+ 2ad   :  7de ; 
+ 2ae   :  7d9 ; 
+ 2af   :  7d3 ; 
+ 2b0   :  7cd ; 
+ 2b1   :  7c8 ; 
+ 2b2   :  7c2 ; 
+ 2b3   :  7bc ; 
+ 2b4   :  7b6 ; 
+ 2b5   :  7b1 ; 
+ 2b6   :  7ab ; 
+ 2b7   :  7a5 ; 
+ 2b8   :  79f ; 
+ 2b9   :  799 ; 
+ 2ba   :  793 ; 
+ 2bb   :  78e ; 
+ 2bc   :  788 ; 
+ 2bd   :  782 ; 
+ 2be   :  77c ; 
+ 2bf   :  776 ; 
+ 2c0   :  770 ; 
+ 2c1   :  76a ; 
+ 2c2   :  764 ; 
+ 2c3   :  75e ; 
+ 2c4   :  758 ; 
+ 2c5   :  752 ; 
+ 2c6   :  74d ; 
+ 2c7   :  747 ; 
+ 2c8   :  741 ; 
+ 2c9   :  73b ; 
+ 2ca   :  735 ; 
+ 2cb   :  72f ; 
+ 2cc   :  728 ; 
+ 2cd   :  722 ; 
+ 2ce   :  71c ; 
+ 2cf   :  716 ; 
+ 2d0   :  710 ; 
+ 2d1   :  70a ; 
+ 2d2   :  704 ; 
+ 2d3   :  6fe ; 
+ 2d4   :  6f8 ; 
+ 2d5   :  6f2 ; 
+ 2d6   :  6ec ; 
+ 2d7   :  6e6 ; 
+ 2d8   :  6df ; 
+ 2d9   :  6d9 ; 
+ 2da   :  6d3 ; 
+ 2db   :  6cd ; 
+ 2dc   :  6c7 ; 
+ 2dd   :  6c1 ; 
+ 2de   :  6ba ; 
+ 2df   :  6b4 ; 
+ 2e0   :  6ae ; 
+ 2e1   :  6a8 ; 
+ 2e2   :  6a2 ; 
+ 2e3   :  69b ; 
+ 2e4   :  695 ; 
+ 2e5   :  68f ; 
+ 2e6   :  689 ; 
+ 2e7   :  682 ; 
+ 2e8   :  67c ; 
+ 2e9   :  676 ; 
+ 2ea   :  66f ; 
+ 2eb   :  669 ; 
+ 2ec   :  663 ; 
+ 2ed   :  65d ; 
+ 2ee   :  656 ; 
+ 2ef   :  650 ; 
+ 2f0   :  64a ; 
+ 2f1   :  643 ; 
+ 2f2   :  63d ; 
+ 2f3   :  637 ; 
+ 2f4   :  630 ; 
+ 2f5   :  62a ; 
+ 2f6   :  623 ; 
+ 2f7   :  61d ; 
+ 2f8   :  617 ; 
+ 2f9   :  610 ; 
+ 2fa   :  60a ; 
+ 2fb   :  603 ; 
+ 2fc   :  5fd ; 
+ 2fd   :  5f7 ; 
+ 2fe   :  5f0 ; 
+ 2ff   :  5ea ; 
+ 300   :  5e3 ; 
+ 301   :  5dd ; 
+ 302   :  5d6 ; 
+ 303   :  5d0 ; 
+ 304   :  5ca ; 
+ 305   :  5c3 ; 
+ 306   :  5bd ; 
+ 307   :  5b6 ; 
+ 308   :  5b0 ; 
+ 309   :  5a9 ; 
+ 30a   :  5a3 ; 
+ 30b   :  59c ; 
+ 30c   :  596 ; 
+ 30d   :  58f ; 
+ 30e   :  589 ; 
+ 30f   :  582 ; 
+ 310   :  57c ; 
+ 311   :  575 ; 
+ 312   :  56e ; 
+ 313   :  568 ; 
+ 314   :  561 ; 
+ 315   :  55b ; 
+ 316   :  554 ; 
+ 317   :  54e ; 
+ 318   :  547 ; 
+ 319   :  541 ; 
+ 31a   :  53a ; 
+ 31b   :  533 ; 
+ 31c   :  52d ; 
+ 31d   :  526 ; 
+ 31e   :  520 ; 
+ 31f   :  519 ; 
+ 320   :  513 ; 
+ 321   :  50c ; 
+ 322   :  505 ; 
+ 323   :  4ff ; 
+ 324   :  4f8 ; 
+ 325   :  4f2 ; 
+ 326   :  4eb ; 
+ 327   :  4e4 ; 
+ 328   :  4de ; 
+ 329   :  4d7 ; 
+ 32a   :  4d0 ; 
+ 32b   :  4ca ; 
+ 32c   :  4c3 ; 
+ 32d   :  4bd ; 
+ 32e   :  4b6 ; 
+ 32f   :  4af ; 
+ 330   :  4a9 ; 
+ 331   :  4a2 ; 
+ 332   :  49b ; 
+ 333   :  495 ; 
+ 334   :  48e ; 
+ 335   :  487 ; 
+ 336   :  481 ; 
+ 337   :  47a ; 
+ 338   :  473 ; 
+ 339   :  46d ; 
+ 33a   :  466 ; 
+ 33b   :  45f ; 
+ 33c   :  459 ; 
+ 33d   :  452 ; 
+ 33e   :  44b ; 
+ 33f   :  445 ; 
+ 340   :  43e ; 
+ 341   :  437 ; 
+ 342   :  431 ; 
+ 343   :  42a ; 
+ 344   :  423 ; 
+ 345   :  41d ; 
+ 346   :  416 ; 
+ 347   :  40f ; 
+ 348   :  409 ; 
+ 349   :  402 ; 
+ 34a   :  3fb ; 
+ 34b   :  3f5 ; 
+ 34c   :  3ee ; 
+ 34d   :  3e7 ; 
+ 34e   :  3e1 ; 
+ 34f   :  3da ; 
+ 350   :  3d3 ; 
+ 351   :  3cc ; 
+ 352   :  3c6 ; 
+ 353   :  3bf ; 
+ 354   :  3b8 ; 
+ 355   :  3b2 ; 
+ 356   :  3ab ; 
+ 357   :  3a4 ; 
+ 358   :  39e ; 
+ 359   :  397 ; 
+ 35a   :  390 ; 
+ 35b   :  38a ; 
+ 35c   :  383 ; 
+ 35d   :  37c ; 
+ 35e   :  376 ; 
+ 35f   :  36f ; 
+ 360   :  368 ; 
+ 361   :  362 ; 
+ 362   :  35b ; 
+ 363   :  354 ; 
+ 364   :  34d ; 
+ 365   :  347 ; 
+ 366   :  340 ; 
+ 367   :  339 ; 
+ 368   :  333 ; 
+ 369   :  32c ; 
+ 36a   :  325 ; 
+ 36b   :  31f ; 
+ 36c   :  318 ; 
+ 36d   :  311 ; 
+ 36e   :  30b ; 
+ 36f   :  304 ; 
+ 370   :  2fd ; 
+ 371   :  2f7 ; 
+ 372   :  2f0 ; 
+ 373   :  2e9 ; 
+ 374   :  2e3 ; 
+ 375   :  2dc ; 
+ 376   :  2d5 ; 
+ 377   :  2cf ; 
+ 378   :  2c8 ; 
+ 379   :  2c2 ; 
+ 37a   :  2bb ; 
+ 37b   :  2b4 ; 
+ 37c   :  2ae ; 
+ 37d   :  2a7 ; 
+ 37e   :  2a0 ; 
+ 37f   :  29a ; 
+ 380   :  293 ; 
+ 381   :  28c ; 
+ 382   :  286 ; 
+ 383   :  27f ; 
+ 384   :  279 ; 
+ 385   :  272 ; 
+ 386   :  26b ; 
+ 387   :  265 ; 
+ 388   :  25e ; 
+ 389   :  258 ; 
+ 38a   :  251 ; 
+ 38b   :  24a ; 
+ 38c   :  244 ; 
+ 38d   :  23d ; 
+ 38e   :  237 ; 
+ 38f   :  230 ; 
+ 390   :  229 ; 
+ 391   :  223 ; 
+ 392   :  21c ; 
+ 393   :  216 ; 
+ 394   :  20f ; 
+ 395   :  209 ; 
+ 396   :  202 ; 
+ 397   :  1fc ; 
+ 398   :  1f5 ; 
+ 399   :  1ee ; 
+ 39a   :  1e8 ; 
+ 39b   :  1e1 ; 
+ 39c   :  1db ; 
+ 39d   :  1d4 ; 
+ 39e   :  1ce ; 
+ 39f   :  1c7 ; 
+ 3a0   :  1c1 ; 
+ 3a1   :  1ba ; 
+ 3a2   :  1b4 ; 
+ 3a3   :  1ad ; 
+ 3a4   :  1a7 ; 
+ 3a5   :  1a0 ; 
+ 3a6   :  19a ; 
+ 3a7   :  193 ; 
+ 3a8   :  18d ; 
+ 3a9   :  186 ; 
+ 3aa   :  180 ; 
+ 3ab   :  17a ; 
+ 3ac   :  173 ; 
+ 3ad   :  16d ; 
+ 3ae   :  166 ; 
+ 3af   :  160 ; 
+ 3b0   :  159 ; 
+ 3b1   :  153 ; 
+ 3b2   :  14d ; 
+ 3b3   :  146 ; 
+ 3b4   :  140 ; 
+ 3b5   :  139 ; 
+ 3b6   :  133 ; 
+ 3b7   :  12d ; 
+ 3b8   :  126 ; 
+ 3b9   :  120 ; 
+ 3ba   :  119 ; 
+ 3bb   :  113 ; 
+ 3bc   :  10d ; 
+ 3bd   :  106 ; 
+ 3be   :  100 ; 
+ 3bf   :  fa ; 
+ 3c0   :  f3 ; 
+ 3c1   :  ed ; 
+ 3c2   :  e7 ; 
+ 3c3   :  e0 ; 
+ 3c4   :  da ; 
+ 3c5   :  d4 ; 
+ 3c6   :  ce ; 
+ 3c7   :  c7 ; 
+ 3c8   :  c1 ; 
+ 3c9   :  bb ; 
+ 3ca   :  b4 ; 
+ 3cb   :  ae ; 
+ 3cc   :  a8 ; 
+ 3cd   :  a2 ; 
+ 3ce   :  9c ; 
+ 3cf   :  95 ; 
+ 3d0   :  8f ; 
+ 3d1   :  89 ; 
+ 3d2   :  83 ; 
+ 3d3   :  7c ; 
+ 3d4   :  76 ; 
+ 3d5   :  70 ; 
+ 3d6   :  6a ; 
+ 3d7   :  64 ; 
+ 3d8   :  5e ; 
+ 3d9   :  57 ; 
+ 3da   :  51 ; 
+ 3db   :  4b ; 
+ 3dc   :  45 ; 
+ 3dd   :  3f ; 
+ 3de   :  39 ; 
+ 3df   :  33 ; 
+ 3e0   :  2d ; 
+ 3e1   :  27 ; 
+ 3e2   :  21 ; 
+ 3e3   :  1a ; 
+ 3e4   :  14 ; 
+ 3e5   :  e ; 
+ 3e6   :  8 ; 
+ 3e7   :  2 ; 
+ 3e8   :  fffc ; 
+ 3e9   :  fff6 ; 
+ 3ea   :  fff0 ; 
+ 3eb   :  ffea ; 
+ 3ec   :  ffe4 ; 
+ 3ed   :  ffde ; 
+ 3ee   :  ffd8 ; 
+ 3ef   :  ffd2 ; 
+ 3f0   :  ffcc ; 
+ 3f1   :  ffc6 ; 
+ 3f2   :  ffc0 ; 
+ 3f3   :  ffbb ; 
+ 3f4   :  ffb5 ; 
+ 3f5   :  ffaf ; 
+ 3f6   :  ffa9 ; 
+ 3f7   :  ffa3 ; 
+ 3f8   :  ff9d ; 
+ 3f9   :  ff97 ; 
+ 3fa   :  ff91 ; 
+ 3fb   :  ff8b ; 
+ 3fc   :  ff86 ; 
+ 3fd   :  ff80 ; 
+ 3fe   :  ff7a ; 
+ 3ff   :  ff74 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_6.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_6.mif
new file mode 100644
index 0000000000000000000000000000000000000000..73ba26830318c23d4c179c88c2a993b51b4b5c29
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_6.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  fec8 ; 
+ 1   :  feaa ; 
+ 2   :  fe8d ; 
+ 3   :  fe6f ; 
+ 4   :  fe52 ; 
+ 5   :  fe35 ; 
+ 6   :  fe18 ; 
+ 7   :  fdfa ; 
+ 8   :  fddd ; 
+ 9   :  fdc0 ; 
+ a   :  fda3 ; 
+ b   :  fd86 ; 
+ c   :  fd69 ; 
+ d   :  fd4d ; 
+ e   :  fd30 ; 
+ f   :  fd13 ; 
+ 10   :  fcf7 ; 
+ 11   :  fcda ; 
+ 12   :  fcbe ; 
+ 13   :  fca2 ; 
+ 14   :  fc85 ; 
+ 15   :  fc69 ; 
+ 16   :  fc4d ; 
+ 17   :  fc31 ; 
+ 18   :  fc15 ; 
+ 19   :  fbf9 ; 
+ 1a   :  fbdd ; 
+ 1b   :  fbc1 ; 
+ 1c   :  fba6 ; 
+ 1d   :  fb8a ; 
+ 1e   :  fb6e ; 
+ 1f   :  fb53 ; 
+ 20   :  fb38 ; 
+ 21   :  fb1c ; 
+ 22   :  fb01 ; 
+ 23   :  fae6 ; 
+ 24   :  facb ; 
+ 25   :  fab0 ; 
+ 26   :  fa95 ; 
+ 27   :  fa7a ; 
+ 28   :  fa5f ; 
+ 29   :  fa44 ; 
+ 2a   :  fa29 ; 
+ 2b   :  fa0f ; 
+ 2c   :  f9f4 ; 
+ 2d   :  f9da ; 
+ 2e   :  f9bf ; 
+ 2f   :  f9a5 ; 
+ 30   :  f98b ; 
+ 31   :  f970 ; 
+ 32   :  f956 ; 
+ 33   :  f93c ; 
+ 34   :  f922 ; 
+ 35   :  f908 ; 
+ 36   :  f8ee ; 
+ 37   :  f8d5 ; 
+ 38   :  f8bb ; 
+ 39   :  f8a1 ; 
+ 3a   :  f888 ; 
+ 3b   :  f86e ; 
+ 3c   :  f855 ; 
+ 3d   :  f83c ; 
+ 3e   :  f822 ; 
+ 3f   :  f809 ; 
+ 40   :  f7f0 ; 
+ 41   :  f7d7 ; 
+ 42   :  f7be ; 
+ 43   :  f7a5 ; 
+ 44   :  f78c ; 
+ 45   :  f773 ; 
+ 46   :  f75b ; 
+ 47   :  f742 ; 
+ 48   :  f72a ; 
+ 49   :  f711 ; 
+ 4a   :  f6f9 ; 
+ 4b   :  f6e1 ; 
+ 4c   :  f6c8 ; 
+ 4d   :  f6b0 ; 
+ 4e   :  f698 ; 
+ 4f   :  f680 ; 
+ 50   :  f668 ; 
+ 51   :  f650 ; 
+ 52   :  f639 ; 
+ 53   :  f621 ; 
+ 54   :  f609 ; 
+ 55   :  f5f2 ; 
+ 56   :  f5da ; 
+ 57   :  f5c3 ; 
+ 58   :  f5ab ; 
+ 59   :  f594 ; 
+ 5a   :  f57d ; 
+ 5b   :  f566 ; 
+ 5c   :  f54f ; 
+ 5d   :  f538 ; 
+ 5e   :  f521 ; 
+ 5f   :  f50a ; 
+ 60   :  f4f3 ; 
+ 61   :  f4dd ; 
+ 62   :  f4c6 ; 
+ 63   :  f4b0 ; 
+ 64   :  f499 ; 
+ 65   :  f483 ; 
+ 66   :  f46d ; 
+ 67   :  f456 ; 
+ 68   :  f440 ; 
+ 69   :  f42a ; 
+ 6a   :  f414 ; 
+ 6b   :  f3fe ; 
+ 6c   :  f3e8 ; 
+ 6d   :  f3d3 ; 
+ 6e   :  f3bd ; 
+ 6f   :  f3a7 ; 
+ 70   :  f392 ; 
+ 71   :  f37c ; 
+ 72   :  f367 ; 
+ 73   :  f352 ; 
+ 74   :  f33c ; 
+ 75   :  f327 ; 
+ 76   :  f312 ; 
+ 77   :  f2fd ; 
+ 78   :  f2e8 ; 
+ 79   :  f2d3 ; 
+ 7a   :  f2bf ; 
+ 7b   :  f2aa ; 
+ 7c   :  f295 ; 
+ 7d   :  f281 ; 
+ 7e   :  f26c ; 
+ 7f   :  f258 ; 
+ 80   :  f244 ; 
+ 81   :  f22f ; 
+ 82   :  f21b ; 
+ 83   :  f207 ; 
+ 84   :  f1f3 ; 
+ 85   :  f1df ; 
+ 86   :  f1cb ; 
+ 87   :  f1b8 ; 
+ 88   :  f1a4 ; 
+ 89   :  f190 ; 
+ 8a   :  f17d ; 
+ 8b   :  f169 ; 
+ 8c   :  f156 ; 
+ 8d   :  f142 ; 
+ 8e   :  f12f ; 
+ 8f   :  f11c ; 
+ 90   :  f109 ; 
+ 91   :  f0f6 ; 
+ 92   :  f0e3 ; 
+ 93   :  f0d0 ; 
+ 94   :  f0bd ; 
+ 95   :  f0aa ; 
+ 96   :  f098 ; 
+ 97   :  f085 ; 
+ 98   :  f073 ; 
+ 99   :  f060 ; 
+ 9a   :  f04e ; 
+ 9b   :  f03c ; 
+ 9c   :  f02a ; 
+ 9d   :  f017 ; 
+ 9e   :  f005 ; 
+ 9f   :  eff4 ; 
+ a0   :  efe2 ; 
+ a1   :  efd0 ; 
+ a2   :  efbe ; 
+ a3   :  efac ; 
+ a4   :  ef9b ; 
+ a5   :  ef89 ; 
+ a6   :  ef78 ; 
+ a7   :  ef67 ; 
+ a8   :  ef55 ; 
+ a9   :  ef44 ; 
+ aa   :  ef33 ; 
+ ab   :  ef22 ; 
+ ac   :  ef11 ; 
+ ad   :  ef00 ; 
+ ae   :  eeef ; 
+ af   :  eedf ; 
+ b0   :  eece ; 
+ b1   :  eebd ; 
+ b2   :  eead ; 
+ b3   :  ee9c ; 
+ b4   :  ee8c ; 
+ b5   :  ee7c ; 
+ b6   :  ee6c ; 
+ b7   :  ee5c ; 
+ b8   :  ee4c ; 
+ b9   :  ee3c ; 
+ ba   :  ee2c ; 
+ bb   :  ee1c ; 
+ bc   :  ee0c ; 
+ bd   :  edfc ; 
+ be   :  eded ; 
+ bf   :  eddd ; 
+ c0   :  edce ; 
+ c1   :  edbf ; 
+ c2   :  edaf ; 
+ c3   :  eda0 ; 
+ c4   :  ed91 ; 
+ c5   :  ed82 ; 
+ c6   :  ed73 ; 
+ c7   :  ed64 ; 
+ c8   :  ed55 ; 
+ c9   :  ed46 ; 
+ ca   :  ed38 ; 
+ cb   :  ed29 ; 
+ cc   :  ed1b ; 
+ cd   :  ed0c ; 
+ ce   :  ecfe ; 
+ cf   :  ecf0 ; 
+ d0   :  ece1 ; 
+ d1   :  ecd3 ; 
+ d2   :  ecc5 ; 
+ d3   :  ecb7 ; 
+ d4   :  eca9 ; 
+ d5   :  ec9c ; 
+ d6   :  ec8e ; 
+ d7   :  ec80 ; 
+ d8   :  ec72 ; 
+ d9   :  ec65 ; 
+ da   :  ec58 ; 
+ db   :  ec4a ; 
+ dc   :  ec3d ; 
+ dd   :  ec30 ; 
+ de   :  ec22 ; 
+ df   :  ec15 ; 
+ e0   :  ec08 ; 
+ e1   :  ebfb ; 
+ e2   :  ebef ; 
+ e3   :  ebe2 ; 
+ e4   :  ebd5 ; 
+ e5   :  ebc9 ; 
+ e6   :  ebbc ; 
+ e7   :  ebb0 ; 
+ e8   :  eba3 ; 
+ e9   :  eb97 ; 
+ ea   :  eb8b ; 
+ eb   :  eb7e ; 
+ ec   :  eb72 ; 
+ ed   :  eb66 ; 
+ ee   :  eb5a ; 
+ ef   :  eb4f ; 
+ f0   :  eb43 ; 
+ f1   :  eb37 ; 
+ f2   :  eb2b ; 
+ f3   :  eb20 ; 
+ f4   :  eb14 ; 
+ f5   :  eb09 ; 
+ f6   :  eafe ; 
+ f7   :  eaf2 ; 
+ f8   :  eae7 ; 
+ f9   :  eadc ; 
+ fa   :  ead1 ; 
+ fb   :  eac6 ; 
+ fc   :  eabb ; 
+ fd   :  eab0 ; 
+ fe   :  eaa6 ; 
+ ff   :  ea9b ; 
+ 100   :  ea90 ; 
+ 101   :  ea86 ; 
+ 102   :  ea7b ; 
+ 103   :  ea71 ; 
+ 104   :  ea67 ; 
+ 105   :  ea5d ; 
+ 106   :  ea52 ; 
+ 107   :  ea48 ; 
+ 108   :  ea3e ; 
+ 109   :  ea34 ; 
+ 10a   :  ea2b ; 
+ 10b   :  ea21 ; 
+ 10c   :  ea17 ; 
+ 10d   :  ea0e ; 
+ 10e   :  ea04 ; 
+ 10f   :  e9fb ; 
+ 110   :  e9f1 ; 
+ 111   :  e9e8 ; 
+ 112   :  e9df ; 
+ 113   :  e9d5 ; 
+ 114   :  e9cc ; 
+ 115   :  e9c3 ; 
+ 116   :  e9ba ; 
+ 117   :  e9b1 ; 
+ 118   :  e9a9 ; 
+ 119   :  e9a0 ; 
+ 11a   :  e997 ; 
+ 11b   :  e98f ; 
+ 11c   :  e986 ; 
+ 11d   :  e97e ; 
+ 11e   :  e975 ; 
+ 11f   :  e96d ; 
+ 120   :  e965 ; 
+ 121   :  e95d ; 
+ 122   :  e955 ; 
+ 123   :  e94d ; 
+ 124   :  e945 ; 
+ 125   :  e93d ; 
+ 126   :  e935 ; 
+ 127   :  e92d ; 
+ 128   :  e926 ; 
+ 129   :  e91e ; 
+ 12a   :  e916 ; 
+ 12b   :  e90f ; 
+ 12c   :  e908 ; 
+ 12d   :  e900 ; 
+ 12e   :  e8f9 ; 
+ 12f   :  e8f2 ; 
+ 130   :  e8eb ; 
+ 131   :  e8e4 ; 
+ 132   :  e8dd ; 
+ 133   :  e8d6 ; 
+ 134   :  e8cf ; 
+ 135   :  e8c9 ; 
+ 136   :  e8c2 ; 
+ 137   :  e8bc ; 
+ 138   :  e8b5 ; 
+ 139   :  e8af ; 
+ 13a   :  e8a8 ; 
+ 13b   :  e8a2 ; 
+ 13c   :  e89c ; 
+ 13d   :  e896 ; 
+ 13e   :  e890 ; 
+ 13f   :  e88a ; 
+ 140   :  e884 ; 
+ 141   :  e87e ; 
+ 142   :  e878 ; 
+ 143   :  e872 ; 
+ 144   :  e86d ; 
+ 145   :  e867 ; 
+ 146   :  e862 ; 
+ 147   :  e85c ; 
+ 148   :  e857 ; 
+ 149   :  e852 ; 
+ 14a   :  e84c ; 
+ 14b   :  e847 ; 
+ 14c   :  e842 ; 
+ 14d   :  e83d ; 
+ 14e   :  e838 ; 
+ 14f   :  e833 ; 
+ 150   :  e82e ; 
+ 151   :  e82a ; 
+ 152   :  e825 ; 
+ 153   :  e820 ; 
+ 154   :  e81c ; 
+ 155   :  e817 ; 
+ 156   :  e813 ; 
+ 157   :  e80f ; 
+ 158   :  e80b ; 
+ 159   :  e806 ; 
+ 15a   :  e802 ; 
+ 15b   :  e7fe ; 
+ 15c   :  e7fa ; 
+ 15d   :  e7f6 ; 
+ 15e   :  e7f2 ; 
+ 15f   :  e7ef ; 
+ 160   :  e7eb ; 
+ 161   :  e7e7 ; 
+ 162   :  e7e4 ; 
+ 163   :  e7e0 ; 
+ 164   :  e7dd ; 
+ 165   :  e7da ; 
+ 166   :  e7d6 ; 
+ 167   :  e7d3 ; 
+ 168   :  e7d0 ; 
+ 169   :  e7cd ; 
+ 16a   :  e7ca ; 
+ 16b   :  e7c7 ; 
+ 16c   :  e7c4 ; 
+ 16d   :  e7c1 ; 
+ 16e   :  e7be ; 
+ 16f   :  e7bc ; 
+ 170   :  e7b9 ; 
+ 171   :  e7b6 ; 
+ 172   :  e7b4 ; 
+ 173   :  e7b2 ; 
+ 174   :  e7af ; 
+ 175   :  e7ad ; 
+ 176   :  e7ab ; 
+ 177   :  e7a8 ; 
+ 178   :  e7a6 ; 
+ 179   :  e7a4 ; 
+ 17a   :  e7a2 ; 
+ 17b   :  e7a1 ; 
+ 17c   :  e79f ; 
+ 17d   :  e79d ; 
+ 17e   :  e79b ; 
+ 17f   :  e79a ; 
+ 180   :  e798 ; 
+ 181   :  e797 ; 
+ 182   :  e795 ; 
+ 183   :  e794 ; 
+ 184   :  e792 ; 
+ 185   :  e791 ; 
+ 186   :  e790 ; 
+ 187   :  e78f ; 
+ 188   :  e78e ; 
+ 189   :  e78d ; 
+ 18a   :  e78c ; 
+ 18b   :  e78b ; 
+ 18c   :  e78a ; 
+ 18d   :  e78a ; 
+ 18e   :  e789 ; 
+ 18f   :  e788 ; 
+ 190   :  e788 ; 
+ 191   :  e787 ; 
+ 192   :  e787 ; 
+ 193   :  e787 ; 
+ 194   :  e786 ; 
+ 195   :  e786 ; 
+ 196   :  e786 ; 
+ 197   :  e786 ; 
+ 198   :  e786 ; 
+ 199   :  e786 ; 
+ 19a   :  e786 ; 
+ 19b   :  e786 ; 
+ 19c   :  e786 ; 
+ 19d   :  e786 ; 
+ 19e   :  e787 ; 
+ 19f   :  e787 ; 
+ 1a0   :  e788 ; 
+ 1a1   :  e788 ; 
+ 1a2   :  e789 ; 
+ 1a3   :  e789 ; 
+ 1a4   :  e78a ; 
+ 1a5   :  e78b ; 
+ 1a6   :  e78c ; 
+ 1a7   :  e78d ; 
+ 1a8   :  e78e ; 
+ 1a9   :  e78f ; 
+ 1aa   :  e790 ; 
+ 1ab   :  e791 ; 
+ 1ac   :  e792 ; 
+ 1ad   :  e793 ; 
+ 1ae   :  e795 ; 
+ 1af   :  e796 ; 
+ 1b0   :  e797 ; 
+ 1b1   :  e799 ; 
+ 1b2   :  e79a ; 
+ 1b3   :  e79c ; 
+ 1b4   :  e79e ; 
+ 1b5   :  e7a0 ; 
+ 1b6   :  e7a1 ; 
+ 1b7   :  e7a3 ; 
+ 1b8   :  e7a5 ; 
+ 1b9   :  e7a7 ; 
+ 1ba   :  e7a9 ; 
+ 1bb   :  e7ab ; 
+ 1bc   :  e7ad ; 
+ 1bd   :  e7b0 ; 
+ 1be   :  e7b2 ; 
+ 1bf   :  e7b4 ; 
+ 1c0   :  e7b7 ; 
+ 1c1   :  e7b9 ; 
+ 1c2   :  e7bb ; 
+ 1c3   :  e7be ; 
+ 1c4   :  e7c1 ; 
+ 1c5   :  e7c3 ; 
+ 1c6   :  e7c6 ; 
+ 1c7   :  e7c9 ; 
+ 1c8   :  e7cc ; 
+ 1c9   :  e7cf ; 
+ 1ca   :  e7d1 ; 
+ 1cb   :  e7d4 ; 
+ 1cc   :  e7d8 ; 
+ 1cd   :  e7db ; 
+ 1ce   :  e7de ; 
+ 1cf   :  e7e1 ; 
+ 1d0   :  e7e4 ; 
+ 1d1   :  e7e8 ; 
+ 1d2   :  e7eb ; 
+ 1d3   :  e7ef ; 
+ 1d4   :  e7f2 ; 
+ 1d5   :  e7f6 ; 
+ 1d6   :  e7f9 ; 
+ 1d7   :  e7fd ; 
+ 1d8   :  e801 ; 
+ 1d9   :  e805 ; 
+ 1da   :  e808 ; 
+ 1db   :  e80c ; 
+ 1dc   :  e810 ; 
+ 1dd   :  e814 ; 
+ 1de   :  e818 ; 
+ 1df   :  e81c ; 
+ 1e0   :  e821 ; 
+ 1e1   :  e825 ; 
+ 1e2   :  e829 ; 
+ 1e3   :  e82d ; 
+ 1e4   :  e832 ; 
+ 1e5   :  e836 ; 
+ 1e6   :  e83b ; 
+ 1e7   :  e83f ; 
+ 1e8   :  e844 ; 
+ 1e9   :  e849 ; 
+ 1ea   :  e84d ; 
+ 1eb   :  e852 ; 
+ 1ec   :  e857 ; 
+ 1ed   :  e85c ; 
+ 1ee   :  e861 ; 
+ 1ef   :  e866 ; 
+ 1f0   :  e86b ; 
+ 1f1   :  e870 ; 
+ 1f2   :  e875 ; 
+ 1f3   :  e87a ; 
+ 1f4   :  e87f ; 
+ 1f5   :  e884 ; 
+ 1f6   :  e88a ; 
+ 1f7   :  e88f ; 
+ 1f8   :  e895 ; 
+ 1f9   :  e89a ; 
+ 1fa   :  e8a0 ; 
+ 1fb   :  e8a5 ; 
+ 1fc   :  e8ab ; 
+ 1fd   :  e8b1 ; 
+ 1fe   :  e8b6 ; 
+ 1ff   :  e8bc ; 
+ 200   :  e8c2 ; 
+ 201   :  e8c8 ; 
+ 202   :  e8ce ; 
+ 203   :  e8d4 ; 
+ 204   :  e8da ; 
+ 205   :  e8e0 ; 
+ 206   :  e8e6 ; 
+ 207   :  e8ec ; 
+ 208   :  e8f2 ; 
+ 209   :  e8f9 ; 
+ 20a   :  e8ff ; 
+ 20b   :  e905 ; 
+ 20c   :  e90c ; 
+ 20d   :  e912 ; 
+ 20e   :  e919 ; 
+ 20f   :  e91f ; 
+ 210   :  e926 ; 
+ 211   :  e92c ; 
+ 212   :  e933 ; 
+ 213   :  e93a ; 
+ 214   :  e941 ; 
+ 215   :  e948 ; 
+ 216   :  e94e ; 
+ 217   :  e955 ; 
+ 218   :  e95c ; 
+ 219   :  e963 ; 
+ 21a   :  e96b ; 
+ 21b   :  e972 ; 
+ 21c   :  e979 ; 
+ 21d   :  e980 ; 
+ 21e   :  e987 ; 
+ 21f   :  e98f ; 
+ 220   :  e996 ; 
+ 221   :  e99d ; 
+ 222   :  e9a5 ; 
+ 223   :  e9ac ; 
+ 224   :  e9b4 ; 
+ 225   :  e9bb ; 
+ 226   :  e9c3 ; 
+ 227   :  e9cb ; 
+ 228   :  e9d2 ; 
+ 229   :  e9da ; 
+ 22a   :  e9e2 ; 
+ 22b   :  e9ea ; 
+ 22c   :  e9f2 ; 
+ 22d   :  e9f9 ; 
+ 22e   :  ea01 ; 
+ 22f   :  ea09 ; 
+ 230   :  ea12 ; 
+ 231   :  ea1a ; 
+ 232   :  ea22 ; 
+ 233   :  ea2a ; 
+ 234   :  ea32 ; 
+ 235   :  ea3a ; 
+ 236   :  ea43 ; 
+ 237   :  ea4b ; 
+ 238   :  ea53 ; 
+ 239   :  ea5c ; 
+ 23a   :  ea64 ; 
+ 23b   :  ea6d ; 
+ 23c   :  ea75 ; 
+ 23d   :  ea7e ; 
+ 23e   :  ea87 ; 
+ 23f   :  ea8f ; 
+ 240   :  ea98 ; 
+ 241   :  eaa1 ; 
+ 242   :  eaaa ; 
+ 243   :  eab2 ; 
+ 244   :  eabb ; 
+ 245   :  eac4 ; 
+ 246   :  eacd ; 
+ 247   :  ead6 ; 
+ 248   :  eadf ; 
+ 249   :  eae8 ; 
+ 24a   :  eaf1 ; 
+ 24b   :  eafb ; 
+ 24c   :  eb04 ; 
+ 24d   :  eb0d ; 
+ 24e   :  eb16 ; 
+ 24f   :  eb20 ; 
+ 250   :  eb29 ; 
+ 251   :  eb32 ; 
+ 252   :  eb3c ; 
+ 253   :  eb45 ; 
+ 254   :  eb4f ; 
+ 255   :  eb58 ; 
+ 256   :  eb62 ; 
+ 257   :  eb6b ; 
+ 258   :  eb75 ; 
+ 259   :  eb7f ; 
+ 25a   :  eb88 ; 
+ 25b   :  eb92 ; 
+ 25c   :  eb9c ; 
+ 25d   :  eba6 ; 
+ 25e   :  ebb0 ; 
+ 25f   :  ebba ; 
+ 260   :  ebc4 ; 
+ 261   :  ebce ; 
+ 262   :  ebd8 ; 
+ 263   :  ebe2 ; 
+ 264   :  ebec ; 
+ 265   :  ebf6 ; 
+ 266   :  ec00 ; 
+ 267   :  ec0a ; 
+ 268   :  ec14 ; 
+ 269   :  ec1f ; 
+ 26a   :  ec29 ; 
+ 26b   :  ec33 ; 
+ 26c   :  ec3e ; 
+ 26d   :  ec48 ; 
+ 26e   :  ec52 ; 
+ 26f   :  ec5d ; 
+ 270   :  ec67 ; 
+ 271   :  ec72 ; 
+ 272   :  ec7c ; 
+ 273   :  ec87 ; 
+ 274   :  ec92 ; 
+ 275   :  ec9c ; 
+ 276   :  eca7 ; 
+ 277   :  ecb2 ; 
+ 278   :  ecbc ; 
+ 279   :  ecc7 ; 
+ 27a   :  ecd2 ; 
+ 27b   :  ecdd ; 
+ 27c   :  ece8 ; 
+ 27d   :  ecf3 ; 
+ 27e   :  ecfd ; 
+ 27f   :  ed08 ; 
+ 280   :  ed13 ; 
+ 281   :  ed1e ; 
+ 282   :  ed2a ; 
+ 283   :  ed35 ; 
+ 284   :  ed40 ; 
+ 285   :  ed4b ; 
+ 286   :  ed56 ; 
+ 287   :  ed61 ; 
+ 288   :  ed6d ; 
+ 289   :  ed78 ; 
+ 28a   :  ed83 ; 
+ 28b   :  ed8e ; 
+ 28c   :  ed9a ; 
+ 28d   :  eda5 ; 
+ 28e   :  edb1 ; 
+ 28f   :  edbc ; 
+ 290   :  edc7 ; 
+ 291   :  edd3 ; 
+ 292   :  eddf ; 
+ 293   :  edea ; 
+ 294   :  edf6 ; 
+ 295   :  ee01 ; 
+ 296   :  ee0d ; 
+ 297   :  ee19 ; 
+ 298   :  ee24 ; 
+ 299   :  ee30 ; 
+ 29a   :  ee3c ; 
+ 29b   :  ee47 ; 
+ 29c   :  ee53 ; 
+ 29d   :  ee5f ; 
+ 29e   :  ee6b ; 
+ 29f   :  ee77 ; 
+ 2a0   :  ee83 ; 
+ 2a1   :  ee8f ; 
+ 2a2   :  ee9b ; 
+ 2a3   :  eea7 ; 
+ 2a4   :  eeb3 ; 
+ 2a5   :  eebf ; 
+ 2a6   :  eecb ; 
+ 2a7   :  eed7 ; 
+ 2a8   :  eee3 ; 
+ 2a9   :  eeef ; 
+ 2aa   :  eefb ; 
+ 2ab   :  ef07 ; 
+ 2ac   :  ef14 ; 
+ 2ad   :  ef20 ; 
+ 2ae   :  ef2c ; 
+ 2af   :  ef38 ; 
+ 2b0   :  ef45 ; 
+ 2b1   :  ef51 ; 
+ 2b2   :  ef5d ; 
+ 2b3   :  ef6a ; 
+ 2b4   :  ef76 ; 
+ 2b5   :  ef82 ; 
+ 2b6   :  ef8f ; 
+ 2b7   :  ef9b ; 
+ 2b8   :  efa8 ; 
+ 2b9   :  efb4 ; 
+ 2ba   :  efc1 ; 
+ 2bb   :  efcd ; 
+ 2bc   :  efda ; 
+ 2bd   :  efe6 ; 
+ 2be   :  eff3 ; 
+ 2bf   :  f000 ; 
+ 2c0   :  f00c ; 
+ 2c1   :  f019 ; 
+ 2c2   :  f026 ; 
+ 2c3   :  f032 ; 
+ 2c4   :  f03f ; 
+ 2c5   :  f04c ; 
+ 2c6   :  f059 ; 
+ 2c7   :  f065 ; 
+ 2c8   :  f072 ; 
+ 2c9   :  f07f ; 
+ 2ca   :  f08c ; 
+ 2cb   :  f099 ; 
+ 2cc   :  f0a6 ; 
+ 2cd   :  f0b3 ; 
+ 2ce   :  f0bf ; 
+ 2cf   :  f0cc ; 
+ 2d0   :  f0d9 ; 
+ 2d1   :  f0e6 ; 
+ 2d2   :  f0f3 ; 
+ 2d3   :  f100 ; 
+ 2d4   :  f10d ; 
+ 2d5   :  f11a ; 
+ 2d6   :  f128 ; 
+ 2d7   :  f135 ; 
+ 2d8   :  f142 ; 
+ 2d9   :  f14f ; 
+ 2da   :  f15c ; 
+ 2db   :  f169 ; 
+ 2dc   :  f176 ; 
+ 2dd   :  f184 ; 
+ 2de   :  f191 ; 
+ 2df   :  f19e ; 
+ 2e0   :  f1ab ; 
+ 2e1   :  f1b8 ; 
+ 2e2   :  f1c6 ; 
+ 2e3   :  f1d3 ; 
+ 2e4   :  f1e0 ; 
+ 2e5   :  f1ee ; 
+ 2e6   :  f1fb ; 
+ 2e7   :  f208 ; 
+ 2e8   :  f216 ; 
+ 2e9   :  f223 ; 
+ 2ea   :  f230 ; 
+ 2eb   :  f23e ; 
+ 2ec   :  f24b ; 
+ 2ed   :  f259 ; 
+ 2ee   :  f266 ; 
+ 2ef   :  f274 ; 
+ 2f0   :  f281 ; 
+ 2f1   :  f28e ; 
+ 2f2   :  f29c ; 
+ 2f3   :  f2a9 ; 
+ 2f4   :  f2b7 ; 
+ 2f5   :  f2c5 ; 
+ 2f6   :  f2d2 ; 
+ 2f7   :  f2e0 ; 
+ 2f8   :  f2ed ; 
+ 2f9   :  f2fb ; 
+ 2fa   :  f308 ; 
+ 2fb   :  f316 ; 
+ 2fc   :  f324 ; 
+ 2fd   :  f331 ; 
+ 2fe   :  f33f ; 
+ 2ff   :  f34d ; 
+ 300   :  f35a ; 
+ 301   :  f368 ; 
+ 302   :  f376 ; 
+ 303   :  f383 ; 
+ 304   :  f391 ; 
+ 305   :  f39f ; 
+ 306   :  f3ad ; 
+ 307   :  f3ba ; 
+ 308   :  f3c8 ; 
+ 309   :  f3d6 ; 
+ 30a   :  f3e4 ; 
+ 30b   :  f3f1 ; 
+ 30c   :  f3ff ; 
+ 30d   :  f40d ; 
+ 30e   :  f41b ; 
+ 30f   :  f429 ; 
+ 310   :  f436 ; 
+ 311   :  f444 ; 
+ 312   :  f452 ; 
+ 313   :  f460 ; 
+ 314   :  f46e ; 
+ 315   :  f47c ; 
+ 316   :  f48a ; 
+ 317   :  f497 ; 
+ 318   :  f4a5 ; 
+ 319   :  f4b3 ; 
+ 31a   :  f4c1 ; 
+ 31b   :  f4cf ; 
+ 31c   :  f4dd ; 
+ 31d   :  f4eb ; 
+ 31e   :  f4f9 ; 
+ 31f   :  f507 ; 
+ 320   :  f515 ; 
+ 321   :  f523 ; 
+ 322   :  f531 ; 
+ 323   :  f53f ; 
+ 324   :  f54d ; 
+ 325   :  f55b ; 
+ 326   :  f569 ; 
+ 327   :  f577 ; 
+ 328   :  f585 ; 
+ 329   :  f593 ; 
+ 32a   :  f5a1 ; 
+ 32b   :  f5af ; 
+ 32c   :  f5bd ; 
+ 32d   :  f5cb ; 
+ 32e   :  f5d9 ; 
+ 32f   :  f5e7 ; 
+ 330   :  f5f5 ; 
+ 331   :  f603 ; 
+ 332   :  f611 ; 
+ 333   :  f61f ; 
+ 334   :  f62d ; 
+ 335   :  f63b ; 
+ 336   :  f649 ; 
+ 337   :  f657 ; 
+ 338   :  f665 ; 
+ 339   :  f673 ; 
+ 33a   :  f681 ; 
+ 33b   :  f68f ; 
+ 33c   :  f69d ; 
+ 33d   :  f6ab ; 
+ 33e   :  f6b9 ; 
+ 33f   :  f6c8 ; 
+ 340   :  f6d6 ; 
+ 341   :  f6e4 ; 
+ 342   :  f6f2 ; 
+ 343   :  f700 ; 
+ 344   :  f70e ; 
+ 345   :  f71c ; 
+ 346   :  f72a ; 
+ 347   :  f738 ; 
+ 348   :  f746 ; 
+ 349   :  f754 ; 
+ 34a   :  f763 ; 
+ 34b   :  f771 ; 
+ 34c   :  f77f ; 
+ 34d   :  f78d ; 
+ 34e   :  f79b ; 
+ 34f   :  f7a9 ; 
+ 350   :  f7b7 ; 
+ 351   :  f7c5 ; 
+ 352   :  f7d3 ; 
+ 353   :  f7e1 ; 
+ 354   :  f7f0 ; 
+ 355   :  f7fe ; 
+ 356   :  f80c ; 
+ 357   :  f81a ; 
+ 358   :  f828 ; 
+ 359   :  f836 ; 
+ 35a   :  f844 ; 
+ 35b   :  f852 ; 
+ 35c   :  f860 ; 
+ 35d   :  f86e ; 
+ 35e   :  f87c ; 
+ 35f   :  f88a ; 
+ 360   :  f899 ; 
+ 361   :  f8a7 ; 
+ 362   :  f8b5 ; 
+ 363   :  f8c3 ; 
+ 364   :  f8d1 ; 
+ 365   :  f8df ; 
+ 366   :  f8ed ; 
+ 367   :  f8fb ; 
+ 368   :  f909 ; 
+ 369   :  f917 ; 
+ 36a   :  f925 ; 
+ 36b   :  f933 ; 
+ 36c   :  f941 ; 
+ 36d   :  f94f ; 
+ 36e   :  f95d ; 
+ 36f   :  f96b ; 
+ 370   :  f979 ; 
+ 371   :  f987 ; 
+ 372   :  f995 ; 
+ 373   :  f9a3 ; 
+ 374   :  f9b1 ; 
+ 375   :  f9bf ; 
+ 376   :  f9cd ; 
+ 377   :  f9db ; 
+ 378   :  f9e9 ; 
+ 379   :  f9f7 ; 
+ 37a   :  fa05 ; 
+ 37b   :  fa13 ; 
+ 37c   :  fa21 ; 
+ 37d   :  fa2f ; 
+ 37e   :  fa3d ; 
+ 37f   :  fa4b ; 
+ 380   :  fa59 ; 
+ 381   :  fa67 ; 
+ 382   :  fa75 ; 
+ 383   :  fa83 ; 
+ 384   :  fa90 ; 
+ 385   :  fa9e ; 
+ 386   :  faac ; 
+ 387   :  faba ; 
+ 388   :  fac8 ; 
+ 389   :  fad6 ; 
+ 38a   :  fae4 ; 
+ 38b   :  faf2 ; 
+ 38c   :  faff ; 
+ 38d   :  fb0d ; 
+ 38e   :  fb1b ; 
+ 38f   :  fb29 ; 
+ 390   :  fb37 ; 
+ 391   :  fb44 ; 
+ 392   :  fb52 ; 
+ 393   :  fb60 ; 
+ 394   :  fb6e ; 
+ 395   :  fb7c ; 
+ 396   :  fb89 ; 
+ 397   :  fb97 ; 
+ 398   :  fba5 ; 
+ 399   :  fbb3 ; 
+ 39a   :  fbc0 ; 
+ 39b   :  fbce ; 
+ 39c   :  fbdc ; 
+ 39d   :  fbe9 ; 
+ 39e   :  fbf7 ; 
+ 39f   :  fc05 ; 
+ 3a0   :  fc12 ; 
+ 3a1   :  fc20 ; 
+ 3a2   :  fc2e ; 
+ 3a3   :  fc3b ; 
+ 3a4   :  fc49 ; 
+ 3a5   :  fc56 ; 
+ 3a6   :  fc64 ; 
+ 3a7   :  fc72 ; 
+ 3a8   :  fc7f ; 
+ 3a9   :  fc8d ; 
+ 3aa   :  fc9a ; 
+ 3ab   :  fca8 ; 
+ 3ac   :  fcb5 ; 
+ 3ad   :  fcc3 ; 
+ 3ae   :  fcd0 ; 
+ 3af   :  fcde ; 
+ 3b0   :  fceb ; 
+ 3b1   :  fcf9 ; 
+ 3b2   :  fd06 ; 
+ 3b3   :  fd14 ; 
+ 3b4   :  fd21 ; 
+ 3b5   :  fd2e ; 
+ 3b6   :  fd3c ; 
+ 3b7   :  fd49 ; 
+ 3b8   :  fd57 ; 
+ 3b9   :  fd64 ; 
+ 3ba   :  fd71 ; 
+ 3bb   :  fd7f ; 
+ 3bc   :  fd8c ; 
+ 3bd   :  fd99 ; 
+ 3be   :  fda7 ; 
+ 3bf   :  fdb4 ; 
+ 3c0   :  fdc1 ; 
+ 3c1   :  fdce ; 
+ 3c2   :  fddc ; 
+ 3c3   :  fde9 ; 
+ 3c4   :  fdf6 ; 
+ 3c5   :  fe03 ; 
+ 3c6   :  fe10 ; 
+ 3c7   :  fe1e ; 
+ 3c8   :  fe2b ; 
+ 3c9   :  fe38 ; 
+ 3ca   :  fe45 ; 
+ 3cb   :  fe52 ; 
+ 3cc   :  fe5f ; 
+ 3cd   :  fe6c ; 
+ 3ce   :  fe79 ; 
+ 3cf   :  fe86 ; 
+ 3d0   :  fe93 ; 
+ 3d1   :  fea0 ; 
+ 3d2   :  fead ; 
+ 3d3   :  feba ; 
+ 3d4   :  fec7 ; 
+ 3d5   :  fed4 ; 
+ 3d6   :  fee1 ; 
+ 3d7   :  feee ; 
+ 3d8   :  fefb ; 
+ 3d9   :  ff08 ; 
+ 3da   :  ff15 ; 
+ 3db   :  ff22 ; 
+ 3dc   :  ff2e ; 
+ 3dd   :  ff3b ; 
+ 3de   :  ff48 ; 
+ 3df   :  ff55 ; 
+ 3e0   :  ff61 ; 
+ 3e1   :  ff6e ; 
+ 3e2   :  ff7b ; 
+ 3e3   :  ff88 ; 
+ 3e4   :  ff94 ; 
+ 3e5   :  ffa1 ; 
+ 3e6   :  ffae ; 
+ 3e7   :  ffba ; 
+ 3e8   :  ffc7 ; 
+ 3e9   :  ffd4 ; 
+ 3ea   :  ffe0 ; 
+ 3eb   :  ffed ; 
+ 3ec   :  fff9 ; 
+ 3ed   :  6 ; 
+ 3ee   :  12 ; 
+ 3ef   :  1f ; 
+ 3f0   :  2b ; 
+ 3f1   :  38 ; 
+ 3f2   :  44 ; 
+ 3f3   :  50 ; 
+ 3f4   :  5d ; 
+ 3f5   :  69 ; 
+ 3f6   :  76 ; 
+ 3f7   :  82 ; 
+ 3f8   :  8e ; 
+ 3f9   :  9b ; 
+ 3fa   :  a7 ; 
+ 3fb   :  b3 ; 
+ 3fc   :  bf ; 
+ 3fd   :  cc ; 
+ 3fe   :  d8 ; 
+ 3ff   :  e4 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_7.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_7.mif
new file mode 100644
index 0000000000000000000000000000000000000000..227c6ecd94134349abf3928c611c3a20593c0d0d
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_7.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  7fff ; 
+ 1   :  7fff ; 
+ 2   :  7fff ; 
+ 3   :  7ffe ; 
+ 4   :  7ffe ; 
+ 5   :  7ffd ; 
+ 6   :  7ffd ; 
+ 7   :  7ffc ; 
+ 8   :  7ffb ; 
+ 9   :  7ffa ; 
+ a   :  7ff9 ; 
+ b   :  7ff8 ; 
+ c   :  7ff7 ; 
+ d   :  7ff5 ; 
+ e   :  7ff4 ; 
+ f   :  7ff2 ; 
+ 10   :  7ff0 ; 
+ 11   :  7fee ; 
+ 12   :  7fec ; 
+ 13   :  7fea ; 
+ 14   :  7fe8 ; 
+ 15   :  7fe6 ; 
+ 16   :  7fe3 ; 
+ 17   :  7fe1 ; 
+ 18   :  7fde ; 
+ 19   :  7fdc ; 
+ 1a   :  7fd9 ; 
+ 1b   :  7fd6 ; 
+ 1c   :  7fd3 ; 
+ 1d   :  7fd0 ; 
+ 1e   :  7fcc ; 
+ 1f   :  7fc9 ; 
+ 20   :  7fc6 ; 
+ 21   :  7fc2 ; 
+ 22   :  7fbe ; 
+ 23   :  7fba ; 
+ 24   :  7fb7 ; 
+ 25   :  7fb3 ; 
+ 26   :  7fae ; 
+ 27   :  7faa ; 
+ 28   :  7fa6 ; 
+ 29   :  7fa1 ; 
+ 2a   :  7f9d ; 
+ 2b   :  7f98 ; 
+ 2c   :  7f93 ; 
+ 2d   :  7f8e ; 
+ 2e   :  7f89 ; 
+ 2f   :  7f84 ; 
+ 30   :  7f7f ; 
+ 31   :  7f7a ; 
+ 32   :  7f74 ; 
+ 33   :  7f6f ; 
+ 34   :  7f69 ; 
+ 35   :  7f63 ; 
+ 36   :  7f5e ; 
+ 37   :  7f58 ; 
+ 38   :  7f52 ; 
+ 39   :  7f4b ; 
+ 3a   :  7f45 ; 
+ 3b   :  7f3f ; 
+ 3c   :  7f38 ; 
+ 3d   :  7f32 ; 
+ 3e   :  7f2b ; 
+ 3f   :  7f24 ; 
+ 40   :  7f1d ; 
+ 41   :  7f16 ; 
+ 42   :  7f0f ; 
+ 43   :  7f08 ; 
+ 44   :  7f00 ; 
+ 45   :  7ef9 ; 
+ 46   :  7ef1 ; 
+ 47   :  7ee9 ; 
+ 48   :  7ee2 ; 
+ 49   :  7eda ; 
+ 4a   :  7ed2 ; 
+ 4b   :  7eca ; 
+ 4c   :  7ec1 ; 
+ 4d   :  7eb9 ; 
+ 4e   :  7eb1 ; 
+ 4f   :  7ea8 ; 
+ 50   :  7e9f ; 
+ 51   :  7e97 ; 
+ 52   :  7e8e ; 
+ 53   :  7e85 ; 
+ 54   :  7e7c ; 
+ 55   :  7e73 ; 
+ 56   :  7e69 ; 
+ 57   :  7e60 ; 
+ 58   :  7e56 ; 
+ 59   :  7e4d ; 
+ 5a   :  7e43 ; 
+ 5b   :  7e39 ; 
+ 5c   :  7e2f ; 
+ 5d   :  7e25 ; 
+ 5e   :  7e1b ; 
+ 5f   :  7e11 ; 
+ 60   :  7e07 ; 
+ 61   :  7dfc ; 
+ 62   :  7df2 ; 
+ 63   :  7de7 ; 
+ 64   :  7ddc ; 
+ 65   :  7dd1 ; 
+ 66   :  7dc6 ; 
+ 67   :  7dbb ; 
+ 68   :  7db0 ; 
+ 69   :  7da5 ; 
+ 6a   :  7d99 ; 
+ 6b   :  7d8e ; 
+ 6c   :  7d82 ; 
+ 6d   :  7d76 ; 
+ 6e   :  7d6b ; 
+ 6f   :  7d5f ; 
+ 70   :  7d53 ; 
+ 71   :  7d47 ; 
+ 72   :  7d3a ; 
+ 73   :  7d2e ; 
+ 74   :  7d22 ; 
+ 75   :  7d15 ; 
+ 76   :  7d08 ; 
+ 77   :  7cfc ; 
+ 78   :  7cef ; 
+ 79   :  7ce2 ; 
+ 7a   :  7cd5 ; 
+ 7b   :  7cc7 ; 
+ 7c   :  7cba ; 
+ 7d   :  7cad ; 
+ 7e   :  7c9f ; 
+ 7f   :  7c92 ; 
+ 80   :  7c84 ; 
+ 81   :  7c76 ; 
+ 82   :  7c68 ; 
+ 83   :  7c5a ; 
+ 84   :  7c4c ; 
+ 85   :  7c3e ; 
+ 86   :  7c30 ; 
+ 87   :  7c21 ; 
+ 88   :  7c13 ; 
+ 89   :  7c04 ; 
+ 8a   :  7bf5 ; 
+ 8b   :  7be7 ; 
+ 8c   :  7bd8 ; 
+ 8d   :  7bc9 ; 
+ 8e   :  7bb9 ; 
+ 8f   :  7baa ; 
+ 90   :  7b9b ; 
+ 91   :  7b8b ; 
+ 92   :  7b7c ; 
+ 93   :  7b6c ; 
+ 94   :  7b5c ; 
+ 95   :  7b4d ; 
+ 96   :  7b3d ; 
+ 97   :  7b2d ; 
+ 98   :  7b1c ; 
+ 99   :  7b0c ; 
+ 9a   :  7afc ; 
+ 9b   :  7aeb ; 
+ 9c   :  7adb ; 
+ 9d   :  7aca ; 
+ 9e   :  7ab9 ; 
+ 9f   :  7aa9 ; 
+ a0   :  7a98 ; 
+ a1   :  7a87 ; 
+ a2   :  7a75 ; 
+ a3   :  7a64 ; 
+ a4   :  7a53 ; 
+ a5   :  7a41 ; 
+ a6   :  7a30 ; 
+ a7   :  7a1e ; 
+ a8   :  7a0c ; 
+ a9   :  79fb ; 
+ aa   :  79e9 ; 
+ ab   :  79d7 ; 
+ ac   :  79c4 ; 
+ ad   :  79b2 ; 
+ ae   :  79a0 ; 
+ af   :  798d ; 
+ b0   :  797b ; 
+ b1   :  7968 ; 
+ b2   :  7955 ; 
+ b3   :  7943 ; 
+ b4   :  7930 ; 
+ b5   :  791d ; 
+ b6   :  7909 ; 
+ b7   :  78f6 ; 
+ b8   :  78e3 ; 
+ b9   :  78cf ; 
+ ba   :  78bc ; 
+ bb   :  78a8 ; 
+ bc   :  7895 ; 
+ bd   :  7881 ; 
+ be   :  786d ; 
+ bf   :  7859 ; 
+ c0   :  7845 ; 
+ c1   :  7831 ; 
+ c2   :  781c ; 
+ c3   :  7808 ; 
+ c4   :  77f3 ; 
+ c5   :  77df ; 
+ c6   :  77ca ; 
+ c7   :  77b5 ; 
+ c8   :  77a0 ; 
+ c9   :  778b ; 
+ ca   :  7776 ; 
+ cb   :  7761 ; 
+ cc   :  774c ; 
+ cd   :  7737 ; 
+ ce   :  7721 ; 
+ cf   :  770c ; 
+ d0   :  76f6 ; 
+ d1   :  76e0 ; 
+ d2   :  76ca ; 
+ d3   :  76b5 ; 
+ d4   :  769e ; 
+ d5   :  7688 ; 
+ d6   :  7672 ; 
+ d7   :  765c ; 
+ d8   :  7646 ; 
+ d9   :  762f ; 
+ da   :  7618 ; 
+ db   :  7602 ; 
+ dc   :  75eb ; 
+ dd   :  75d4 ; 
+ de   :  75bd ; 
+ df   :  75a6 ; 
+ e0   :  758f ; 
+ e1   :  7578 ; 
+ e2   :  7561 ; 
+ e3   :  7549 ; 
+ e4   :  7532 ; 
+ e5   :  751a ; 
+ e6   :  7502 ; 
+ e7   :  74eb ; 
+ e8   :  74d3 ; 
+ e9   :  74bb ; 
+ ea   :  74a3 ; 
+ eb   :  748b ; 
+ ec   :  7472 ; 
+ ed   :  745a ; 
+ ee   :  7442 ; 
+ ef   :  7429 ; 
+ f0   :  7411 ; 
+ f1   :  73f8 ; 
+ f2   :  73df ; 
+ f3   :  73c6 ; 
+ f4   :  73ad ; 
+ f5   :  7394 ; 
+ f6   :  737b ; 
+ f7   :  7362 ; 
+ f8   :  7349 ; 
+ f9   :  732f ; 
+ fa   :  7316 ; 
+ fb   :  72fc ; 
+ fc   :  72e2 ; 
+ fd   :  72c9 ; 
+ fe   :  72af ; 
+ ff   :  7295 ; 
+ 100   :  727b ; 
+ 101   :  7261 ; 
+ 102   :  7247 ; 
+ 103   :  722c ; 
+ 104   :  7212 ; 
+ 105   :  71f8 ; 
+ 106   :  71dd ; 
+ 107   :  71c3 ; 
+ 108   :  71a8 ; 
+ 109   :  718d ; 
+ 10a   :  7172 ; 
+ 10b   :  7157 ; 
+ 10c   :  713c ; 
+ 10d   :  7121 ; 
+ 10e   :  7106 ; 
+ 10f   :  70ea ; 
+ 110   :  70cf ; 
+ 111   :  70b4 ; 
+ 112   :  7098 ; 
+ 113   :  707c ; 
+ 114   :  7061 ; 
+ 115   :  7045 ; 
+ 116   :  7029 ; 
+ 117   :  700d ; 
+ 118   :  6ff1 ; 
+ 119   :  6fd5 ; 
+ 11a   :  6fb9 ; 
+ 11b   :  6f9c ; 
+ 11c   :  6f80 ; 
+ 11d   :  6f63 ; 
+ 11e   :  6f47 ; 
+ 11f   :  6f2a ; 
+ 120   :  6f0e ; 
+ 121   :  6ef1 ; 
+ 122   :  6ed4 ; 
+ 123   :  6eb7 ; 
+ 124   :  6e9a ; 
+ 125   :  6e7d ; 
+ 126   :  6e5f ; 
+ 127   :  6e42 ; 
+ 128   :  6e25 ; 
+ 129   :  6e07 ; 
+ 12a   :  6dea ; 
+ 12b   :  6dcc ; 
+ 12c   :  6daf ; 
+ 12d   :  6d91 ; 
+ 12e   :  6d73 ; 
+ 12f   :  6d55 ; 
+ 130   :  6d37 ; 
+ 131   :  6d19 ; 
+ 132   :  6cfb ; 
+ 133   :  6cdc ; 
+ 134   :  6cbe ; 
+ 135   :  6ca0 ; 
+ 136   :  6c81 ; 
+ 137   :  6c63 ; 
+ 138   :  6c44 ; 
+ 139   :  6c25 ; 
+ 13a   :  6c07 ; 
+ 13b   :  6be8 ; 
+ 13c   :  6bc9 ; 
+ 13d   :  6baa ; 
+ 13e   :  6b8b ; 
+ 13f   :  6b6b ; 
+ 140   :  6b4c ; 
+ 141   :  6b2d ; 
+ 142   :  6b0d ; 
+ 143   :  6aee ; 
+ 144   :  6ace ; 
+ 145   :  6aaf ; 
+ 146   :  6a8f ; 
+ 147   :  6a6f ; 
+ 148   :  6a4f ; 
+ 149   :  6a30 ; 
+ 14a   :  6a10 ; 
+ 14b   :  69ef ; 
+ 14c   :  69cf ; 
+ 14d   :  69af ; 
+ 14e   :  698f ; 
+ 14f   :  696e ; 
+ 150   :  694e ; 
+ 151   :  692d ; 
+ 152   :  690d ; 
+ 153   :  68ec ; 
+ 154   :  68cb ; 
+ 155   :  68ab ; 
+ 156   :  688a ; 
+ 157   :  6869 ; 
+ 158   :  6848 ; 
+ 159   :  6827 ; 
+ 15a   :  6805 ; 
+ 15b   :  67e4 ; 
+ 15c   :  67c3 ; 
+ 15d   :  67a2 ; 
+ 15e   :  6780 ; 
+ 15f   :  675f ; 
+ 160   :  673d ; 
+ 161   :  671b ; 
+ 162   :  66fa ; 
+ 163   :  66d8 ; 
+ 164   :  66b6 ; 
+ 165   :  6694 ; 
+ 166   :  6672 ; 
+ 167   :  6650 ; 
+ 168   :  662e ; 
+ 169   :  660c ; 
+ 16a   :  65e9 ; 
+ 16b   :  65c7 ; 
+ 16c   :  65a5 ; 
+ 16d   :  6582 ; 
+ 16e   :  655f ; 
+ 16f   :  653d ; 
+ 170   :  651a ; 
+ 171   :  64f7 ; 
+ 172   :  64d5 ; 
+ 173   :  64b2 ; 
+ 174   :  648f ; 
+ 175   :  646c ; 
+ 176   :  6449 ; 
+ 177   :  6426 ; 
+ 178   :  6402 ; 
+ 179   :  63df ; 
+ 17a   :  63bc ; 
+ 17b   :  6398 ; 
+ 17c   :  6375 ; 
+ 17d   :  6351 ; 
+ 17e   :  632e ; 
+ 17f   :  630a ; 
+ 180   :  62e6 ; 
+ 181   :  62c3 ; 
+ 182   :  629f ; 
+ 183   :  627b ; 
+ 184   :  6257 ; 
+ 185   :  6233 ; 
+ 186   :  620f ; 
+ 187   :  61eb ; 
+ 188   :  61c6 ; 
+ 189   :  61a2 ; 
+ 18a   :  617e ; 
+ 18b   :  6159 ; 
+ 18c   :  6135 ; 
+ 18d   :  6110 ; 
+ 18e   :  60ec ; 
+ 18f   :  60c7 ; 
+ 190   :  60a2 ; 
+ 191   :  607e ; 
+ 192   :  6059 ; 
+ 193   :  6034 ; 
+ 194   :  600f ; 
+ 195   :  5fea ; 
+ 196   :  5fc5 ; 
+ 197   :  5fa0 ; 
+ 198   :  5f7b ; 
+ 199   :  5f55 ; 
+ 19a   :  5f30 ; 
+ 19b   :  5f0b ; 
+ 19c   :  5ee5 ; 
+ 19d   :  5ec0 ; 
+ 19e   :  5e9a ; 
+ 19f   :  5e75 ; 
+ 1a0   :  5e4f ; 
+ 1a1   :  5e2a ; 
+ 1a2   :  5e04 ; 
+ 1a3   :  5dde ; 
+ 1a4   :  5db8 ; 
+ 1a5   :  5d92 ; 
+ 1a6   :  5d6c ; 
+ 1a7   :  5d46 ; 
+ 1a8   :  5d20 ; 
+ 1a9   :  5cfa ; 
+ 1aa   :  5cd4 ; 
+ 1ab   :  5cae ; 
+ 1ac   :  5c87 ; 
+ 1ad   :  5c61 ; 
+ 1ae   :  5c3b ; 
+ 1af   :  5c14 ; 
+ 1b0   :  5bee ; 
+ 1b1   :  5bc7 ; 
+ 1b2   :  5ba1 ; 
+ 1b3   :  5b7a ; 
+ 1b4   :  5b53 ; 
+ 1b5   :  5b2c ; 
+ 1b6   :  5b06 ; 
+ 1b7   :  5adf ; 
+ 1b8   :  5ab8 ; 
+ 1b9   :  5a91 ; 
+ 1ba   :  5a6a ; 
+ 1bb   :  5a43 ; 
+ 1bc   :  5a1c ; 
+ 1bd   :  59f5 ; 
+ 1be   :  59cd ; 
+ 1bf   :  59a6 ; 
+ 1c0   :  597f ; 
+ 1c1   :  5957 ; 
+ 1c2   :  5930 ; 
+ 1c3   :  5909 ; 
+ 1c4   :  58e1 ; 
+ 1c5   :  58ba ; 
+ 1c6   :  5892 ; 
+ 1c7   :  586a ; 
+ 1c8   :  5843 ; 
+ 1c9   :  581b ; 
+ 1ca   :  57f3 ; 
+ 1cb   :  57cb ; 
+ 1cc   :  57a3 ; 
+ 1cd   :  577b ; 
+ 1ce   :  5754 ; 
+ 1cf   :  572b ; 
+ 1d0   :  5703 ; 
+ 1d1   :  56db ; 
+ 1d2   :  56b3 ; 
+ 1d3   :  568b ; 
+ 1d4   :  5663 ; 
+ 1d5   :  563a ; 
+ 1d6   :  5612 ; 
+ 1d7   :  55ea ; 
+ 1d8   :  55c1 ; 
+ 1d9   :  5599 ; 
+ 1da   :  5570 ; 
+ 1db   :  5548 ; 
+ 1dc   :  551f ; 
+ 1dd   :  54f7 ; 
+ 1de   :  54ce ; 
+ 1df   :  54a5 ; 
+ 1e0   :  547d ; 
+ 1e1   :  5454 ; 
+ 1e2   :  542b ; 
+ 1e3   :  5402 ; 
+ 1e4   :  53d9 ; 
+ 1e5   :  53b0 ; 
+ 1e6   :  5387 ; 
+ 1e7   :  535e ; 
+ 1e8   :  5335 ; 
+ 1e9   :  530c ; 
+ 1ea   :  52e3 ; 
+ 1eb   :  52ba ; 
+ 1ec   :  5290 ; 
+ 1ed   :  5267 ; 
+ 1ee   :  523e ; 
+ 1ef   :  5215 ; 
+ 1f0   :  51eb ; 
+ 1f1   :  51c2 ; 
+ 1f2   :  5198 ; 
+ 1f3   :  516f ; 
+ 1f4   :  5145 ; 
+ 1f5   :  511c ; 
+ 1f6   :  50f2 ; 
+ 1f7   :  50c8 ; 
+ 1f8   :  509f ; 
+ 1f9   :  5075 ; 
+ 1fa   :  504b ; 
+ 1fb   :  5022 ; 
+ 1fc   :  4ff8 ; 
+ 1fd   :  4fce ; 
+ 1fe   :  4fa4 ; 
+ 1ff   :  4f7a ; 
+ 200   :  4f50 ; 
+ 201   :  4f26 ; 
+ 202   :  4efc ; 
+ 203   :  4ed2 ; 
+ 204   :  4ea8 ; 
+ 205   :  4e7e ; 
+ 206   :  4e54 ; 
+ 207   :  4e2a ; 
+ 208   :  4dff ; 
+ 209   :  4dd5 ; 
+ 20a   :  4dab ; 
+ 20b   :  4d81 ; 
+ 20c   :  4d56 ; 
+ 20d   :  4d2c ; 
+ 20e   :  4d02 ; 
+ 20f   :  4cd7 ; 
+ 210   :  4cad ; 
+ 211   :  4c82 ; 
+ 212   :  4c58 ; 
+ 213   :  4c2d ; 
+ 214   :  4c03 ; 
+ 215   :  4bd8 ; 
+ 216   :  4bad ; 
+ 217   :  4b83 ; 
+ 218   :  4b58 ; 
+ 219   :  4b2d ; 
+ 21a   :  4b03 ; 
+ 21b   :  4ad8 ; 
+ 21c   :  4aad ; 
+ 21d   :  4a82 ; 
+ 21e   :  4a57 ; 
+ 21f   :  4a2d ; 
+ 220   :  4a02 ; 
+ 221   :  49d7 ; 
+ 222   :  49ac ; 
+ 223   :  4981 ; 
+ 224   :  4956 ; 
+ 225   :  492b ; 
+ 226   :  4900 ; 
+ 227   :  48d5 ; 
+ 228   :  48aa ; 
+ 229   :  487f ; 
+ 22a   :  4853 ; 
+ 22b   :  4828 ; 
+ 22c   :  47fd ; 
+ 22d   :  47d2 ; 
+ 22e   :  47a7 ; 
+ 22f   :  477b ; 
+ 230   :  4750 ; 
+ 231   :  4725 ; 
+ 232   :  46f9 ; 
+ 233   :  46ce ; 
+ 234   :  46a3 ; 
+ 235   :  4677 ; 
+ 236   :  464c ; 
+ 237   :  4621 ; 
+ 238   :  45f5 ; 
+ 239   :  45ca ; 
+ 23a   :  459e ; 
+ 23b   :  4573 ; 
+ 23c   :  4547 ; 
+ 23d   :  451c ; 
+ 23e   :  44f0 ; 
+ 23f   :  44c5 ; 
+ 240   :  4499 ; 
+ 241   :  446d ; 
+ 242   :  4442 ; 
+ 243   :  4416 ; 
+ 244   :  43ea ; 
+ 245   :  43bf ; 
+ 246   :  4393 ; 
+ 247   :  4367 ; 
+ 248   :  433c ; 
+ 249   :  4310 ; 
+ 24a   :  42e4 ; 
+ 24b   :  42b8 ; 
+ 24c   :  428d ; 
+ 24d   :  4261 ; 
+ 24e   :  4235 ; 
+ 24f   :  4209 ; 
+ 250   :  41dd ; 
+ 251   :  41b1 ; 
+ 252   :  4186 ; 
+ 253   :  415a ; 
+ 254   :  412e ; 
+ 255   :  4102 ; 
+ 256   :  40d6 ; 
+ 257   :  40aa ; 
+ 258   :  407e ; 
+ 259   :  4052 ; 
+ 25a   :  4026 ; 
+ 25b   :  3ffa ; 
+ 25c   :  3fce ; 
+ 25d   :  3fa2 ; 
+ 25e   :  3f76 ; 
+ 25f   :  3f4a ; 
+ 260   :  3f1e ; 
+ 261   :  3ef2 ; 
+ 262   :  3ec6 ; 
+ 263   :  3e9a ; 
+ 264   :  3e6e ; 
+ 265   :  3e42 ; 
+ 266   :  3e16 ; 
+ 267   :  3dea ; 
+ 268   :  3dbe ; 
+ 269   :  3d91 ; 
+ 26a   :  3d65 ; 
+ 26b   :  3d39 ; 
+ 26c   :  3d0d ; 
+ 26d   :  3ce1 ; 
+ 26e   :  3cb5 ; 
+ 26f   :  3c89 ; 
+ 270   :  3c5c ; 
+ 271   :  3c30 ; 
+ 272   :  3c04 ; 
+ 273   :  3bd8 ; 
+ 274   :  3bac ; 
+ 275   :  3b80 ; 
+ 276   :  3b53 ; 
+ 277   :  3b27 ; 
+ 278   :  3afb ; 
+ 279   :  3acf ; 
+ 27a   :  3aa3 ; 
+ 27b   :  3a76 ; 
+ 27c   :  3a4a ; 
+ 27d   :  3a1e ; 
+ 27e   :  39f2 ; 
+ 27f   :  39c6 ; 
+ 280   :  3999 ; 
+ 281   :  396d ; 
+ 282   :  3941 ; 
+ 283   :  3915 ; 
+ 284   :  38e8 ; 
+ 285   :  38bc ; 
+ 286   :  3890 ; 
+ 287   :  3864 ; 
+ 288   :  3837 ; 
+ 289   :  380b ; 
+ 28a   :  37df ; 
+ 28b   :  37b3 ; 
+ 28c   :  3787 ; 
+ 28d   :  375a ; 
+ 28e   :  372e ; 
+ 28f   :  3702 ; 
+ 290   :  36d6 ; 
+ 291   :  36a9 ; 
+ 292   :  367d ; 
+ 293   :  3651 ; 
+ 294   :  3625 ; 
+ 295   :  35f9 ; 
+ 296   :  35cc ; 
+ 297   :  35a0 ; 
+ 298   :  3574 ; 
+ 299   :  3548 ; 
+ 29a   :  351c ; 
+ 29b   :  34ef ; 
+ 29c   :  34c3 ; 
+ 29d   :  3497 ; 
+ 29e   :  346b ; 
+ 29f   :  343f ; 
+ 2a0   :  3412 ; 
+ 2a1   :  33e6 ; 
+ 2a2   :  33ba ; 
+ 2a3   :  338e ; 
+ 2a4   :  3362 ; 
+ 2a5   :  3336 ; 
+ 2a6   :  330a ; 
+ 2a7   :  32dd ; 
+ 2a8   :  32b1 ; 
+ 2a9   :  3285 ; 
+ 2aa   :  3259 ; 
+ 2ab   :  322d ; 
+ 2ac   :  3201 ; 
+ 2ad   :  31d5 ; 
+ 2ae   :  31a9 ; 
+ 2af   :  317d ; 
+ 2b0   :  3151 ; 
+ 2b1   :  3125 ; 
+ 2b2   :  30f9 ; 
+ 2b3   :  30cd ; 
+ 2b4   :  30a1 ; 
+ 2b5   :  3075 ; 
+ 2b6   :  3049 ; 
+ 2b7   :  301d ; 
+ 2b8   :  2ff1 ; 
+ 2b9   :  2fc5 ; 
+ 2ba   :  2f99 ; 
+ 2bb   :  2f6d ; 
+ 2bc   :  2f41 ; 
+ 2bd   :  2f15 ; 
+ 2be   :  2ee9 ; 
+ 2bf   :  2ebd ; 
+ 2c0   :  2e91 ; 
+ 2c1   :  2e66 ; 
+ 2c2   :  2e3a ; 
+ 2c3   :  2e0e ; 
+ 2c4   :  2de2 ; 
+ 2c5   :  2db6 ; 
+ 2c6   :  2d8a ; 
+ 2c7   :  2d5f ; 
+ 2c8   :  2d33 ; 
+ 2c9   :  2d07 ; 
+ 2ca   :  2cdb ; 
+ 2cb   :  2cb0 ; 
+ 2cc   :  2c84 ; 
+ 2cd   :  2c58 ; 
+ 2ce   :  2c2d ; 
+ 2cf   :  2c01 ; 
+ 2d0   :  2bd5 ; 
+ 2d1   :  2baa ; 
+ 2d2   :  2b7e ; 
+ 2d3   :  2b53 ; 
+ 2d4   :  2b27 ; 
+ 2d5   :  2afc ; 
+ 2d6   :  2ad0 ; 
+ 2d7   :  2aa5 ; 
+ 2d8   :  2a79 ; 
+ 2d9   :  2a4e ; 
+ 2da   :  2a22 ; 
+ 2db   :  29f7 ; 
+ 2dc   :  29cb ; 
+ 2dd   :  29a0 ; 
+ 2de   :  2975 ; 
+ 2df   :  2949 ; 
+ 2e0   :  291e ; 
+ 2e1   :  28f3 ; 
+ 2e2   :  28c7 ; 
+ 2e3   :  289c ; 
+ 2e4   :  2871 ; 
+ 2e5   :  2846 ; 
+ 2e6   :  281a ; 
+ 2e7   :  27ef ; 
+ 2e8   :  27c4 ; 
+ 2e9   :  2799 ; 
+ 2ea   :  276e ; 
+ 2eb   :  2743 ; 
+ 2ec   :  2718 ; 
+ 2ed   :  26ed ; 
+ 2ee   :  26c1 ; 
+ 2ef   :  2696 ; 
+ 2f0   :  266c ; 
+ 2f1   :  2641 ; 
+ 2f2   :  2616 ; 
+ 2f3   :  25eb ; 
+ 2f4   :  25c0 ; 
+ 2f5   :  2595 ; 
+ 2f6   :  256a ; 
+ 2f7   :  253f ; 
+ 2f8   :  2515 ; 
+ 2f9   :  24ea ; 
+ 2fa   :  24bf ; 
+ 2fb   :  2494 ; 
+ 2fc   :  246a ; 
+ 2fd   :  243f ; 
+ 2fe   :  2414 ; 
+ 2ff   :  23ea ; 
+ 300   :  23bf ; 
+ 301   :  2395 ; 
+ 302   :  236a ; 
+ 303   :  2340 ; 
+ 304   :  2315 ; 
+ 305   :  22eb ; 
+ 306   :  22c1 ; 
+ 307   :  2296 ; 
+ 308   :  226c ; 
+ 309   :  2241 ; 
+ 30a   :  2217 ; 
+ 30b   :  21ed ; 
+ 30c   :  21c3 ; 
+ 30d   :  2199 ; 
+ 30e   :  216e ; 
+ 30f   :  2144 ; 
+ 310   :  211a ; 
+ 311   :  20f0 ; 
+ 312   :  20c6 ; 
+ 313   :  209c ; 
+ 314   :  2072 ; 
+ 315   :  2048 ; 
+ 316   :  201e ; 
+ 317   :  1ff4 ; 
+ 318   :  1fca ; 
+ 319   :  1fa1 ; 
+ 31a   :  1f77 ; 
+ 31b   :  1f4d ; 
+ 31c   :  1f23 ; 
+ 31d   :  1efa ; 
+ 31e   :  1ed0 ; 
+ 31f   :  1ea6 ; 
+ 320   :  1e7d ; 
+ 321   :  1e53 ; 
+ 322   :  1e2a ; 
+ 323   :  1e00 ; 
+ 324   :  1dd7 ; 
+ 325   :  1dae ; 
+ 326   :  1d84 ; 
+ 327   :  1d5b ; 
+ 328   :  1d32 ; 
+ 329   :  1d08 ; 
+ 32a   :  1cdf ; 
+ 32b   :  1cb6 ; 
+ 32c   :  1c8d ; 
+ 32d   :  1c64 ; 
+ 32e   :  1c3a ; 
+ 32f   :  1c11 ; 
+ 330   :  1be8 ; 
+ 331   :  1bbf ; 
+ 332   :  1b96 ; 
+ 333   :  1b6e ; 
+ 334   :  1b45 ; 
+ 335   :  1b1c ; 
+ 336   :  1af3 ; 
+ 337   :  1aca ; 
+ 338   :  1aa2 ; 
+ 339   :  1a79 ; 
+ 33a   :  1a50 ; 
+ 33b   :  1a28 ; 
+ 33c   :  19ff ; 
+ 33d   :  19d7 ; 
+ 33e   :  19ae ; 
+ 33f   :  1986 ; 
+ 340   :  195d ; 
+ 341   :  1935 ; 
+ 342   :  190d ; 
+ 343   :  18e5 ; 
+ 344   :  18bc ; 
+ 345   :  1894 ; 
+ 346   :  186c ; 
+ 347   :  1844 ; 
+ 348   :  181c ; 
+ 349   :  17f4 ; 
+ 34a   :  17cc ; 
+ 34b   :  17a4 ; 
+ 34c   :  177c ; 
+ 34d   :  1754 ; 
+ 34e   :  172c ; 
+ 34f   :  1705 ; 
+ 350   :  16dd ; 
+ 351   :  16b5 ; 
+ 352   :  168e ; 
+ 353   :  1666 ; 
+ 354   :  163e ; 
+ 355   :  1617 ; 
+ 356   :  15ef ; 
+ 357   :  15c8 ; 
+ 358   :  15a1 ; 
+ 359   :  1579 ; 
+ 35a   :  1552 ; 
+ 35b   :  152b ; 
+ 35c   :  1504 ; 
+ 35d   :  14dd ; 
+ 35e   :  14b5 ; 
+ 35f   :  148e ; 
+ 360   :  1467 ; 
+ 361   :  1440 ; 
+ 362   :  141a ; 
+ 363   :  13f3 ; 
+ 364   :  13cc ; 
+ 365   :  13a5 ; 
+ 366   :  137e ; 
+ 367   :  1358 ; 
+ 368   :  1331 ; 
+ 369   :  130a ; 
+ 36a   :  12e4 ; 
+ 36b   :  12bd ; 
+ 36c   :  1297 ; 
+ 36d   :  1271 ; 
+ 36e   :  124a ; 
+ 36f   :  1224 ; 
+ 370   :  11fe ; 
+ 371   :  11d8 ; 
+ 372   :  11b1 ; 
+ 373   :  118b ; 
+ 374   :  1165 ; 
+ 375   :  113f ; 
+ 376   :  1119 ; 
+ 377   :  10f4 ; 
+ 378   :  10ce ; 
+ 379   :  10a8 ; 
+ 37a   :  1082 ; 
+ 37b   :  105c ; 
+ 37c   :  1037 ; 
+ 37d   :  1011 ; 
+ 37e   :  fec ; 
+ 37f   :  fc6 ; 
+ 380   :  fa1 ; 
+ 381   :  f7b ; 
+ 382   :  f56 ; 
+ 383   :  f31 ; 
+ 384   :  f0c ; 
+ 385   :  ee6 ; 
+ 386   :  ec1 ; 
+ 387   :  e9c ; 
+ 388   :  e77 ; 
+ 389   :  e52 ; 
+ 38a   :  e2d ; 
+ 38b   :  e08 ; 
+ 38c   :  de4 ; 
+ 38d   :  dbf ; 
+ 38e   :  d9a ; 
+ 38f   :  d76 ; 
+ 390   :  d51 ; 
+ 391   :  d2c ; 
+ 392   :  d08 ; 
+ 393   :  ce4 ; 
+ 394   :  cbf ; 
+ 395   :  c9b ; 
+ 396   :  c77 ; 
+ 397   :  c52 ; 
+ 398   :  c2e ; 
+ 399   :  c0a ; 
+ 39a   :  be6 ; 
+ 39b   :  bc2 ; 
+ 39c   :  b9e ; 
+ 39d   :  b7a ; 
+ 39e   :  b57 ; 
+ 39f   :  b33 ; 
+ 3a0   :  b0f ; 
+ 3a1   :  aeb ; 
+ 3a2   :  ac8 ; 
+ 3a3   :  aa4 ; 
+ 3a4   :  a81 ; 
+ 3a5   :  a5d ; 
+ 3a6   :  a3a ; 
+ 3a7   :  a17 ; 
+ 3a8   :  9f4 ; 
+ 3a9   :  9d0 ; 
+ 3aa   :  9ad ; 
+ 3ab   :  98a ; 
+ 3ac   :  967 ; 
+ 3ad   :  944 ; 
+ 3ae   :  921 ; 
+ 3af   :  8ff ; 
+ 3b0   :  8dc ; 
+ 3b1   :  8b9 ; 
+ 3b2   :  896 ; 
+ 3b3   :  874 ; 
+ 3b4   :  851 ; 
+ 3b5   :  82f ; 
+ 3b6   :  80c ; 
+ 3b7   :  7ea ; 
+ 3b8   :  7c8 ; 
+ 3b9   :  7a5 ; 
+ 3ba   :  783 ; 
+ 3bb   :  761 ; 
+ 3bc   :  73f ; 
+ 3bd   :  71d ; 
+ 3be   :  6fb ; 
+ 3bf   :  6d9 ; 
+ 3c0   :  6b8 ; 
+ 3c1   :  696 ; 
+ 3c2   :  674 ; 
+ 3c3   :  652 ; 
+ 3c4   :  631 ; 
+ 3c5   :  60f ; 
+ 3c6   :  5ee ; 
+ 3c7   :  5cd ; 
+ 3c8   :  5ab ; 
+ 3c9   :  58a ; 
+ 3ca   :  569 ; 
+ 3cb   :  548 ; 
+ 3cc   :  527 ; 
+ 3cd   :  506 ; 
+ 3ce   :  4e5 ; 
+ 3cf   :  4c4 ; 
+ 3d0   :  4a3 ; 
+ 3d1   :  482 ; 
+ 3d2   :  461 ; 
+ 3d3   :  441 ; 
+ 3d4   :  420 ; 
+ 3d5   :  400 ; 
+ 3d6   :  3df ; 
+ 3d7   :  3bf ; 
+ 3d8   :  39f ; 
+ 3d9   :  37e ; 
+ 3da   :  35e ; 
+ 3db   :  33e ; 
+ 3dc   :  31e ; 
+ 3dd   :  2fe ; 
+ 3de   :  2de ; 
+ 3df   :  2be ; 
+ 3e0   :  29e ; 
+ 3e1   :  27f ; 
+ 3e2   :  25f ; 
+ 3e3   :  240 ; 
+ 3e4   :  220 ; 
+ 3e5   :  201 ; 
+ 3e6   :  1e1 ; 
+ 3e7   :  1c2 ; 
+ 3e8   :  1a2 ; 
+ 3e9   :  183 ; 
+ 3ea   :  164 ; 
+ 3eb   :  145 ; 
+ 3ec   :  126 ; 
+ 3ed   :  107 ; 
+ 3ee   :  e8 ; 
+ 3ef   :  c9 ; 
+ 3f0   :  ab ; 
+ 3f1   :  8c ; 
+ 3f2   :  6d ; 
+ 3f3   :  4f ; 
+ 3f4   :  30 ; 
+ 3f5   :  12 ; 
+ 3f6   :  fff4 ; 
+ 3f7   :  ffd5 ; 
+ 3f8   :  ffb7 ; 
+ 3f9   :  ff99 ; 
+ 3fa   :  ff7b ; 
+ 3fb   :  ff5d ; 
+ 3fc   :  ff3f ; 
+ 3fd   :  ff21 ; 
+ 3fe   :  ff03 ; 
+ 3ff   :  fee6 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_8.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_8.mif
new file mode 100644
index 0000000000000000000000000000000000000000..52d873d1e232431640cf281a15f20f40b25b6b90
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_8.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  fee6 ; 
+ 1   :  ff03 ; 
+ 2   :  ff21 ; 
+ 3   :  ff3f ; 
+ 4   :  ff5d ; 
+ 5   :  ff7b ; 
+ 6   :  ff99 ; 
+ 7   :  ffb7 ; 
+ 8   :  ffd5 ; 
+ 9   :  fff4 ; 
+ a   :  12 ; 
+ b   :  30 ; 
+ c   :  4f ; 
+ d   :  6d ; 
+ e   :  8c ; 
+ f   :  ab ; 
+ 10   :  c9 ; 
+ 11   :  e8 ; 
+ 12   :  107 ; 
+ 13   :  126 ; 
+ 14   :  145 ; 
+ 15   :  164 ; 
+ 16   :  183 ; 
+ 17   :  1a2 ; 
+ 18   :  1c2 ; 
+ 19   :  1e1 ; 
+ 1a   :  201 ; 
+ 1b   :  220 ; 
+ 1c   :  240 ; 
+ 1d   :  25f ; 
+ 1e   :  27f ; 
+ 1f   :  29e ; 
+ 20   :  2be ; 
+ 21   :  2de ; 
+ 22   :  2fe ; 
+ 23   :  31e ; 
+ 24   :  33e ; 
+ 25   :  35e ; 
+ 26   :  37e ; 
+ 27   :  39f ; 
+ 28   :  3bf ; 
+ 29   :  3df ; 
+ 2a   :  400 ; 
+ 2b   :  420 ; 
+ 2c   :  441 ; 
+ 2d   :  461 ; 
+ 2e   :  482 ; 
+ 2f   :  4a3 ; 
+ 30   :  4c4 ; 
+ 31   :  4e5 ; 
+ 32   :  506 ; 
+ 33   :  527 ; 
+ 34   :  548 ; 
+ 35   :  569 ; 
+ 36   :  58a ; 
+ 37   :  5ab ; 
+ 38   :  5cd ; 
+ 39   :  5ee ; 
+ 3a   :  60f ; 
+ 3b   :  631 ; 
+ 3c   :  652 ; 
+ 3d   :  674 ; 
+ 3e   :  696 ; 
+ 3f   :  6b8 ; 
+ 40   :  6d9 ; 
+ 41   :  6fb ; 
+ 42   :  71d ; 
+ 43   :  73f ; 
+ 44   :  761 ; 
+ 45   :  783 ; 
+ 46   :  7a5 ; 
+ 47   :  7c8 ; 
+ 48   :  7ea ; 
+ 49   :  80c ; 
+ 4a   :  82f ; 
+ 4b   :  851 ; 
+ 4c   :  874 ; 
+ 4d   :  896 ; 
+ 4e   :  8b9 ; 
+ 4f   :  8dc ; 
+ 50   :  8ff ; 
+ 51   :  921 ; 
+ 52   :  944 ; 
+ 53   :  967 ; 
+ 54   :  98a ; 
+ 55   :  9ad ; 
+ 56   :  9d0 ; 
+ 57   :  9f4 ; 
+ 58   :  a17 ; 
+ 59   :  a3a ; 
+ 5a   :  a5d ; 
+ 5b   :  a81 ; 
+ 5c   :  aa4 ; 
+ 5d   :  ac8 ; 
+ 5e   :  aeb ; 
+ 5f   :  b0f ; 
+ 60   :  b33 ; 
+ 61   :  b57 ; 
+ 62   :  b7a ; 
+ 63   :  b9e ; 
+ 64   :  bc2 ; 
+ 65   :  be6 ; 
+ 66   :  c0a ; 
+ 67   :  c2e ; 
+ 68   :  c52 ; 
+ 69   :  c77 ; 
+ 6a   :  c9b ; 
+ 6b   :  cbf ; 
+ 6c   :  ce4 ; 
+ 6d   :  d08 ; 
+ 6e   :  d2c ; 
+ 6f   :  d51 ; 
+ 70   :  d76 ; 
+ 71   :  d9a ; 
+ 72   :  dbf ; 
+ 73   :  de4 ; 
+ 74   :  e08 ; 
+ 75   :  e2d ; 
+ 76   :  e52 ; 
+ 77   :  e77 ; 
+ 78   :  e9c ; 
+ 79   :  ec1 ; 
+ 7a   :  ee6 ; 
+ 7b   :  f0c ; 
+ 7c   :  f31 ; 
+ 7d   :  f56 ; 
+ 7e   :  f7b ; 
+ 7f   :  fa1 ; 
+ 80   :  fc6 ; 
+ 81   :  fec ; 
+ 82   :  1011 ; 
+ 83   :  1037 ; 
+ 84   :  105c ; 
+ 85   :  1082 ; 
+ 86   :  10a8 ; 
+ 87   :  10ce ; 
+ 88   :  10f4 ; 
+ 89   :  1119 ; 
+ 8a   :  113f ; 
+ 8b   :  1165 ; 
+ 8c   :  118b ; 
+ 8d   :  11b1 ; 
+ 8e   :  11d8 ; 
+ 8f   :  11fe ; 
+ 90   :  1224 ; 
+ 91   :  124a ; 
+ 92   :  1271 ; 
+ 93   :  1297 ; 
+ 94   :  12bd ; 
+ 95   :  12e4 ; 
+ 96   :  130a ; 
+ 97   :  1331 ; 
+ 98   :  1358 ; 
+ 99   :  137e ; 
+ 9a   :  13a5 ; 
+ 9b   :  13cc ; 
+ 9c   :  13f3 ; 
+ 9d   :  141a ; 
+ 9e   :  1440 ; 
+ 9f   :  1467 ; 
+ a0   :  148e ; 
+ a1   :  14b5 ; 
+ a2   :  14dd ; 
+ a3   :  1504 ; 
+ a4   :  152b ; 
+ a5   :  1552 ; 
+ a6   :  1579 ; 
+ a7   :  15a1 ; 
+ a8   :  15c8 ; 
+ a9   :  15ef ; 
+ aa   :  1617 ; 
+ ab   :  163e ; 
+ ac   :  1666 ; 
+ ad   :  168e ; 
+ ae   :  16b5 ; 
+ af   :  16dd ; 
+ b0   :  1705 ; 
+ b1   :  172c ; 
+ b2   :  1754 ; 
+ b3   :  177c ; 
+ b4   :  17a4 ; 
+ b5   :  17cc ; 
+ b6   :  17f4 ; 
+ b7   :  181c ; 
+ b8   :  1844 ; 
+ b9   :  186c ; 
+ ba   :  1894 ; 
+ bb   :  18bc ; 
+ bc   :  18e5 ; 
+ bd   :  190d ; 
+ be   :  1935 ; 
+ bf   :  195d ; 
+ c0   :  1986 ; 
+ c1   :  19ae ; 
+ c2   :  19d7 ; 
+ c3   :  19ff ; 
+ c4   :  1a28 ; 
+ c5   :  1a50 ; 
+ c6   :  1a79 ; 
+ c7   :  1aa2 ; 
+ c8   :  1aca ; 
+ c9   :  1af3 ; 
+ ca   :  1b1c ; 
+ cb   :  1b45 ; 
+ cc   :  1b6e ; 
+ cd   :  1b96 ; 
+ ce   :  1bbf ; 
+ cf   :  1be8 ; 
+ d0   :  1c11 ; 
+ d1   :  1c3a ; 
+ d2   :  1c64 ; 
+ d3   :  1c8d ; 
+ d4   :  1cb6 ; 
+ d5   :  1cdf ; 
+ d6   :  1d08 ; 
+ d7   :  1d32 ; 
+ d8   :  1d5b ; 
+ d9   :  1d84 ; 
+ da   :  1dae ; 
+ db   :  1dd7 ; 
+ dc   :  1e00 ; 
+ dd   :  1e2a ; 
+ de   :  1e53 ; 
+ df   :  1e7d ; 
+ e0   :  1ea6 ; 
+ e1   :  1ed0 ; 
+ e2   :  1efa ; 
+ e3   :  1f23 ; 
+ e4   :  1f4d ; 
+ e5   :  1f77 ; 
+ e6   :  1fa1 ; 
+ e7   :  1fca ; 
+ e8   :  1ff4 ; 
+ e9   :  201e ; 
+ ea   :  2048 ; 
+ eb   :  2072 ; 
+ ec   :  209c ; 
+ ed   :  20c6 ; 
+ ee   :  20f0 ; 
+ ef   :  211a ; 
+ f0   :  2144 ; 
+ f1   :  216e ; 
+ f2   :  2199 ; 
+ f3   :  21c3 ; 
+ f4   :  21ed ; 
+ f5   :  2217 ; 
+ f6   :  2241 ; 
+ f7   :  226c ; 
+ f8   :  2296 ; 
+ f9   :  22c1 ; 
+ fa   :  22eb ; 
+ fb   :  2315 ; 
+ fc   :  2340 ; 
+ fd   :  236a ; 
+ fe   :  2395 ; 
+ ff   :  23bf ; 
+ 100   :  23ea ; 
+ 101   :  2414 ; 
+ 102   :  243f ; 
+ 103   :  246a ; 
+ 104   :  2494 ; 
+ 105   :  24bf ; 
+ 106   :  24ea ; 
+ 107   :  2515 ; 
+ 108   :  253f ; 
+ 109   :  256a ; 
+ 10a   :  2595 ; 
+ 10b   :  25c0 ; 
+ 10c   :  25eb ; 
+ 10d   :  2616 ; 
+ 10e   :  2641 ; 
+ 10f   :  266c ; 
+ 110   :  2696 ; 
+ 111   :  26c1 ; 
+ 112   :  26ed ; 
+ 113   :  2718 ; 
+ 114   :  2743 ; 
+ 115   :  276e ; 
+ 116   :  2799 ; 
+ 117   :  27c4 ; 
+ 118   :  27ef ; 
+ 119   :  281a ; 
+ 11a   :  2846 ; 
+ 11b   :  2871 ; 
+ 11c   :  289c ; 
+ 11d   :  28c7 ; 
+ 11e   :  28f3 ; 
+ 11f   :  291e ; 
+ 120   :  2949 ; 
+ 121   :  2975 ; 
+ 122   :  29a0 ; 
+ 123   :  29cb ; 
+ 124   :  29f7 ; 
+ 125   :  2a22 ; 
+ 126   :  2a4e ; 
+ 127   :  2a79 ; 
+ 128   :  2aa5 ; 
+ 129   :  2ad0 ; 
+ 12a   :  2afc ; 
+ 12b   :  2b27 ; 
+ 12c   :  2b53 ; 
+ 12d   :  2b7e ; 
+ 12e   :  2baa ; 
+ 12f   :  2bd5 ; 
+ 130   :  2c01 ; 
+ 131   :  2c2d ; 
+ 132   :  2c58 ; 
+ 133   :  2c84 ; 
+ 134   :  2cb0 ; 
+ 135   :  2cdb ; 
+ 136   :  2d07 ; 
+ 137   :  2d33 ; 
+ 138   :  2d5f ; 
+ 139   :  2d8a ; 
+ 13a   :  2db6 ; 
+ 13b   :  2de2 ; 
+ 13c   :  2e0e ; 
+ 13d   :  2e3a ; 
+ 13e   :  2e66 ; 
+ 13f   :  2e91 ; 
+ 140   :  2ebd ; 
+ 141   :  2ee9 ; 
+ 142   :  2f15 ; 
+ 143   :  2f41 ; 
+ 144   :  2f6d ; 
+ 145   :  2f99 ; 
+ 146   :  2fc5 ; 
+ 147   :  2ff1 ; 
+ 148   :  301d ; 
+ 149   :  3049 ; 
+ 14a   :  3075 ; 
+ 14b   :  30a1 ; 
+ 14c   :  30cd ; 
+ 14d   :  30f9 ; 
+ 14e   :  3125 ; 
+ 14f   :  3151 ; 
+ 150   :  317d ; 
+ 151   :  31a9 ; 
+ 152   :  31d5 ; 
+ 153   :  3201 ; 
+ 154   :  322d ; 
+ 155   :  3259 ; 
+ 156   :  3285 ; 
+ 157   :  32b1 ; 
+ 158   :  32dd ; 
+ 159   :  330a ; 
+ 15a   :  3336 ; 
+ 15b   :  3362 ; 
+ 15c   :  338e ; 
+ 15d   :  33ba ; 
+ 15e   :  33e6 ; 
+ 15f   :  3412 ; 
+ 160   :  343f ; 
+ 161   :  346b ; 
+ 162   :  3497 ; 
+ 163   :  34c3 ; 
+ 164   :  34ef ; 
+ 165   :  351c ; 
+ 166   :  3548 ; 
+ 167   :  3574 ; 
+ 168   :  35a0 ; 
+ 169   :  35cc ; 
+ 16a   :  35f9 ; 
+ 16b   :  3625 ; 
+ 16c   :  3651 ; 
+ 16d   :  367d ; 
+ 16e   :  36a9 ; 
+ 16f   :  36d6 ; 
+ 170   :  3702 ; 
+ 171   :  372e ; 
+ 172   :  375a ; 
+ 173   :  3787 ; 
+ 174   :  37b3 ; 
+ 175   :  37df ; 
+ 176   :  380b ; 
+ 177   :  3837 ; 
+ 178   :  3864 ; 
+ 179   :  3890 ; 
+ 17a   :  38bc ; 
+ 17b   :  38e8 ; 
+ 17c   :  3915 ; 
+ 17d   :  3941 ; 
+ 17e   :  396d ; 
+ 17f   :  3999 ; 
+ 180   :  39c6 ; 
+ 181   :  39f2 ; 
+ 182   :  3a1e ; 
+ 183   :  3a4a ; 
+ 184   :  3a76 ; 
+ 185   :  3aa3 ; 
+ 186   :  3acf ; 
+ 187   :  3afb ; 
+ 188   :  3b27 ; 
+ 189   :  3b53 ; 
+ 18a   :  3b80 ; 
+ 18b   :  3bac ; 
+ 18c   :  3bd8 ; 
+ 18d   :  3c04 ; 
+ 18e   :  3c30 ; 
+ 18f   :  3c5c ; 
+ 190   :  3c89 ; 
+ 191   :  3cb5 ; 
+ 192   :  3ce1 ; 
+ 193   :  3d0d ; 
+ 194   :  3d39 ; 
+ 195   :  3d65 ; 
+ 196   :  3d91 ; 
+ 197   :  3dbe ; 
+ 198   :  3dea ; 
+ 199   :  3e16 ; 
+ 19a   :  3e42 ; 
+ 19b   :  3e6e ; 
+ 19c   :  3e9a ; 
+ 19d   :  3ec6 ; 
+ 19e   :  3ef2 ; 
+ 19f   :  3f1e ; 
+ 1a0   :  3f4a ; 
+ 1a1   :  3f76 ; 
+ 1a2   :  3fa2 ; 
+ 1a3   :  3fce ; 
+ 1a4   :  3ffa ; 
+ 1a5   :  4026 ; 
+ 1a6   :  4052 ; 
+ 1a7   :  407e ; 
+ 1a8   :  40aa ; 
+ 1a9   :  40d6 ; 
+ 1aa   :  4102 ; 
+ 1ab   :  412e ; 
+ 1ac   :  415a ; 
+ 1ad   :  4186 ; 
+ 1ae   :  41b1 ; 
+ 1af   :  41dd ; 
+ 1b0   :  4209 ; 
+ 1b1   :  4235 ; 
+ 1b2   :  4261 ; 
+ 1b3   :  428d ; 
+ 1b4   :  42b8 ; 
+ 1b5   :  42e4 ; 
+ 1b6   :  4310 ; 
+ 1b7   :  433c ; 
+ 1b8   :  4367 ; 
+ 1b9   :  4393 ; 
+ 1ba   :  43bf ; 
+ 1bb   :  43ea ; 
+ 1bc   :  4416 ; 
+ 1bd   :  4442 ; 
+ 1be   :  446d ; 
+ 1bf   :  4499 ; 
+ 1c0   :  44c5 ; 
+ 1c1   :  44f0 ; 
+ 1c2   :  451c ; 
+ 1c3   :  4547 ; 
+ 1c4   :  4573 ; 
+ 1c5   :  459e ; 
+ 1c6   :  45ca ; 
+ 1c7   :  45f5 ; 
+ 1c8   :  4621 ; 
+ 1c9   :  464c ; 
+ 1ca   :  4677 ; 
+ 1cb   :  46a3 ; 
+ 1cc   :  46ce ; 
+ 1cd   :  46f9 ; 
+ 1ce   :  4725 ; 
+ 1cf   :  4750 ; 
+ 1d0   :  477b ; 
+ 1d1   :  47a7 ; 
+ 1d2   :  47d2 ; 
+ 1d3   :  47fd ; 
+ 1d4   :  4828 ; 
+ 1d5   :  4853 ; 
+ 1d6   :  487f ; 
+ 1d7   :  48aa ; 
+ 1d8   :  48d5 ; 
+ 1d9   :  4900 ; 
+ 1da   :  492b ; 
+ 1db   :  4956 ; 
+ 1dc   :  4981 ; 
+ 1dd   :  49ac ; 
+ 1de   :  49d7 ; 
+ 1df   :  4a02 ; 
+ 1e0   :  4a2d ; 
+ 1e1   :  4a57 ; 
+ 1e2   :  4a82 ; 
+ 1e3   :  4aad ; 
+ 1e4   :  4ad8 ; 
+ 1e5   :  4b03 ; 
+ 1e6   :  4b2d ; 
+ 1e7   :  4b58 ; 
+ 1e8   :  4b83 ; 
+ 1e9   :  4bad ; 
+ 1ea   :  4bd8 ; 
+ 1eb   :  4c03 ; 
+ 1ec   :  4c2d ; 
+ 1ed   :  4c58 ; 
+ 1ee   :  4c82 ; 
+ 1ef   :  4cad ; 
+ 1f0   :  4cd7 ; 
+ 1f1   :  4d02 ; 
+ 1f2   :  4d2c ; 
+ 1f3   :  4d56 ; 
+ 1f4   :  4d81 ; 
+ 1f5   :  4dab ; 
+ 1f6   :  4dd5 ; 
+ 1f7   :  4dff ; 
+ 1f8   :  4e2a ; 
+ 1f9   :  4e54 ; 
+ 1fa   :  4e7e ; 
+ 1fb   :  4ea8 ; 
+ 1fc   :  4ed2 ; 
+ 1fd   :  4efc ; 
+ 1fe   :  4f26 ; 
+ 1ff   :  4f50 ; 
+ 200   :  4f7a ; 
+ 201   :  4fa4 ; 
+ 202   :  4fce ; 
+ 203   :  4ff8 ; 
+ 204   :  5022 ; 
+ 205   :  504b ; 
+ 206   :  5075 ; 
+ 207   :  509f ; 
+ 208   :  50c8 ; 
+ 209   :  50f2 ; 
+ 20a   :  511c ; 
+ 20b   :  5145 ; 
+ 20c   :  516f ; 
+ 20d   :  5198 ; 
+ 20e   :  51c2 ; 
+ 20f   :  51eb ; 
+ 210   :  5215 ; 
+ 211   :  523e ; 
+ 212   :  5267 ; 
+ 213   :  5290 ; 
+ 214   :  52ba ; 
+ 215   :  52e3 ; 
+ 216   :  530c ; 
+ 217   :  5335 ; 
+ 218   :  535e ; 
+ 219   :  5387 ; 
+ 21a   :  53b0 ; 
+ 21b   :  53d9 ; 
+ 21c   :  5402 ; 
+ 21d   :  542b ; 
+ 21e   :  5454 ; 
+ 21f   :  547d ; 
+ 220   :  54a5 ; 
+ 221   :  54ce ; 
+ 222   :  54f7 ; 
+ 223   :  551f ; 
+ 224   :  5548 ; 
+ 225   :  5570 ; 
+ 226   :  5599 ; 
+ 227   :  55c1 ; 
+ 228   :  55ea ; 
+ 229   :  5612 ; 
+ 22a   :  563a ; 
+ 22b   :  5663 ; 
+ 22c   :  568b ; 
+ 22d   :  56b3 ; 
+ 22e   :  56db ; 
+ 22f   :  5703 ; 
+ 230   :  572b ; 
+ 231   :  5754 ; 
+ 232   :  577b ; 
+ 233   :  57a3 ; 
+ 234   :  57cb ; 
+ 235   :  57f3 ; 
+ 236   :  581b ; 
+ 237   :  5843 ; 
+ 238   :  586a ; 
+ 239   :  5892 ; 
+ 23a   :  58ba ; 
+ 23b   :  58e1 ; 
+ 23c   :  5909 ; 
+ 23d   :  5930 ; 
+ 23e   :  5957 ; 
+ 23f   :  597f ; 
+ 240   :  59a6 ; 
+ 241   :  59cd ; 
+ 242   :  59f5 ; 
+ 243   :  5a1c ; 
+ 244   :  5a43 ; 
+ 245   :  5a6a ; 
+ 246   :  5a91 ; 
+ 247   :  5ab8 ; 
+ 248   :  5adf ; 
+ 249   :  5b06 ; 
+ 24a   :  5b2c ; 
+ 24b   :  5b53 ; 
+ 24c   :  5b7a ; 
+ 24d   :  5ba1 ; 
+ 24e   :  5bc7 ; 
+ 24f   :  5bee ; 
+ 250   :  5c14 ; 
+ 251   :  5c3b ; 
+ 252   :  5c61 ; 
+ 253   :  5c87 ; 
+ 254   :  5cae ; 
+ 255   :  5cd4 ; 
+ 256   :  5cfa ; 
+ 257   :  5d20 ; 
+ 258   :  5d46 ; 
+ 259   :  5d6c ; 
+ 25a   :  5d92 ; 
+ 25b   :  5db8 ; 
+ 25c   :  5dde ; 
+ 25d   :  5e04 ; 
+ 25e   :  5e2a ; 
+ 25f   :  5e4f ; 
+ 260   :  5e75 ; 
+ 261   :  5e9a ; 
+ 262   :  5ec0 ; 
+ 263   :  5ee5 ; 
+ 264   :  5f0b ; 
+ 265   :  5f30 ; 
+ 266   :  5f55 ; 
+ 267   :  5f7b ; 
+ 268   :  5fa0 ; 
+ 269   :  5fc5 ; 
+ 26a   :  5fea ; 
+ 26b   :  600f ; 
+ 26c   :  6034 ; 
+ 26d   :  6059 ; 
+ 26e   :  607e ; 
+ 26f   :  60a2 ; 
+ 270   :  60c7 ; 
+ 271   :  60ec ; 
+ 272   :  6110 ; 
+ 273   :  6135 ; 
+ 274   :  6159 ; 
+ 275   :  617e ; 
+ 276   :  61a2 ; 
+ 277   :  61c6 ; 
+ 278   :  61eb ; 
+ 279   :  620f ; 
+ 27a   :  6233 ; 
+ 27b   :  6257 ; 
+ 27c   :  627b ; 
+ 27d   :  629f ; 
+ 27e   :  62c3 ; 
+ 27f   :  62e6 ; 
+ 280   :  630a ; 
+ 281   :  632e ; 
+ 282   :  6351 ; 
+ 283   :  6375 ; 
+ 284   :  6398 ; 
+ 285   :  63bc ; 
+ 286   :  63df ; 
+ 287   :  6402 ; 
+ 288   :  6426 ; 
+ 289   :  6449 ; 
+ 28a   :  646c ; 
+ 28b   :  648f ; 
+ 28c   :  64b2 ; 
+ 28d   :  64d5 ; 
+ 28e   :  64f7 ; 
+ 28f   :  651a ; 
+ 290   :  653d ; 
+ 291   :  655f ; 
+ 292   :  6582 ; 
+ 293   :  65a5 ; 
+ 294   :  65c7 ; 
+ 295   :  65e9 ; 
+ 296   :  660c ; 
+ 297   :  662e ; 
+ 298   :  6650 ; 
+ 299   :  6672 ; 
+ 29a   :  6694 ; 
+ 29b   :  66b6 ; 
+ 29c   :  66d8 ; 
+ 29d   :  66fa ; 
+ 29e   :  671b ; 
+ 29f   :  673d ; 
+ 2a0   :  675f ; 
+ 2a1   :  6780 ; 
+ 2a2   :  67a2 ; 
+ 2a3   :  67c3 ; 
+ 2a4   :  67e4 ; 
+ 2a5   :  6805 ; 
+ 2a6   :  6827 ; 
+ 2a7   :  6848 ; 
+ 2a8   :  6869 ; 
+ 2a9   :  688a ; 
+ 2aa   :  68ab ; 
+ 2ab   :  68cb ; 
+ 2ac   :  68ec ; 
+ 2ad   :  690d ; 
+ 2ae   :  692d ; 
+ 2af   :  694e ; 
+ 2b0   :  696e ; 
+ 2b1   :  698f ; 
+ 2b2   :  69af ; 
+ 2b3   :  69cf ; 
+ 2b4   :  69ef ; 
+ 2b5   :  6a10 ; 
+ 2b6   :  6a30 ; 
+ 2b7   :  6a4f ; 
+ 2b8   :  6a6f ; 
+ 2b9   :  6a8f ; 
+ 2ba   :  6aaf ; 
+ 2bb   :  6ace ; 
+ 2bc   :  6aee ; 
+ 2bd   :  6b0d ; 
+ 2be   :  6b2d ; 
+ 2bf   :  6b4c ; 
+ 2c0   :  6b6b ; 
+ 2c1   :  6b8b ; 
+ 2c2   :  6baa ; 
+ 2c3   :  6bc9 ; 
+ 2c4   :  6be8 ; 
+ 2c5   :  6c07 ; 
+ 2c6   :  6c25 ; 
+ 2c7   :  6c44 ; 
+ 2c8   :  6c63 ; 
+ 2c9   :  6c81 ; 
+ 2ca   :  6ca0 ; 
+ 2cb   :  6cbe ; 
+ 2cc   :  6cdc ; 
+ 2cd   :  6cfb ; 
+ 2ce   :  6d19 ; 
+ 2cf   :  6d37 ; 
+ 2d0   :  6d55 ; 
+ 2d1   :  6d73 ; 
+ 2d2   :  6d91 ; 
+ 2d3   :  6daf ; 
+ 2d4   :  6dcc ; 
+ 2d5   :  6dea ; 
+ 2d6   :  6e07 ; 
+ 2d7   :  6e25 ; 
+ 2d8   :  6e42 ; 
+ 2d9   :  6e5f ; 
+ 2da   :  6e7d ; 
+ 2db   :  6e9a ; 
+ 2dc   :  6eb7 ; 
+ 2dd   :  6ed4 ; 
+ 2de   :  6ef1 ; 
+ 2df   :  6f0e ; 
+ 2e0   :  6f2a ; 
+ 2e1   :  6f47 ; 
+ 2e2   :  6f63 ; 
+ 2e3   :  6f80 ; 
+ 2e4   :  6f9c ; 
+ 2e5   :  6fb9 ; 
+ 2e6   :  6fd5 ; 
+ 2e7   :  6ff1 ; 
+ 2e8   :  700d ; 
+ 2e9   :  7029 ; 
+ 2ea   :  7045 ; 
+ 2eb   :  7061 ; 
+ 2ec   :  707c ; 
+ 2ed   :  7098 ; 
+ 2ee   :  70b4 ; 
+ 2ef   :  70cf ; 
+ 2f0   :  70ea ; 
+ 2f1   :  7106 ; 
+ 2f2   :  7121 ; 
+ 2f3   :  713c ; 
+ 2f4   :  7157 ; 
+ 2f5   :  7172 ; 
+ 2f6   :  718d ; 
+ 2f7   :  71a8 ; 
+ 2f8   :  71c3 ; 
+ 2f9   :  71dd ; 
+ 2fa   :  71f8 ; 
+ 2fb   :  7212 ; 
+ 2fc   :  722c ; 
+ 2fd   :  7247 ; 
+ 2fe   :  7261 ; 
+ 2ff   :  727b ; 
+ 300   :  7295 ; 
+ 301   :  72af ; 
+ 302   :  72c9 ; 
+ 303   :  72e2 ; 
+ 304   :  72fc ; 
+ 305   :  7316 ; 
+ 306   :  732f ; 
+ 307   :  7349 ; 
+ 308   :  7362 ; 
+ 309   :  737b ; 
+ 30a   :  7394 ; 
+ 30b   :  73ad ; 
+ 30c   :  73c6 ; 
+ 30d   :  73df ; 
+ 30e   :  73f8 ; 
+ 30f   :  7411 ; 
+ 310   :  7429 ; 
+ 311   :  7442 ; 
+ 312   :  745a ; 
+ 313   :  7472 ; 
+ 314   :  748b ; 
+ 315   :  74a3 ; 
+ 316   :  74bb ; 
+ 317   :  74d3 ; 
+ 318   :  74eb ; 
+ 319   :  7502 ; 
+ 31a   :  751a ; 
+ 31b   :  7532 ; 
+ 31c   :  7549 ; 
+ 31d   :  7561 ; 
+ 31e   :  7578 ; 
+ 31f   :  758f ; 
+ 320   :  75a6 ; 
+ 321   :  75bd ; 
+ 322   :  75d4 ; 
+ 323   :  75eb ; 
+ 324   :  7602 ; 
+ 325   :  7618 ; 
+ 326   :  762f ; 
+ 327   :  7646 ; 
+ 328   :  765c ; 
+ 329   :  7672 ; 
+ 32a   :  7688 ; 
+ 32b   :  769e ; 
+ 32c   :  76b5 ; 
+ 32d   :  76ca ; 
+ 32e   :  76e0 ; 
+ 32f   :  76f6 ; 
+ 330   :  770c ; 
+ 331   :  7721 ; 
+ 332   :  7737 ; 
+ 333   :  774c ; 
+ 334   :  7761 ; 
+ 335   :  7776 ; 
+ 336   :  778b ; 
+ 337   :  77a0 ; 
+ 338   :  77b5 ; 
+ 339   :  77ca ; 
+ 33a   :  77df ; 
+ 33b   :  77f3 ; 
+ 33c   :  7808 ; 
+ 33d   :  781c ; 
+ 33e   :  7831 ; 
+ 33f   :  7845 ; 
+ 340   :  7859 ; 
+ 341   :  786d ; 
+ 342   :  7881 ; 
+ 343   :  7895 ; 
+ 344   :  78a8 ; 
+ 345   :  78bc ; 
+ 346   :  78cf ; 
+ 347   :  78e3 ; 
+ 348   :  78f6 ; 
+ 349   :  7909 ; 
+ 34a   :  791d ; 
+ 34b   :  7930 ; 
+ 34c   :  7943 ; 
+ 34d   :  7955 ; 
+ 34e   :  7968 ; 
+ 34f   :  797b ; 
+ 350   :  798d ; 
+ 351   :  79a0 ; 
+ 352   :  79b2 ; 
+ 353   :  79c4 ; 
+ 354   :  79d7 ; 
+ 355   :  79e9 ; 
+ 356   :  79fb ; 
+ 357   :  7a0c ; 
+ 358   :  7a1e ; 
+ 359   :  7a30 ; 
+ 35a   :  7a41 ; 
+ 35b   :  7a53 ; 
+ 35c   :  7a64 ; 
+ 35d   :  7a75 ; 
+ 35e   :  7a87 ; 
+ 35f   :  7a98 ; 
+ 360   :  7aa9 ; 
+ 361   :  7ab9 ; 
+ 362   :  7aca ; 
+ 363   :  7adb ; 
+ 364   :  7aeb ; 
+ 365   :  7afc ; 
+ 366   :  7b0c ; 
+ 367   :  7b1c ; 
+ 368   :  7b2d ; 
+ 369   :  7b3d ; 
+ 36a   :  7b4d ; 
+ 36b   :  7b5c ; 
+ 36c   :  7b6c ; 
+ 36d   :  7b7c ; 
+ 36e   :  7b8b ; 
+ 36f   :  7b9b ; 
+ 370   :  7baa ; 
+ 371   :  7bb9 ; 
+ 372   :  7bc9 ; 
+ 373   :  7bd8 ; 
+ 374   :  7be7 ; 
+ 375   :  7bf5 ; 
+ 376   :  7c04 ; 
+ 377   :  7c13 ; 
+ 378   :  7c21 ; 
+ 379   :  7c30 ; 
+ 37a   :  7c3e ; 
+ 37b   :  7c4c ; 
+ 37c   :  7c5a ; 
+ 37d   :  7c68 ; 
+ 37e   :  7c76 ; 
+ 37f   :  7c84 ; 
+ 380   :  7c92 ; 
+ 381   :  7c9f ; 
+ 382   :  7cad ; 
+ 383   :  7cba ; 
+ 384   :  7cc7 ; 
+ 385   :  7cd5 ; 
+ 386   :  7ce2 ; 
+ 387   :  7cef ; 
+ 388   :  7cfc ; 
+ 389   :  7d08 ; 
+ 38a   :  7d15 ; 
+ 38b   :  7d22 ; 
+ 38c   :  7d2e ; 
+ 38d   :  7d3a ; 
+ 38e   :  7d47 ; 
+ 38f   :  7d53 ; 
+ 390   :  7d5f ; 
+ 391   :  7d6b ; 
+ 392   :  7d76 ; 
+ 393   :  7d82 ; 
+ 394   :  7d8e ; 
+ 395   :  7d99 ; 
+ 396   :  7da5 ; 
+ 397   :  7db0 ; 
+ 398   :  7dbb ; 
+ 399   :  7dc6 ; 
+ 39a   :  7dd1 ; 
+ 39b   :  7ddc ; 
+ 39c   :  7de7 ; 
+ 39d   :  7df2 ; 
+ 39e   :  7dfc ; 
+ 39f   :  7e07 ; 
+ 3a0   :  7e11 ; 
+ 3a1   :  7e1b ; 
+ 3a2   :  7e25 ; 
+ 3a3   :  7e2f ; 
+ 3a4   :  7e39 ; 
+ 3a5   :  7e43 ; 
+ 3a6   :  7e4d ; 
+ 3a7   :  7e56 ; 
+ 3a8   :  7e60 ; 
+ 3a9   :  7e69 ; 
+ 3aa   :  7e73 ; 
+ 3ab   :  7e7c ; 
+ 3ac   :  7e85 ; 
+ 3ad   :  7e8e ; 
+ 3ae   :  7e97 ; 
+ 3af   :  7e9f ; 
+ 3b0   :  7ea8 ; 
+ 3b1   :  7eb1 ; 
+ 3b2   :  7eb9 ; 
+ 3b3   :  7ec1 ; 
+ 3b4   :  7eca ; 
+ 3b5   :  7ed2 ; 
+ 3b6   :  7eda ; 
+ 3b7   :  7ee2 ; 
+ 3b8   :  7ee9 ; 
+ 3b9   :  7ef1 ; 
+ 3ba   :  7ef9 ; 
+ 3bb   :  7f00 ; 
+ 3bc   :  7f08 ; 
+ 3bd   :  7f0f ; 
+ 3be   :  7f16 ; 
+ 3bf   :  7f1d ; 
+ 3c0   :  7f24 ; 
+ 3c1   :  7f2b ; 
+ 3c2   :  7f32 ; 
+ 3c3   :  7f38 ; 
+ 3c4   :  7f3f ; 
+ 3c5   :  7f45 ; 
+ 3c6   :  7f4b ; 
+ 3c7   :  7f52 ; 
+ 3c8   :  7f58 ; 
+ 3c9   :  7f5e ; 
+ 3ca   :  7f63 ; 
+ 3cb   :  7f69 ; 
+ 3cc   :  7f6f ; 
+ 3cd   :  7f74 ; 
+ 3ce   :  7f7a ; 
+ 3cf   :  7f7f ; 
+ 3d0   :  7f84 ; 
+ 3d1   :  7f89 ; 
+ 3d2   :  7f8e ; 
+ 3d3   :  7f93 ; 
+ 3d4   :  7f98 ; 
+ 3d5   :  7f9d ; 
+ 3d6   :  7fa1 ; 
+ 3d7   :  7fa6 ; 
+ 3d8   :  7faa ; 
+ 3d9   :  7fae ; 
+ 3da   :  7fb3 ; 
+ 3db   :  7fb7 ; 
+ 3dc   :  7fba ; 
+ 3dd   :  7fbe ; 
+ 3de   :  7fc2 ; 
+ 3df   :  7fc6 ; 
+ 3e0   :  7fc9 ; 
+ 3e1   :  7fcc ; 
+ 3e2   :  7fd0 ; 
+ 3e3   :  7fd3 ; 
+ 3e4   :  7fd6 ; 
+ 3e5   :  7fd9 ; 
+ 3e6   :  7fdc ; 
+ 3e7   :  7fde ; 
+ 3e8   :  7fe1 ; 
+ 3e9   :  7fe3 ; 
+ 3ea   :  7fe6 ; 
+ 3eb   :  7fe8 ; 
+ 3ec   :  7fea ; 
+ 3ed   :  7fec ; 
+ 3ee   :  7fee ; 
+ 3ef   :  7ff0 ; 
+ 3f0   :  7ff2 ; 
+ 3f1   :  7ff4 ; 
+ 3f2   :  7ff5 ; 
+ 3f3   :  7ff7 ; 
+ 3f4   :  7ff8 ; 
+ 3f5   :  7ff9 ; 
+ 3f6   :  7ffa ; 
+ 3f7   :  7ffb ; 
+ 3f8   :  7ffc ; 
+ 3f9   :  7ffd ; 
+ 3fa   :  7ffd ; 
+ 3fb   :  7ffe ; 
+ 3fc   :  7ffe ; 
+ 3fd   :  7fff ; 
+ 3fe   :  7fff ; 
+ 3ff   :  7fff ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_9.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_9.mif
new file mode 100644
index 0000000000000000000000000000000000000000..ab8ffdc575796f4260be60e2a0288ba99856ef66
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_16b_1wb_9.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  e4 ; 
+ 1   :  d8 ; 
+ 2   :  cc ; 
+ 3   :  bf ; 
+ 4   :  b3 ; 
+ 5   :  a7 ; 
+ 6   :  9b ; 
+ 7   :  8e ; 
+ 8   :  82 ; 
+ 9   :  76 ; 
+ a   :  69 ; 
+ b   :  5d ; 
+ c   :  50 ; 
+ d   :  44 ; 
+ e   :  38 ; 
+ f   :  2b ; 
+ 10   :  1f ; 
+ 11   :  12 ; 
+ 12   :  6 ; 
+ 13   :  fff9 ; 
+ 14   :  ffed ; 
+ 15   :  ffe0 ; 
+ 16   :  ffd4 ; 
+ 17   :  ffc7 ; 
+ 18   :  ffba ; 
+ 19   :  ffae ; 
+ 1a   :  ffa1 ; 
+ 1b   :  ff94 ; 
+ 1c   :  ff88 ; 
+ 1d   :  ff7b ; 
+ 1e   :  ff6e ; 
+ 1f   :  ff61 ; 
+ 20   :  ff55 ; 
+ 21   :  ff48 ; 
+ 22   :  ff3b ; 
+ 23   :  ff2e ; 
+ 24   :  ff22 ; 
+ 25   :  ff15 ; 
+ 26   :  ff08 ; 
+ 27   :  fefb ; 
+ 28   :  feee ; 
+ 29   :  fee1 ; 
+ 2a   :  fed4 ; 
+ 2b   :  fec7 ; 
+ 2c   :  feba ; 
+ 2d   :  fead ; 
+ 2e   :  fea0 ; 
+ 2f   :  fe93 ; 
+ 30   :  fe86 ; 
+ 31   :  fe79 ; 
+ 32   :  fe6c ; 
+ 33   :  fe5f ; 
+ 34   :  fe52 ; 
+ 35   :  fe45 ; 
+ 36   :  fe38 ; 
+ 37   :  fe2b ; 
+ 38   :  fe1e ; 
+ 39   :  fe10 ; 
+ 3a   :  fe03 ; 
+ 3b   :  fdf6 ; 
+ 3c   :  fde9 ; 
+ 3d   :  fddc ; 
+ 3e   :  fdce ; 
+ 3f   :  fdc1 ; 
+ 40   :  fdb4 ; 
+ 41   :  fda7 ; 
+ 42   :  fd99 ; 
+ 43   :  fd8c ; 
+ 44   :  fd7f ; 
+ 45   :  fd71 ; 
+ 46   :  fd64 ; 
+ 47   :  fd57 ; 
+ 48   :  fd49 ; 
+ 49   :  fd3c ; 
+ 4a   :  fd2e ; 
+ 4b   :  fd21 ; 
+ 4c   :  fd14 ; 
+ 4d   :  fd06 ; 
+ 4e   :  fcf9 ; 
+ 4f   :  fceb ; 
+ 50   :  fcde ; 
+ 51   :  fcd0 ; 
+ 52   :  fcc3 ; 
+ 53   :  fcb5 ; 
+ 54   :  fca8 ; 
+ 55   :  fc9a ; 
+ 56   :  fc8d ; 
+ 57   :  fc7f ; 
+ 58   :  fc72 ; 
+ 59   :  fc64 ; 
+ 5a   :  fc56 ; 
+ 5b   :  fc49 ; 
+ 5c   :  fc3b ; 
+ 5d   :  fc2e ; 
+ 5e   :  fc20 ; 
+ 5f   :  fc12 ; 
+ 60   :  fc05 ; 
+ 61   :  fbf7 ; 
+ 62   :  fbe9 ; 
+ 63   :  fbdc ; 
+ 64   :  fbce ; 
+ 65   :  fbc0 ; 
+ 66   :  fbb3 ; 
+ 67   :  fba5 ; 
+ 68   :  fb97 ; 
+ 69   :  fb89 ; 
+ 6a   :  fb7c ; 
+ 6b   :  fb6e ; 
+ 6c   :  fb60 ; 
+ 6d   :  fb52 ; 
+ 6e   :  fb44 ; 
+ 6f   :  fb37 ; 
+ 70   :  fb29 ; 
+ 71   :  fb1b ; 
+ 72   :  fb0d ; 
+ 73   :  faff ; 
+ 74   :  faf2 ; 
+ 75   :  fae4 ; 
+ 76   :  fad6 ; 
+ 77   :  fac8 ; 
+ 78   :  faba ; 
+ 79   :  faac ; 
+ 7a   :  fa9e ; 
+ 7b   :  fa90 ; 
+ 7c   :  fa83 ; 
+ 7d   :  fa75 ; 
+ 7e   :  fa67 ; 
+ 7f   :  fa59 ; 
+ 80   :  fa4b ; 
+ 81   :  fa3d ; 
+ 82   :  fa2f ; 
+ 83   :  fa21 ; 
+ 84   :  fa13 ; 
+ 85   :  fa05 ; 
+ 86   :  f9f7 ; 
+ 87   :  f9e9 ; 
+ 88   :  f9db ; 
+ 89   :  f9cd ; 
+ 8a   :  f9bf ; 
+ 8b   :  f9b1 ; 
+ 8c   :  f9a3 ; 
+ 8d   :  f995 ; 
+ 8e   :  f987 ; 
+ 8f   :  f979 ; 
+ 90   :  f96b ; 
+ 91   :  f95d ; 
+ 92   :  f94f ; 
+ 93   :  f941 ; 
+ 94   :  f933 ; 
+ 95   :  f925 ; 
+ 96   :  f917 ; 
+ 97   :  f909 ; 
+ 98   :  f8fb ; 
+ 99   :  f8ed ; 
+ 9a   :  f8df ; 
+ 9b   :  f8d1 ; 
+ 9c   :  f8c3 ; 
+ 9d   :  f8b5 ; 
+ 9e   :  f8a7 ; 
+ 9f   :  f899 ; 
+ a0   :  f88a ; 
+ a1   :  f87c ; 
+ a2   :  f86e ; 
+ a3   :  f860 ; 
+ a4   :  f852 ; 
+ a5   :  f844 ; 
+ a6   :  f836 ; 
+ a7   :  f828 ; 
+ a8   :  f81a ; 
+ a9   :  f80c ; 
+ aa   :  f7fe ; 
+ ab   :  f7f0 ; 
+ ac   :  f7e1 ; 
+ ad   :  f7d3 ; 
+ ae   :  f7c5 ; 
+ af   :  f7b7 ; 
+ b0   :  f7a9 ; 
+ b1   :  f79b ; 
+ b2   :  f78d ; 
+ b3   :  f77f ; 
+ b4   :  f771 ; 
+ b5   :  f763 ; 
+ b6   :  f754 ; 
+ b7   :  f746 ; 
+ b8   :  f738 ; 
+ b9   :  f72a ; 
+ ba   :  f71c ; 
+ bb   :  f70e ; 
+ bc   :  f700 ; 
+ bd   :  f6f2 ; 
+ be   :  f6e4 ; 
+ bf   :  f6d6 ; 
+ c0   :  f6c8 ; 
+ c1   :  f6b9 ; 
+ c2   :  f6ab ; 
+ c3   :  f69d ; 
+ c4   :  f68f ; 
+ c5   :  f681 ; 
+ c6   :  f673 ; 
+ c7   :  f665 ; 
+ c8   :  f657 ; 
+ c9   :  f649 ; 
+ ca   :  f63b ; 
+ cb   :  f62d ; 
+ cc   :  f61f ; 
+ cd   :  f611 ; 
+ ce   :  f603 ; 
+ cf   :  f5f5 ; 
+ d0   :  f5e7 ; 
+ d1   :  f5d9 ; 
+ d2   :  f5cb ; 
+ d3   :  f5bd ; 
+ d4   :  f5af ; 
+ d5   :  f5a1 ; 
+ d6   :  f593 ; 
+ d7   :  f585 ; 
+ d8   :  f577 ; 
+ d9   :  f569 ; 
+ da   :  f55b ; 
+ db   :  f54d ; 
+ dc   :  f53f ; 
+ dd   :  f531 ; 
+ de   :  f523 ; 
+ df   :  f515 ; 
+ e0   :  f507 ; 
+ e1   :  f4f9 ; 
+ e2   :  f4eb ; 
+ e3   :  f4dd ; 
+ e4   :  f4cf ; 
+ e5   :  f4c1 ; 
+ e6   :  f4b3 ; 
+ e7   :  f4a5 ; 
+ e8   :  f497 ; 
+ e9   :  f48a ; 
+ ea   :  f47c ; 
+ eb   :  f46e ; 
+ ec   :  f460 ; 
+ ed   :  f452 ; 
+ ee   :  f444 ; 
+ ef   :  f436 ; 
+ f0   :  f429 ; 
+ f1   :  f41b ; 
+ f2   :  f40d ; 
+ f3   :  f3ff ; 
+ f4   :  f3f1 ; 
+ f5   :  f3e4 ; 
+ f6   :  f3d6 ; 
+ f7   :  f3c8 ; 
+ f8   :  f3ba ; 
+ f9   :  f3ad ; 
+ fa   :  f39f ; 
+ fb   :  f391 ; 
+ fc   :  f383 ; 
+ fd   :  f376 ; 
+ fe   :  f368 ; 
+ ff   :  f35a ; 
+ 100   :  f34d ; 
+ 101   :  f33f ; 
+ 102   :  f331 ; 
+ 103   :  f324 ; 
+ 104   :  f316 ; 
+ 105   :  f308 ; 
+ 106   :  f2fb ; 
+ 107   :  f2ed ; 
+ 108   :  f2e0 ; 
+ 109   :  f2d2 ; 
+ 10a   :  f2c5 ; 
+ 10b   :  f2b7 ; 
+ 10c   :  f2a9 ; 
+ 10d   :  f29c ; 
+ 10e   :  f28e ; 
+ 10f   :  f281 ; 
+ 110   :  f274 ; 
+ 111   :  f266 ; 
+ 112   :  f259 ; 
+ 113   :  f24b ; 
+ 114   :  f23e ; 
+ 115   :  f230 ; 
+ 116   :  f223 ; 
+ 117   :  f216 ; 
+ 118   :  f208 ; 
+ 119   :  f1fb ; 
+ 11a   :  f1ee ; 
+ 11b   :  f1e0 ; 
+ 11c   :  f1d3 ; 
+ 11d   :  f1c6 ; 
+ 11e   :  f1b8 ; 
+ 11f   :  f1ab ; 
+ 120   :  f19e ; 
+ 121   :  f191 ; 
+ 122   :  f184 ; 
+ 123   :  f176 ; 
+ 124   :  f169 ; 
+ 125   :  f15c ; 
+ 126   :  f14f ; 
+ 127   :  f142 ; 
+ 128   :  f135 ; 
+ 129   :  f128 ; 
+ 12a   :  f11a ; 
+ 12b   :  f10d ; 
+ 12c   :  f100 ; 
+ 12d   :  f0f3 ; 
+ 12e   :  f0e6 ; 
+ 12f   :  f0d9 ; 
+ 130   :  f0cc ; 
+ 131   :  f0bf ; 
+ 132   :  f0b3 ; 
+ 133   :  f0a6 ; 
+ 134   :  f099 ; 
+ 135   :  f08c ; 
+ 136   :  f07f ; 
+ 137   :  f072 ; 
+ 138   :  f065 ; 
+ 139   :  f059 ; 
+ 13a   :  f04c ; 
+ 13b   :  f03f ; 
+ 13c   :  f032 ; 
+ 13d   :  f026 ; 
+ 13e   :  f019 ; 
+ 13f   :  f00c ; 
+ 140   :  f000 ; 
+ 141   :  eff3 ; 
+ 142   :  efe6 ; 
+ 143   :  efda ; 
+ 144   :  efcd ; 
+ 145   :  efc1 ; 
+ 146   :  efb4 ; 
+ 147   :  efa8 ; 
+ 148   :  ef9b ; 
+ 149   :  ef8f ; 
+ 14a   :  ef82 ; 
+ 14b   :  ef76 ; 
+ 14c   :  ef6a ; 
+ 14d   :  ef5d ; 
+ 14e   :  ef51 ; 
+ 14f   :  ef45 ; 
+ 150   :  ef38 ; 
+ 151   :  ef2c ; 
+ 152   :  ef20 ; 
+ 153   :  ef14 ; 
+ 154   :  ef07 ; 
+ 155   :  eefb ; 
+ 156   :  eeef ; 
+ 157   :  eee3 ; 
+ 158   :  eed7 ; 
+ 159   :  eecb ; 
+ 15a   :  eebf ; 
+ 15b   :  eeb3 ; 
+ 15c   :  eea7 ; 
+ 15d   :  ee9b ; 
+ 15e   :  ee8f ; 
+ 15f   :  ee83 ; 
+ 160   :  ee77 ; 
+ 161   :  ee6b ; 
+ 162   :  ee5f ; 
+ 163   :  ee53 ; 
+ 164   :  ee47 ; 
+ 165   :  ee3c ; 
+ 166   :  ee30 ; 
+ 167   :  ee24 ; 
+ 168   :  ee19 ; 
+ 169   :  ee0d ; 
+ 16a   :  ee01 ; 
+ 16b   :  edf6 ; 
+ 16c   :  edea ; 
+ 16d   :  eddf ; 
+ 16e   :  edd3 ; 
+ 16f   :  edc7 ; 
+ 170   :  edbc ; 
+ 171   :  edb1 ; 
+ 172   :  eda5 ; 
+ 173   :  ed9a ; 
+ 174   :  ed8e ; 
+ 175   :  ed83 ; 
+ 176   :  ed78 ; 
+ 177   :  ed6d ; 
+ 178   :  ed61 ; 
+ 179   :  ed56 ; 
+ 17a   :  ed4b ; 
+ 17b   :  ed40 ; 
+ 17c   :  ed35 ; 
+ 17d   :  ed2a ; 
+ 17e   :  ed1e ; 
+ 17f   :  ed13 ; 
+ 180   :  ed08 ; 
+ 181   :  ecfd ; 
+ 182   :  ecf3 ; 
+ 183   :  ece8 ; 
+ 184   :  ecdd ; 
+ 185   :  ecd2 ; 
+ 186   :  ecc7 ; 
+ 187   :  ecbc ; 
+ 188   :  ecb2 ; 
+ 189   :  eca7 ; 
+ 18a   :  ec9c ; 
+ 18b   :  ec92 ; 
+ 18c   :  ec87 ; 
+ 18d   :  ec7c ; 
+ 18e   :  ec72 ; 
+ 18f   :  ec67 ; 
+ 190   :  ec5d ; 
+ 191   :  ec52 ; 
+ 192   :  ec48 ; 
+ 193   :  ec3e ; 
+ 194   :  ec33 ; 
+ 195   :  ec29 ; 
+ 196   :  ec1f ; 
+ 197   :  ec14 ; 
+ 198   :  ec0a ; 
+ 199   :  ec00 ; 
+ 19a   :  ebf6 ; 
+ 19b   :  ebec ; 
+ 19c   :  ebe2 ; 
+ 19d   :  ebd8 ; 
+ 19e   :  ebce ; 
+ 19f   :  ebc4 ; 
+ 1a0   :  ebba ; 
+ 1a1   :  ebb0 ; 
+ 1a2   :  eba6 ; 
+ 1a3   :  eb9c ; 
+ 1a4   :  eb92 ; 
+ 1a5   :  eb88 ; 
+ 1a6   :  eb7f ; 
+ 1a7   :  eb75 ; 
+ 1a8   :  eb6b ; 
+ 1a9   :  eb62 ; 
+ 1aa   :  eb58 ; 
+ 1ab   :  eb4f ; 
+ 1ac   :  eb45 ; 
+ 1ad   :  eb3c ; 
+ 1ae   :  eb32 ; 
+ 1af   :  eb29 ; 
+ 1b0   :  eb20 ; 
+ 1b1   :  eb16 ; 
+ 1b2   :  eb0d ; 
+ 1b3   :  eb04 ; 
+ 1b4   :  eafb ; 
+ 1b5   :  eaf1 ; 
+ 1b6   :  eae8 ; 
+ 1b7   :  eadf ; 
+ 1b8   :  ead6 ; 
+ 1b9   :  eacd ; 
+ 1ba   :  eac4 ; 
+ 1bb   :  eabb ; 
+ 1bc   :  eab2 ; 
+ 1bd   :  eaaa ; 
+ 1be   :  eaa1 ; 
+ 1bf   :  ea98 ; 
+ 1c0   :  ea8f ; 
+ 1c1   :  ea87 ; 
+ 1c2   :  ea7e ; 
+ 1c3   :  ea75 ; 
+ 1c4   :  ea6d ; 
+ 1c5   :  ea64 ; 
+ 1c6   :  ea5c ; 
+ 1c7   :  ea53 ; 
+ 1c8   :  ea4b ; 
+ 1c9   :  ea43 ; 
+ 1ca   :  ea3a ; 
+ 1cb   :  ea32 ; 
+ 1cc   :  ea2a ; 
+ 1cd   :  ea22 ; 
+ 1ce   :  ea1a ; 
+ 1cf   :  ea12 ; 
+ 1d0   :  ea09 ; 
+ 1d1   :  ea01 ; 
+ 1d2   :  e9f9 ; 
+ 1d3   :  e9f2 ; 
+ 1d4   :  e9ea ; 
+ 1d5   :  e9e2 ; 
+ 1d6   :  e9da ; 
+ 1d7   :  e9d2 ; 
+ 1d8   :  e9cb ; 
+ 1d9   :  e9c3 ; 
+ 1da   :  e9bb ; 
+ 1db   :  e9b4 ; 
+ 1dc   :  e9ac ; 
+ 1dd   :  e9a5 ; 
+ 1de   :  e99d ; 
+ 1df   :  e996 ; 
+ 1e0   :  e98f ; 
+ 1e1   :  e987 ; 
+ 1e2   :  e980 ; 
+ 1e3   :  e979 ; 
+ 1e4   :  e972 ; 
+ 1e5   :  e96b ; 
+ 1e6   :  e963 ; 
+ 1e7   :  e95c ; 
+ 1e8   :  e955 ; 
+ 1e9   :  e94e ; 
+ 1ea   :  e948 ; 
+ 1eb   :  e941 ; 
+ 1ec   :  e93a ; 
+ 1ed   :  e933 ; 
+ 1ee   :  e92c ; 
+ 1ef   :  e926 ; 
+ 1f0   :  e91f ; 
+ 1f1   :  e919 ; 
+ 1f2   :  e912 ; 
+ 1f3   :  e90c ; 
+ 1f4   :  e905 ; 
+ 1f5   :  e8ff ; 
+ 1f6   :  e8f9 ; 
+ 1f7   :  e8f2 ; 
+ 1f8   :  e8ec ; 
+ 1f9   :  e8e6 ; 
+ 1fa   :  e8e0 ; 
+ 1fb   :  e8da ; 
+ 1fc   :  e8d4 ; 
+ 1fd   :  e8ce ; 
+ 1fe   :  e8c8 ; 
+ 1ff   :  e8c2 ; 
+ 200   :  e8bc ; 
+ 201   :  e8b6 ; 
+ 202   :  e8b1 ; 
+ 203   :  e8ab ; 
+ 204   :  e8a5 ; 
+ 205   :  e8a0 ; 
+ 206   :  e89a ; 
+ 207   :  e895 ; 
+ 208   :  e88f ; 
+ 209   :  e88a ; 
+ 20a   :  e884 ; 
+ 20b   :  e87f ; 
+ 20c   :  e87a ; 
+ 20d   :  e875 ; 
+ 20e   :  e870 ; 
+ 20f   :  e86b ; 
+ 210   :  e866 ; 
+ 211   :  e861 ; 
+ 212   :  e85c ; 
+ 213   :  e857 ; 
+ 214   :  e852 ; 
+ 215   :  e84d ; 
+ 216   :  e849 ; 
+ 217   :  e844 ; 
+ 218   :  e83f ; 
+ 219   :  e83b ; 
+ 21a   :  e836 ; 
+ 21b   :  e832 ; 
+ 21c   :  e82d ; 
+ 21d   :  e829 ; 
+ 21e   :  e825 ; 
+ 21f   :  e821 ; 
+ 220   :  e81c ; 
+ 221   :  e818 ; 
+ 222   :  e814 ; 
+ 223   :  e810 ; 
+ 224   :  e80c ; 
+ 225   :  e808 ; 
+ 226   :  e805 ; 
+ 227   :  e801 ; 
+ 228   :  e7fd ; 
+ 229   :  e7f9 ; 
+ 22a   :  e7f6 ; 
+ 22b   :  e7f2 ; 
+ 22c   :  e7ef ; 
+ 22d   :  e7eb ; 
+ 22e   :  e7e8 ; 
+ 22f   :  e7e4 ; 
+ 230   :  e7e1 ; 
+ 231   :  e7de ; 
+ 232   :  e7db ; 
+ 233   :  e7d8 ; 
+ 234   :  e7d4 ; 
+ 235   :  e7d1 ; 
+ 236   :  e7cf ; 
+ 237   :  e7cc ; 
+ 238   :  e7c9 ; 
+ 239   :  e7c6 ; 
+ 23a   :  e7c3 ; 
+ 23b   :  e7c1 ; 
+ 23c   :  e7be ; 
+ 23d   :  e7bb ; 
+ 23e   :  e7b9 ; 
+ 23f   :  e7b7 ; 
+ 240   :  e7b4 ; 
+ 241   :  e7b2 ; 
+ 242   :  e7b0 ; 
+ 243   :  e7ad ; 
+ 244   :  e7ab ; 
+ 245   :  e7a9 ; 
+ 246   :  e7a7 ; 
+ 247   :  e7a5 ; 
+ 248   :  e7a3 ; 
+ 249   :  e7a1 ; 
+ 24a   :  e7a0 ; 
+ 24b   :  e79e ; 
+ 24c   :  e79c ; 
+ 24d   :  e79a ; 
+ 24e   :  e799 ; 
+ 24f   :  e797 ; 
+ 250   :  e796 ; 
+ 251   :  e795 ; 
+ 252   :  e793 ; 
+ 253   :  e792 ; 
+ 254   :  e791 ; 
+ 255   :  e790 ; 
+ 256   :  e78f ; 
+ 257   :  e78e ; 
+ 258   :  e78d ; 
+ 259   :  e78c ; 
+ 25a   :  e78b ; 
+ 25b   :  e78a ; 
+ 25c   :  e789 ; 
+ 25d   :  e789 ; 
+ 25e   :  e788 ; 
+ 25f   :  e788 ; 
+ 260   :  e787 ; 
+ 261   :  e787 ; 
+ 262   :  e786 ; 
+ 263   :  e786 ; 
+ 264   :  e786 ; 
+ 265   :  e786 ; 
+ 266   :  e786 ; 
+ 267   :  e786 ; 
+ 268   :  e786 ; 
+ 269   :  e786 ; 
+ 26a   :  e786 ; 
+ 26b   :  e786 ; 
+ 26c   :  e787 ; 
+ 26d   :  e787 ; 
+ 26e   :  e787 ; 
+ 26f   :  e788 ; 
+ 270   :  e788 ; 
+ 271   :  e789 ; 
+ 272   :  e78a ; 
+ 273   :  e78a ; 
+ 274   :  e78b ; 
+ 275   :  e78c ; 
+ 276   :  e78d ; 
+ 277   :  e78e ; 
+ 278   :  e78f ; 
+ 279   :  e790 ; 
+ 27a   :  e791 ; 
+ 27b   :  e792 ; 
+ 27c   :  e794 ; 
+ 27d   :  e795 ; 
+ 27e   :  e797 ; 
+ 27f   :  e798 ; 
+ 280   :  e79a ; 
+ 281   :  e79b ; 
+ 282   :  e79d ; 
+ 283   :  e79f ; 
+ 284   :  e7a1 ; 
+ 285   :  e7a2 ; 
+ 286   :  e7a4 ; 
+ 287   :  e7a6 ; 
+ 288   :  e7a8 ; 
+ 289   :  e7ab ; 
+ 28a   :  e7ad ; 
+ 28b   :  e7af ; 
+ 28c   :  e7b2 ; 
+ 28d   :  e7b4 ; 
+ 28e   :  e7b6 ; 
+ 28f   :  e7b9 ; 
+ 290   :  e7bc ; 
+ 291   :  e7be ; 
+ 292   :  e7c1 ; 
+ 293   :  e7c4 ; 
+ 294   :  e7c7 ; 
+ 295   :  e7ca ; 
+ 296   :  e7cd ; 
+ 297   :  e7d0 ; 
+ 298   :  e7d3 ; 
+ 299   :  e7d6 ; 
+ 29a   :  e7da ; 
+ 29b   :  e7dd ; 
+ 29c   :  e7e0 ; 
+ 29d   :  e7e4 ; 
+ 29e   :  e7e7 ; 
+ 29f   :  e7eb ; 
+ 2a0   :  e7ef ; 
+ 2a1   :  e7f2 ; 
+ 2a2   :  e7f6 ; 
+ 2a3   :  e7fa ; 
+ 2a4   :  e7fe ; 
+ 2a5   :  e802 ; 
+ 2a6   :  e806 ; 
+ 2a7   :  e80b ; 
+ 2a8   :  e80f ; 
+ 2a9   :  e813 ; 
+ 2aa   :  e817 ; 
+ 2ab   :  e81c ; 
+ 2ac   :  e820 ; 
+ 2ad   :  e825 ; 
+ 2ae   :  e82a ; 
+ 2af   :  e82e ; 
+ 2b0   :  e833 ; 
+ 2b1   :  e838 ; 
+ 2b2   :  e83d ; 
+ 2b3   :  e842 ; 
+ 2b4   :  e847 ; 
+ 2b5   :  e84c ; 
+ 2b6   :  e852 ; 
+ 2b7   :  e857 ; 
+ 2b8   :  e85c ; 
+ 2b9   :  e862 ; 
+ 2ba   :  e867 ; 
+ 2bb   :  e86d ; 
+ 2bc   :  e872 ; 
+ 2bd   :  e878 ; 
+ 2be   :  e87e ; 
+ 2bf   :  e884 ; 
+ 2c0   :  e88a ; 
+ 2c1   :  e890 ; 
+ 2c2   :  e896 ; 
+ 2c3   :  e89c ; 
+ 2c4   :  e8a2 ; 
+ 2c5   :  e8a8 ; 
+ 2c6   :  e8af ; 
+ 2c7   :  e8b5 ; 
+ 2c8   :  e8bc ; 
+ 2c9   :  e8c2 ; 
+ 2ca   :  e8c9 ; 
+ 2cb   :  e8cf ; 
+ 2cc   :  e8d6 ; 
+ 2cd   :  e8dd ; 
+ 2ce   :  e8e4 ; 
+ 2cf   :  e8eb ; 
+ 2d0   :  e8f2 ; 
+ 2d1   :  e8f9 ; 
+ 2d2   :  e900 ; 
+ 2d3   :  e908 ; 
+ 2d4   :  e90f ; 
+ 2d5   :  e916 ; 
+ 2d6   :  e91e ; 
+ 2d7   :  e926 ; 
+ 2d8   :  e92d ; 
+ 2d9   :  e935 ; 
+ 2da   :  e93d ; 
+ 2db   :  e945 ; 
+ 2dc   :  e94d ; 
+ 2dd   :  e955 ; 
+ 2de   :  e95d ; 
+ 2df   :  e965 ; 
+ 2e0   :  e96d ; 
+ 2e1   :  e975 ; 
+ 2e2   :  e97e ; 
+ 2e3   :  e986 ; 
+ 2e4   :  e98f ; 
+ 2e5   :  e997 ; 
+ 2e6   :  e9a0 ; 
+ 2e7   :  e9a9 ; 
+ 2e8   :  e9b1 ; 
+ 2e9   :  e9ba ; 
+ 2ea   :  e9c3 ; 
+ 2eb   :  e9cc ; 
+ 2ec   :  e9d5 ; 
+ 2ed   :  e9df ; 
+ 2ee   :  e9e8 ; 
+ 2ef   :  e9f1 ; 
+ 2f0   :  e9fb ; 
+ 2f1   :  ea04 ; 
+ 2f2   :  ea0e ; 
+ 2f3   :  ea17 ; 
+ 2f4   :  ea21 ; 
+ 2f5   :  ea2b ; 
+ 2f6   :  ea34 ; 
+ 2f7   :  ea3e ; 
+ 2f8   :  ea48 ; 
+ 2f9   :  ea52 ; 
+ 2fa   :  ea5d ; 
+ 2fb   :  ea67 ; 
+ 2fc   :  ea71 ; 
+ 2fd   :  ea7b ; 
+ 2fe   :  ea86 ; 
+ 2ff   :  ea90 ; 
+ 300   :  ea9b ; 
+ 301   :  eaa6 ; 
+ 302   :  eab0 ; 
+ 303   :  eabb ; 
+ 304   :  eac6 ; 
+ 305   :  ead1 ; 
+ 306   :  eadc ; 
+ 307   :  eae7 ; 
+ 308   :  eaf2 ; 
+ 309   :  eafe ; 
+ 30a   :  eb09 ; 
+ 30b   :  eb14 ; 
+ 30c   :  eb20 ; 
+ 30d   :  eb2b ; 
+ 30e   :  eb37 ; 
+ 30f   :  eb43 ; 
+ 310   :  eb4f ; 
+ 311   :  eb5a ; 
+ 312   :  eb66 ; 
+ 313   :  eb72 ; 
+ 314   :  eb7e ; 
+ 315   :  eb8b ; 
+ 316   :  eb97 ; 
+ 317   :  eba3 ; 
+ 318   :  ebb0 ; 
+ 319   :  ebbc ; 
+ 31a   :  ebc9 ; 
+ 31b   :  ebd5 ; 
+ 31c   :  ebe2 ; 
+ 31d   :  ebef ; 
+ 31e   :  ebfb ; 
+ 31f   :  ec08 ; 
+ 320   :  ec15 ; 
+ 321   :  ec22 ; 
+ 322   :  ec30 ; 
+ 323   :  ec3d ; 
+ 324   :  ec4a ; 
+ 325   :  ec58 ; 
+ 326   :  ec65 ; 
+ 327   :  ec72 ; 
+ 328   :  ec80 ; 
+ 329   :  ec8e ; 
+ 32a   :  ec9c ; 
+ 32b   :  eca9 ; 
+ 32c   :  ecb7 ; 
+ 32d   :  ecc5 ; 
+ 32e   :  ecd3 ; 
+ 32f   :  ece1 ; 
+ 330   :  ecf0 ; 
+ 331   :  ecfe ; 
+ 332   :  ed0c ; 
+ 333   :  ed1b ; 
+ 334   :  ed29 ; 
+ 335   :  ed38 ; 
+ 336   :  ed46 ; 
+ 337   :  ed55 ; 
+ 338   :  ed64 ; 
+ 339   :  ed73 ; 
+ 33a   :  ed82 ; 
+ 33b   :  ed91 ; 
+ 33c   :  eda0 ; 
+ 33d   :  edaf ; 
+ 33e   :  edbf ; 
+ 33f   :  edce ; 
+ 340   :  eddd ; 
+ 341   :  eded ; 
+ 342   :  edfc ; 
+ 343   :  ee0c ; 
+ 344   :  ee1c ; 
+ 345   :  ee2c ; 
+ 346   :  ee3c ; 
+ 347   :  ee4c ; 
+ 348   :  ee5c ; 
+ 349   :  ee6c ; 
+ 34a   :  ee7c ; 
+ 34b   :  ee8c ; 
+ 34c   :  ee9c ; 
+ 34d   :  eead ; 
+ 34e   :  eebd ; 
+ 34f   :  eece ; 
+ 350   :  eedf ; 
+ 351   :  eeef ; 
+ 352   :  ef00 ; 
+ 353   :  ef11 ; 
+ 354   :  ef22 ; 
+ 355   :  ef33 ; 
+ 356   :  ef44 ; 
+ 357   :  ef55 ; 
+ 358   :  ef67 ; 
+ 359   :  ef78 ; 
+ 35a   :  ef89 ; 
+ 35b   :  ef9b ; 
+ 35c   :  efac ; 
+ 35d   :  efbe ; 
+ 35e   :  efd0 ; 
+ 35f   :  efe2 ; 
+ 360   :  eff4 ; 
+ 361   :  f005 ; 
+ 362   :  f017 ; 
+ 363   :  f02a ; 
+ 364   :  f03c ; 
+ 365   :  f04e ; 
+ 366   :  f060 ; 
+ 367   :  f073 ; 
+ 368   :  f085 ; 
+ 369   :  f098 ; 
+ 36a   :  f0aa ; 
+ 36b   :  f0bd ; 
+ 36c   :  f0d0 ; 
+ 36d   :  f0e3 ; 
+ 36e   :  f0f6 ; 
+ 36f   :  f109 ; 
+ 370   :  f11c ; 
+ 371   :  f12f ; 
+ 372   :  f142 ; 
+ 373   :  f156 ; 
+ 374   :  f169 ; 
+ 375   :  f17d ; 
+ 376   :  f190 ; 
+ 377   :  f1a4 ; 
+ 378   :  f1b8 ; 
+ 379   :  f1cb ; 
+ 37a   :  f1df ; 
+ 37b   :  f1f3 ; 
+ 37c   :  f207 ; 
+ 37d   :  f21b ; 
+ 37e   :  f22f ; 
+ 37f   :  f244 ; 
+ 380   :  f258 ; 
+ 381   :  f26c ; 
+ 382   :  f281 ; 
+ 383   :  f295 ; 
+ 384   :  f2aa ; 
+ 385   :  f2bf ; 
+ 386   :  f2d3 ; 
+ 387   :  f2e8 ; 
+ 388   :  f2fd ; 
+ 389   :  f312 ; 
+ 38a   :  f327 ; 
+ 38b   :  f33c ; 
+ 38c   :  f352 ; 
+ 38d   :  f367 ; 
+ 38e   :  f37c ; 
+ 38f   :  f392 ; 
+ 390   :  f3a7 ; 
+ 391   :  f3bd ; 
+ 392   :  f3d3 ; 
+ 393   :  f3e8 ; 
+ 394   :  f3fe ; 
+ 395   :  f414 ; 
+ 396   :  f42a ; 
+ 397   :  f440 ; 
+ 398   :  f456 ; 
+ 399   :  f46d ; 
+ 39a   :  f483 ; 
+ 39b   :  f499 ; 
+ 39c   :  f4b0 ; 
+ 39d   :  f4c6 ; 
+ 39e   :  f4dd ; 
+ 39f   :  f4f3 ; 
+ 3a0   :  f50a ; 
+ 3a1   :  f521 ; 
+ 3a2   :  f538 ; 
+ 3a3   :  f54f ; 
+ 3a4   :  f566 ; 
+ 3a5   :  f57d ; 
+ 3a6   :  f594 ; 
+ 3a7   :  f5ab ; 
+ 3a8   :  f5c3 ; 
+ 3a9   :  f5da ; 
+ 3aa   :  f5f2 ; 
+ 3ab   :  f609 ; 
+ 3ac   :  f621 ; 
+ 3ad   :  f639 ; 
+ 3ae   :  f650 ; 
+ 3af   :  f668 ; 
+ 3b0   :  f680 ; 
+ 3b1   :  f698 ; 
+ 3b2   :  f6b0 ; 
+ 3b3   :  f6c8 ; 
+ 3b4   :  f6e1 ; 
+ 3b5   :  f6f9 ; 
+ 3b6   :  f711 ; 
+ 3b7   :  f72a ; 
+ 3b8   :  f742 ; 
+ 3b9   :  f75b ; 
+ 3ba   :  f773 ; 
+ 3bb   :  f78c ; 
+ 3bc   :  f7a5 ; 
+ 3bd   :  f7be ; 
+ 3be   :  f7d7 ; 
+ 3bf   :  f7f0 ; 
+ 3c0   :  f809 ; 
+ 3c1   :  f822 ; 
+ 3c2   :  f83c ; 
+ 3c3   :  f855 ; 
+ 3c4   :  f86e ; 
+ 3c5   :  f888 ; 
+ 3c6   :  f8a1 ; 
+ 3c7   :  f8bb ; 
+ 3c8   :  f8d5 ; 
+ 3c9   :  f8ee ; 
+ 3ca   :  f908 ; 
+ 3cb   :  f922 ; 
+ 3cc   :  f93c ; 
+ 3cd   :  f956 ; 
+ 3ce   :  f970 ; 
+ 3cf   :  f98b ; 
+ 3d0   :  f9a5 ; 
+ 3d1   :  f9bf ; 
+ 3d2   :  f9da ; 
+ 3d3   :  f9f4 ; 
+ 3d4   :  fa0f ; 
+ 3d5   :  fa29 ; 
+ 3d6   :  fa44 ; 
+ 3d7   :  fa5f ; 
+ 3d8   :  fa7a ; 
+ 3d9   :  fa95 ; 
+ 3da   :  fab0 ; 
+ 3db   :  facb ; 
+ 3dc   :  fae6 ; 
+ 3dd   :  fb01 ; 
+ 3de   :  fb1c ; 
+ 3df   :  fb38 ; 
+ 3e0   :  fb53 ; 
+ 3e1   :  fb6e ; 
+ 3e2   :  fb8a ; 
+ 3e3   :  fba6 ; 
+ 3e4   :  fbc1 ; 
+ 3e5   :  fbdd ; 
+ 3e6   :  fbf9 ; 
+ 3e7   :  fc15 ; 
+ 3e8   :  fc31 ; 
+ 3e9   :  fc4d ; 
+ 3ea   :  fc69 ; 
+ 3eb   :  fc85 ; 
+ 3ec   :  fca2 ; 
+ 3ed   :  fcbe ; 
+ 3ee   :  fcda ; 
+ 3ef   :  fcf7 ; 
+ 3f0   :  fd13 ; 
+ 3f1   :  fd30 ; 
+ 3f2   :  fd4d ; 
+ 3f3   :  fd69 ; 
+ 3f4   :  fd86 ; 
+ 3f5   :  fda3 ; 
+ 3f6   :  fdc0 ; 
+ 3f7   :  fddd ; 
+ 3f8   :  fdfa ; 
+ 3f9   :  fe18 ; 
+ 3fa   :  fe35 ; 
+ 3fb   :  fe52 ; 
+ 3fc   :  fe6f ; 
+ 3fd   :  fe8d ; 
+ 3fe   :  feaa ; 
+ 3ff   :  fec8 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b.dat b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b.dat
new file mode 100644
index 0000000000000000000000000000000000000000..55f5823fa873ae2dd512ced936037f3fac80004e
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b.dat
@@ -0,0 +1,16384 @@
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+19
+19
+19
+19
+19
+19
+19
+19
+19
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+21
+21
+21
+21
+21
+21
+21
+21
+21
+22
+22
+22
+22
+22
+22
+22
+22
+22
+23
+23
+23
+23
+23
+23
+23
+23
+24
+24
+24
+24
+24
+24
+24
+24
+25
+25
+25
+25
+25
+25
+25
+25
+26
+26
+26
+26
+26
+26
+26
+26
+27
+27
+27
+27
+27
+27
+27
+27
+28
+28
+28
+28
+28
+28
+28
+29
+29
+29
+29
+29
+29
+29
+30
+30
+30
+30
+30
+30
+30
+30
+31
+31
+31
+31
+31
+31
+32
+32
+32
+32
+32
+32
+32
+33
+33
+33
+33
+33
+33
+33
+34
+34
+34
+34
+34
+34
+35
+35
+35
+35
+35
+35
+35
+36
+36
+36
+36
+36
+36
+37
+37
+37
+37
+37
+37
+38
+38
+38
+38
+38
+38
+39
+39
+39
+39
+39
+39
+40
+40
+40
+40
+40
+40
+41
+41
+41
+41
+41
+41
+42
+42
+42
+42
+42
+42
+43
+43
+43
+43
+43
+44
+44
+44
+44
+44
+44
+45
+45
+45
+45
+45
+46
+46
+46
+46
+46
+46
+47
+47
+47
+47
+47
+48
+48
+48
+48
+48
+49
+49
+49
+49
+49
+50
+50
+50
+50
+50
+50
+51
+51
+51
+51
+51
+52
+52
+52
+52
+52
+53
+53
+53
+53
+53
+54
+54
+54
+54
+55
+55
+55
+55
+55
+56
+56
+56
+56
+56
+57
+57
+57
+57
+57
+58
+58
+58
+58
+58
+59
+59
+59
+59
+60
+60
+60
+60
+60
+61
+61
+61
+61
+62
+62
+62
+62
+62
+63
+63
+63
+63
+64
+64
+64
+64
+64
+65
+65
+65
+65
+66
+66
+66
+66
+66
+67
+67
+67
+67
+68
+68
+68
+68
+69
+69
+69
+69
+69
+70
+70
+70
+70
+71
+71
+71
+71
+72
+72
+72
+72
+73
+73
+73
+73
+73
+74
+74
+74
+74
+75
+75
+75
+75
+76
+76
+76
+76
+77
+77
+77
+77
+78
+78
+78
+78
+79
+79
+79
+79
+80
+80
+80
+80
+81
+81
+81
+81
+82
+82
+82
+82
+83
+83
+83
+83
+84
+84
+84
+84
+85
+85
+85
+85
+86
+86
+86
+86
+87
+87
+87
+87
+88
+88
+88
+88
+89
+89
+89
+89
+90
+90
+90
+91
+91
+91
+91
+92
+92
+92
+92
+93
+93
+93
+93
+94
+94
+94
+94
+95
+95
+95
+95
+96
+96
+96
+97
+97
+97
+97
+98
+98
+98
+98
+99
+99
+99
+99
+100
+100
+100
+101
+101
+101
+101
+102
+102
+102
+102
+103
+103
+103
+103
+104
+104
+104
+105
+105
+105
+105
+106
+106
+106
+106
+107
+107
+107
+108
+108
+108
+108
+109
+109
+109
+109
+110
+110
+110
+111
+111
+111
+111
+112
+112
+112
+112
+113
+113
+113
+113
+114
+114
+114
+115
+115
+115
+115
+116
+116
+116
+116
+117
+117
+117
+118
+118
+118
+118
+119
+119
+119
+119
+120
+120
+120
+121
+121
+121
+121
+122
+122
+122
+122
+123
+123
+123
+123
+124
+124
+124
+125
+125
+125
+125
+126
+126
+126
+126
+127
+127
+127
+127
+128
+128
+128
+129
+129
+129
+129
+130
+130
+130
+130
+131
+131
+131
+131
+132
+132
+132
+133
+133
+133
+133
+134
+134
+134
+134
+135
+135
+135
+135
+136
+136
+136
+136
+137
+137
+137
+137
+138
+138
+138
+138
+139
+139
+139
+139
+140
+140
+140
+140
+141
+141
+141
+141
+142
+142
+142
+142
+143
+143
+143
+143
+144
+144
+144
+144
+145
+145
+145
+145
+146
+146
+146
+146
+147
+147
+147
+147
+148
+148
+148
+148
+149
+149
+149
+149
+149
+150
+150
+150
+150
+151
+151
+151
+151
+152
+152
+152
+152
+152
+153
+153
+153
+153
+154
+154
+154
+154
+154
+155
+155
+155
+155
+156
+156
+156
+156
+156
+157
+157
+157
+157
+158
+158
+158
+158
+158
+159
+159
+159
+159
+159
+160
+160
+160
+160
+160
+161
+161
+161
+161
+161
+162
+162
+162
+162
+162
+163
+163
+163
+163
+163
+163
+164
+164
+164
+164
+164
+165
+165
+165
+165
+165
+165
+166
+166
+166
+166
+166
+166
+167
+167
+167
+167
+167
+167
+168
+168
+168
+168
+168
+168
+169
+169
+169
+169
+169
+169
+170
+170
+170
+170
+170
+170
+170
+171
+171
+171
+171
+171
+171
+171
+171
+172
+172
+172
+172
+172
+172
+172
+173
+173
+173
+173
+173
+173
+173
+173
+173
+174
+174
+174
+174
+174
+174
+174
+174
+174
+175
+175
+175
+175
+175
+175
+175
+175
+175
+175
+175
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+175
+175
+175
+175
+175
+175
+175
+175
+175
+175
+174
+174
+174
+174
+174
+174
+174
+174
+173
+173
+173
+173
+173
+173
+173
+172
+172
+172
+172
+172
+172
+171
+171
+171
+171
+171
+171
+170
+170
+170
+170
+170
+170
+169
+169
+169
+169
+169
+168
+168
+168
+168
+168
+167
+167
+167
+167
+166
+166
+166
+166
+166
+165
+165
+165
+165
+164
+164
+164
+164
+163
+163
+163
+163
+162
+162
+162
+161
+161
+161
+161
+160
+160
+160
+160
+159
+159
+159
+158
+158
+158
+157
+157
+157
+156
+156
+156
+156
+155
+155
+155
+154
+154
+154
+153
+153
+153
+152
+152
+151
+151
+151
+150
+150
+150
+149
+149
+149
+148
+148
+147
+147
+147
+146
+146
+145
+145
+145
+144
+144
+143
+143
+143
+142
+142
+141
+141
+141
+140
+140
+139
+139
+138
+138
+137
+137
+137
+136
+136
+135
+135
+134
+134
+133
+133
+132
+132
+131
+131
+130
+130
+129
+129
+128
+128
+127
+127
+126
+126
+125
+125
+124
+124
+123
+123
+122
+122
+121
+121
+120
+120
+119
+118
+118
+117
+117
+116
+116
+115
+114
+114
+113
+113
+112
+112
+111
+110
+110
+109
+109
+108
+107
+107
+106
+106
+105
+104
+104
+103
+102
+102
+101
+100
+100
+99
+99
+98
+97
+97
+96
+95
+95
+94
+93
+93
+92
+91
+90
+90
+89
+88
+88
+87
+86
+86
+85
+84
+83
+83
+82
+81
+80
+80
+79
+78
+78
+77
+76
+75
+75
+74
+73
+72
+71
+71
+70
+69
+68
+68
+67
+66
+65
+64
+64
+63
+62
+61
+60
+60
+59
+58
+57
+56
+56
+55
+54
+53
+52
+51
+50
+50
+49
+48
+47
+46
+45
+44
+44
+43
+42
+41
+40
+39
+38
+37
+37
+36
+35
+34
+33
+32
+31
+30
+29
+28
+27
+26
+26
+25
+24
+23
+22
+21
+20
+19
+18
+17
+16
+15
+14
+13
+12
+11
+10
+9
+8
+7
+6
+5
+4
+3
+2
+1
+0
+-1
+-2
+-3
+-4
+-5
+-6
+-7
+-8
+-9
+-10
+-11
+-12
+-13
+-14
+-15
+-16
+-18
+-19
+-20
+-21
+-22
+-23
+-24
+-25
+-26
+-27
+-28
+-29
+-31
+-32
+-33
+-34
+-35
+-36
+-37
+-38
+-39
+-41
+-42
+-43
+-44
+-45
+-46
+-47
+-49
+-50
+-51
+-52
+-53
+-54
+-56
+-57
+-58
+-59
+-60
+-61
+-63
+-64
+-65
+-66
+-67
+-69
+-70
+-71
+-72
+-73
+-75
+-76
+-77
+-78
+-79
+-81
+-82
+-83
+-84
+-86
+-87
+-88
+-89
+-91
+-92
+-93
+-94
+-96
+-97
+-98
+-99
+-101
+-102
+-103
+-104
+-106
+-107
+-108
+-110
+-111
+-112
+-113
+-115
+-116
+-117
+-119
+-120
+-121
+-123
+-124
+-125
+-127
+-128
+-129
+-131
+-132
+-133
+-135
+-136
+-137
+-139
+-140
+-141
+-143
+-144
+-145
+-147
+-148
+-149
+-151
+-152
+-154
+-155
+-156
+-158
+-159
+-161
+-162
+-163
+-165
+-166
+-167
+-169
+-170
+-172
+-173
+-175
+-176
+-177
+-179
+-180
+-182
+-183
+-184
+-186
+-187
+-189
+-190
+-192
+-193
+-195
+-196
+-197
+-199
+-200
+-202
+-203
+-205
+-206
+-208
+-209
+-211
+-212
+-213
+-215
+-216
+-218
+-219
+-221
+-222
+-224
+-225
+-227
+-228
+-230
+-231
+-233
+-234
+-236
+-237
+-239
+-240
+-242
+-243
+-245
+-246
+-248
+-249
+-251
+-252
+-254
+-256
+-257
+-259
+-260
+-262
+-263
+-265
+-266
+-268
+-269
+-271
+-272
+-274
+-276
+-277
+-279
+-280
+-282
+-283
+-285
+-286
+-288
+-290
+-291
+-293
+-294
+-296
+-297
+-299
+-301
+-302
+-304
+-305
+-307
+-308
+-310
+-312
+-313
+-315
+-316
+-318
+-320
+-321
+-323
+-324
+-326
+-328
+-329
+-331
+-332
+-334
+-336
+-337
+-339
+-340
+-342
+-344
+-345
+-347
+-348
+-350
+-352
+-353
+-355
+-357
+-358
+-360
+-361
+-363
+-365
+-366
+-368
+-370
+-371
+-373
+-374
+-376
+-378
+-379
+-381
+-383
+-384
+-386
+-388
+-389
+-391
+-393
+-394
+-396
+-397
+-399
+-401
+-402
+-404
+-406
+-407
+-409
+-411
+-412
+-414
+-416
+-417
+-419
+-421
+-422
+-424
+-425
+-427
+-429
+-430
+-432
+-434
+-435
+-437
+-439
+-440
+-442
+-444
+-445
+-447
+-449
+-450
+-452
+-454
+-455
+-457
+-459
+-460
+-462
+-464
+-465
+-467
+-469
+-470
+-472
+-474
+-475
+-477
+-479
+-480
+-482
+-483
+-485
+-487
+-488
+-490
+-492
+-493
+-495
+-497
+-498
+-500
+-502
+-503
+-505
+-507
+-508
+-510
+-512
+-513
+-515
+-517
+-518
+-520
+-522
+-523
+-525
+-527
+-528
+-530
+-531
+-533
+-535
+-536
+-538
+-540
+-541
+-543
+-545
+-546
+-548
+-550
+-551
+-553
+-554
+-556
+-558
+-559
+-561
+-563
+-564
+-566
+-567
+-569
+-571
+-572
+-574
+-576
+-577
+-579
+-580
+-582
+-584
+-585
+-587
+-589
+-590
+-592
+-593
+-595
+-597
+-598
+-600
+-601
+-603
+-605
+-606
+-608
+-609
+-611
+-613
+-614
+-616
+-617
+-619
+-620
+-622
+-624
+-625
+-627
+-628
+-630
+-631
+-633
+-635
+-636
+-638
+-639
+-641
+-642
+-644
+-646
+-647
+-649
+-650
+-652
+-653
+-655
+-656
+-658
+-659
+-661
+-662
+-664
+-665
+-667
+-669
+-670
+-672
+-673
+-675
+-676
+-678
+-679
+-681
+-682
+-684
+-685
+-687
+-688
+-690
+-691
+-692
+-694
+-695
+-697
+-698
+-700
+-701
+-703
+-704
+-706
+-707
+-709
+-710
+-711
+-713
+-714
+-716
+-717
+-719
+-720
+-721
+-723
+-724
+-726
+-727
+-728
+-730
+-731
+-733
+-734
+-735
+-737
+-738
+-740
+-741
+-742
+-744
+-745
+-746
+-748
+-749
+-750
+-752
+-753
+-754
+-756
+-757
+-758
+-760
+-761
+-762
+-764
+-765
+-766
+-768
+-769
+-770
+-771
+-773
+-774
+-775
+-777
+-778
+-779
+-780
+-782
+-783
+-784
+-785
+-787
+-788
+-789
+-790
+-791
+-793
+-794
+-795
+-796
+-797
+-799
+-800
+-801
+-802
+-803
+-805
+-806
+-807
+-808
+-809
+-810
+-811
+-813
+-814
+-815
+-816
+-817
+-818
+-819
+-820
+-822
+-823
+-824
+-825
+-826
+-827
+-828
+-829
+-830
+-831
+-832
+-833
+-834
+-835
+-836
+-837
+-838
+-839
+-840
+-841
+-842
+-843
+-844
+-845
+-846
+-847
+-848
+-849
+-850
+-851
+-852
+-853
+-854
+-855
+-856
+-857
+-858
+-858
+-859
+-860
+-861
+-862
+-863
+-864
+-865
+-865
+-866
+-867
+-868
+-869
+-870
+-870
+-871
+-872
+-873
+-874
+-874
+-875
+-876
+-877
+-877
+-878
+-879
+-880
+-880
+-881
+-882
+-883
+-883
+-884
+-885
+-885
+-886
+-887
+-887
+-888
+-889
+-889
+-890
+-891
+-891
+-892
+-893
+-893
+-894
+-894
+-895
+-896
+-896
+-897
+-897
+-898
+-898
+-899
+-899
+-900
+-900
+-901
+-901
+-902
+-902
+-903
+-903
+-904
+-904
+-905
+-905
+-906
+-906
+-907
+-907
+-907
+-908
+-908
+-909
+-909
+-909
+-910
+-910
+-910
+-911
+-911
+-911
+-912
+-912
+-912
+-913
+-913
+-913
+-913
+-914
+-914
+-914
+-914
+-915
+-915
+-915
+-915
+-915
+-916
+-916
+-916
+-916
+-916
+-916
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-916
+-916
+-916
+-916
+-916
+-915
+-915
+-915
+-915
+-915
+-914
+-914
+-914
+-914
+-913
+-913
+-913
+-912
+-912
+-912
+-911
+-911
+-911
+-910
+-910
+-910
+-909
+-909
+-908
+-908
+-908
+-907
+-907
+-906
+-906
+-905
+-905
+-904
+-904
+-903
+-903
+-902
+-902
+-901
+-901
+-900
+-900
+-899
+-898
+-898
+-897
+-897
+-896
+-895
+-895
+-894
+-893
+-893
+-892
+-891
+-890
+-890
+-889
+-888
+-888
+-887
+-886
+-885
+-884
+-884
+-883
+-882
+-881
+-880
+-879
+-879
+-878
+-877
+-876
+-875
+-874
+-873
+-872
+-871
+-870
+-869
+-868
+-868
+-867
+-866
+-865
+-863
+-862
+-861
+-860
+-859
+-858
+-857
+-856
+-855
+-854
+-853
+-852
+-850
+-849
+-848
+-847
+-846
+-845
+-843
+-842
+-841
+-840
+-838
+-837
+-836
+-835
+-833
+-832
+-831
+-829
+-828
+-827
+-825
+-824
+-823
+-821
+-820
+-818
+-817
+-816
+-814
+-813
+-811
+-810
+-808
+-807
+-805
+-804
+-802
+-801
+-799
+-798
+-796
+-794
+-793
+-791
+-790
+-788
+-786
+-785
+-783
+-781
+-780
+-778
+-776
+-775
+-773
+-771
+-770
+-768
+-766
+-764
+-762
+-761
+-759
+-757
+-755
+-753
+-752
+-750
+-748
+-746
+-744
+-742
+-740
+-738
+-736
+-734
+-732
+-731
+-729
+-727
+-725
+-723
+-721
+-718
+-716
+-714
+-712
+-710
+-708
+-706
+-704
+-702
+-700
+-698
+-695
+-693
+-691
+-689
+-687
+-684
+-682
+-680
+-678
+-676
+-673
+-671
+-669
+-666
+-664
+-662
+-660
+-657
+-655
+-652
+-650
+-648
+-645
+-643
+-640
+-638
+-636
+-633
+-631
+-628
+-626
+-623
+-621
+-618
+-616
+-613
+-611
+-608
+-606
+-603
+-600
+-598
+-595
+-593
+-590
+-587
+-585
+-582
+-579
+-577
+-574
+-571
+-568
+-566
+-563
+-560
+-557
+-555
+-552
+-549
+-546
+-543
+-541
+-538
+-535
+-532
+-529
+-526
+-523
+-521
+-518
+-515
+-512
+-509
+-506
+-503
+-500
+-497
+-494
+-491
+-488
+-485
+-482
+-479
+-476
+-473
+-470
+-466
+-463
+-460
+-457
+-454
+-451
+-448
+-444
+-441
+-438
+-435
+-432
+-428
+-425
+-422
+-419
+-415
+-412
+-409
+-406
+-402
+-399
+-396
+-392
+-389
+-386
+-382
+-379
+-375
+-372
+-369
+-365
+-362
+-358
+-355
+-351
+-348
+-344
+-341
+-337
+-334
+-330
+-327
+-323
+-320
+-316
+-313
+-309
+-305
+-302
+-298
+-295
+-291
+-287
+-284
+-280
+-276
+-273
+-269
+-265
+-262
+-258
+-254
+-250
+-247
+-243
+-239
+-235
+-232
+-228
+-224
+-220
+-216
+-212
+-209
+-205
+-201
+-197
+-193
+-189
+-185
+-181
+-177
+-174
+-170
+-166
+-162
+-158
+-154
+-150
+-146
+-142
+-138
+-134
+-130
+-126
+-122
+-117
+-113
+-109
+-105
+-101
+-97
+-93
+-89
+-85
+-80
+-76
+-72
+-68
+-64
+-60
+-55
+-51
+-47
+-43
+-39
+-34
+-30
+-26
+-22
+-17
+-13
+-9
+-4
+0
+4
+9
+13
+17
+22
+26
+30
+35
+39
+43
+48
+52
+57
+61
+66
+70
+74
+79
+83
+88
+92
+97
+101
+106
+110
+115
+119
+124
+128
+133
+138
+142
+147
+151
+156
+160
+165
+170
+174
+179
+184
+188
+193
+197
+202
+207
+211
+216
+221
+226
+230
+235
+240
+244
+249
+254
+259
+263
+268
+273
+278
+282
+287
+292
+297
+302
+306
+311
+316
+321
+326
+330
+335
+340
+345
+350
+355
+360
+365
+369
+374
+379
+384
+389
+394
+399
+404
+409
+414
+419
+424
+428
+433
+438
+443
+448
+453
+458
+463
+468
+473
+478
+483
+488
+493
+498
+503
+508
+513
+519
+524
+529
+534
+539
+544
+549
+554
+559
+564
+569
+574
+579
+585
+590
+595
+600
+605
+610
+615
+620
+625
+631
+636
+641
+646
+651
+656
+662
+667
+672
+677
+682
+687
+693
+698
+703
+708
+713
+718
+724
+729
+734
+739
+744
+750
+755
+760
+765
+771
+776
+781
+786
+791
+797
+802
+807
+812
+818
+823
+828
+833
+839
+844
+849
+854
+860
+865
+870
+875
+881
+886
+891
+896
+902
+907
+912
+918
+923
+928
+933
+939
+944
+949
+954
+960
+965
+970
+976
+981
+986
+991
+997
+1002
+1007
+1013
+1018
+1023
+1028
+1034
+1039
+1044
+1050
+1055
+1060
+1065
+1071
+1076
+1081
+1086
+1092
+1097
+1102
+1108
+1113
+1118
+1123
+1129
+1134
+1139
+1145
+1150
+1155
+1160
+1166
+1171
+1176
+1181
+1187
+1192
+1197
+1202
+1208
+1213
+1218
+1223
+1229
+1234
+1239
+1244
+1250
+1255
+1260
+1265
+1270
+1276
+1281
+1286
+1291
+1297
+1302
+1307
+1312
+1317
+1323
+1328
+1333
+1338
+1343
+1349
+1354
+1359
+1364
+1369
+1374
+1380
+1385
+1390
+1395
+1400
+1405
+1410
+1416
+1421
+1426
+1431
+1436
+1441
+1446
+1451
+1456
+1462
+1467
+1472
+1477
+1482
+1487
+1492
+1497
+1502
+1507
+1512
+1517
+1522
+1527
+1532
+1537
+1542
+1547
+1552
+1557
+1562
+1567
+1572
+1577
+1582
+1587
+1592
+1597
+1602
+1607
+1612
+1617
+1622
+1627
+1632
+1636
+1641
+1646
+1651
+1656
+1661
+1666
+1670
+1675
+1680
+1685
+1690
+1695
+1699
+1704
+1709
+1714
+1718
+1723
+1728
+1733
+1737
+1742
+1747
+1752
+1756
+1761
+1766
+1770
+1775
+1780
+1784
+1789
+1794
+1798
+1803
+1807
+1812
+1817
+1821
+1826
+1830
+1835
+1839
+1844
+1848
+1853
+1857
+1862
+1866
+1871
+1875
+1880
+1884
+1889
+1893
+1898
+1902
+1906
+1911
+1915
+1919
+1924
+1928
+1932
+1937
+1941
+1945
+1950
+1954
+1958
+1962
+1967
+1971
+1975
+1979
+1984
+1988
+1992
+1996
+2000
+2004
+2008
+2013
+2017
+2021
+2025
+2029
+2033
+2037
+2041
+2045
+2049
+2053
+2057
+2061
+2065
+2069
+2073
+2077
+2081
+2084
+2088
+2092
+2096
+2100
+2104
+2107
+2111
+2115
+2119
+2123
+2126
+2130
+2134
+2137
+2141
+2145
+2148
+2152
+2156
+2159
+2163
+2166
+2170
+2174
+2177
+2181
+2184
+2188
+2191
+2195
+2198
+2201
+2205
+2208
+2212
+2215
+2218
+2222
+2225
+2228
+2232
+2235
+2238
+2241
+2245
+2248
+2251
+2254
+2257
+2261
+2264
+2267
+2270
+2273
+2276
+2279
+2282
+2285
+2288
+2291
+2294
+2297
+2300
+2303
+2306
+2309
+2312
+2314
+2317
+2320
+2323
+2326
+2328
+2331
+2334
+2337
+2339
+2342
+2345
+2347
+2350
+2352
+2355
+2358
+2360
+2363
+2365
+2368
+2370
+2373
+2375
+2377
+2380
+2382
+2384
+2387
+2389
+2391
+2394
+2396
+2398
+2400
+2403
+2405
+2407
+2409
+2411
+2413
+2415
+2418
+2420
+2422
+2424
+2426
+2428
+2430
+2431
+2433
+2435
+2437
+2439
+2441
+2443
+2444
+2446
+2448
+2450
+2451
+2453
+2455
+2456
+2458
+2460
+2461
+2463
+2464
+2466
+2467
+2469
+2470
+2472
+2473
+2474
+2476
+2477
+2478
+2480
+2481
+2482
+2484
+2485
+2486
+2487
+2488
+2489
+2490
+2492
+2493
+2494
+2495
+2496
+2497
+2498
+2498
+2499
+2500
+2501
+2502
+2503
+2504
+2504
+2505
+2506
+2506
+2507
+2508
+2508
+2509
+2510
+2510
+2511
+2511
+2512
+2512
+2513
+2513
+2513
+2514
+2514
+2514
+2515
+2515
+2515
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2517
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2515
+2515
+2515
+2514
+2514
+2514
+2513
+2513
+2512
+2512
+2512
+2511
+2511
+2510
+2509
+2509
+2508
+2507
+2507
+2506
+2505
+2505
+2504
+2503
+2502
+2501
+2500
+2499
+2499
+2498
+2497
+2496
+2494
+2493
+2492
+2491
+2490
+2489
+2488
+2486
+2485
+2484
+2483
+2481
+2480
+2479
+2477
+2476
+2474
+2473
+2471
+2470
+2468
+2466
+2465
+2463
+2462
+2460
+2458
+2456
+2455
+2453
+2451
+2449
+2447
+2445
+2443
+2441
+2439
+2437
+2435
+2433
+2431
+2429
+2427
+2425
+2422
+2420
+2418
+2416
+2413
+2411
+2409
+2406
+2404
+2401
+2399
+2396
+2394
+2391
+2388
+2386
+2383
+2381
+2378
+2375
+2372
+2370
+2367
+2364
+2361
+2358
+2355
+2352
+2349
+2346
+2343
+2340
+2337
+2334
+2331
+2328
+2324
+2321
+2318
+2315
+2311
+2308
+2305
+2301
+2298
+2294
+2291
+2287
+2284
+2280
+2277
+2273
+2269
+2266
+2262
+2258
+2254
+2251
+2247
+2243
+2239
+2235
+2231
+2227
+2223
+2219
+2215
+2211
+2207
+2203
+2199
+2194
+2190
+2186
+2182
+2177
+2173
+2169
+2164
+2160
+2155
+2151
+2146
+2142
+2137
+2133
+2128
+2124
+2119
+2114
+2109
+2105
+2100
+2095
+2090
+2085
+2080
+2076
+2071
+2066
+2061
+2056
+2051
+2045
+2040
+2035
+2030
+2025
+2020
+2014
+2009
+2004
+1998
+1993
+1988
+1982
+1977
+1971
+1966
+1960
+1954
+1949
+1943
+1938
+1932
+1926
+1920
+1915
+1909
+1903
+1897
+1891
+1885
+1879
+1873
+1868
+1861
+1855
+1849
+1843
+1837
+1831
+1825
+1819
+1812
+1806
+1800
+1793
+1787
+1781
+1774
+1768
+1761
+1755
+1748
+1742
+1735
+1729
+1722
+1715
+1709
+1702
+1695
+1688
+1682
+1675
+1668
+1661
+1654
+1647
+1640
+1633
+1626
+1619
+1612
+1605
+1598
+1591
+1584
+1576
+1569
+1562
+1555
+1547
+1540
+1533
+1525
+1518
+1510
+1503
+1495
+1488
+1480
+1473
+1465
+1457
+1450
+1442
+1434
+1427
+1419
+1411
+1403
+1395
+1387
+1380
+1372
+1364
+1356
+1348
+1340
+1332
+1324
+1315
+1307
+1299
+1291
+1283
+1274
+1266
+1258
+1250
+1241
+1233
+1225
+1216
+1208
+1199
+1191
+1182
+1174
+1165
+1157
+1148
+1139
+1131
+1122
+1113
+1104
+1096
+1087
+1078
+1069
+1060
+1051
+1043
+1034
+1025
+1016
+1007
+998
+989
+979
+970
+961
+952
+943
+934
+924
+915
+906
+897
+887
+878
+869
+859
+850
+840
+831
+821
+812
+802
+793
+783
+774
+764
+754
+745
+735
+725
+716
+706
+696
+686
+676
+667
+657
+647
+637
+627
+617
+607
+597
+587
+577
+567
+557
+547
+537
+526
+516
+506
+496
+486
+475
+465
+455
+444
+434
+424
+413
+403
+392
+382
+372
+361
+351
+340
+330
+319
+308
+298
+287
+277
+266
+255
+244
+234
+223
+212
+201
+191
+180
+169
+158
+147
+136
+126
+115
+104
+93
+82
+71
+60
+49
+38
+27
+15
+4
+-7
+-18
+-29
+-40
+-51
+-63
+-74
+-85
+-96
+-108
+-119
+-130
+-142
+-153
+-164
+-176
+-187
+-198
+-210
+-221
+-233
+-244
+-256
+-267
+-279
+-290
+-302
+-313
+-325
+-337
+-348
+-360
+-371
+-383
+-395
+-406
+-418
+-430
+-442
+-453
+-465
+-477
+-489
+-500
+-512
+-524
+-536
+-548
+-560
+-571
+-583
+-595
+-607
+-619
+-631
+-643
+-655
+-667
+-679
+-691
+-703
+-715
+-727
+-739
+-751
+-763
+-775
+-787
+-799
+-811
+-824
+-836
+-848
+-860
+-872
+-884
+-897
+-909
+-921
+-933
+-945
+-958
+-970
+-982
+-994
+-1007
+-1019
+-1031
+-1044
+-1056
+-1068
+-1080
+-1093
+-1105
+-1117
+-1130
+-1142
+-1155
+-1167
+-1179
+-1192
+-1204
+-1217
+-1229
+-1241
+-1254
+-1266
+-1279
+-1291
+-1304
+-1316
+-1329
+-1341
+-1354
+-1366
+-1379
+-1391
+-1404
+-1416
+-1429
+-1441
+-1454
+-1466
+-1479
+-1491
+-1504
+-1516
+-1529
+-1542
+-1554
+-1567
+-1579
+-1592
+-1604
+-1617
+-1630
+-1642
+-1655
+-1667
+-1680
+-1693
+-1705
+-1718
+-1730
+-1743
+-1756
+-1768
+-1781
+-1794
+-1806
+-1819
+-1831
+-1844
+-1857
+-1869
+-1882
+-1895
+-1907
+-1920
+-1933
+-1945
+-1958
+-1970
+-1983
+-1996
+-2008
+-2021
+-2034
+-2046
+-2059
+-2072
+-2084
+-2097
+-2109
+-2122
+-2135
+-2147
+-2160
+-2173
+-2185
+-2198
+-2210
+-2223
+-2236
+-2248
+-2261
+-2273
+-2286
+-2299
+-2311
+-2324
+-2336
+-2349
+-2362
+-2374
+-2387
+-2399
+-2412
+-2424
+-2437
+-2450
+-2462
+-2475
+-2487
+-2500
+-2512
+-2525
+-2537
+-2550
+-2562
+-2575
+-2587
+-2600
+-2612
+-2625
+-2637
+-2650
+-2662
+-2674
+-2687
+-2699
+-2712
+-2724
+-2737
+-2749
+-2761
+-2774
+-2786
+-2798
+-2811
+-2823
+-2835
+-2848
+-2860
+-2872
+-2885
+-2897
+-2909
+-2922
+-2934
+-2946
+-2958
+-2971
+-2983
+-2995
+-3007
+-3019
+-3031
+-3044
+-3056
+-3068
+-3080
+-3092
+-3104
+-3116
+-3128
+-3140
+-3153
+-3165
+-3177
+-3189
+-3201
+-3213
+-3225
+-3236
+-3248
+-3260
+-3272
+-3284
+-3296
+-3308
+-3320
+-3332
+-3343
+-3355
+-3367
+-3379
+-3391
+-3402
+-3414
+-3426
+-3438
+-3449
+-3461
+-3473
+-3484
+-3496
+-3507
+-3519
+-3531
+-3542
+-3554
+-3565
+-3577
+-3588
+-3600
+-3611
+-3622
+-3634
+-3645
+-3657
+-3668
+-3679
+-3691
+-3702
+-3713
+-3724
+-3736
+-3747
+-3758
+-3769
+-3780
+-3792
+-3803
+-3814
+-3825
+-3836
+-3847
+-3858
+-3869
+-3880
+-3891
+-3902
+-3913
+-3924
+-3934
+-3945
+-3956
+-3967
+-3978
+-3988
+-3999
+-4010
+-4020
+-4031
+-4042
+-4052
+-4063
+-4073
+-4084
+-4094
+-4105
+-4115
+-4126
+-4136
+-4147
+-4157
+-4167
+-4178
+-4188
+-4198
+-4208
+-4218
+-4229
+-4239
+-4249
+-4259
+-4269
+-4279
+-4289
+-4299
+-4309
+-4319
+-4329
+-4339
+-4349
+-4358
+-4368
+-4378
+-4388
+-4397
+-4407
+-4417
+-4426
+-4436
+-4445
+-4455
+-4464
+-4474
+-4483
+-4493
+-4502
+-4511
+-4521
+-4530
+-4539
+-4548
+-4558
+-4567
+-4576
+-4585
+-4594
+-4603
+-4612
+-4621
+-4630
+-4639
+-4648
+-4657
+-4665
+-4674
+-4683
+-4692
+-4700
+-4709
+-4718
+-4726
+-4735
+-4743
+-4752
+-4760
+-4768
+-4777
+-4785
+-4793
+-4802
+-4810
+-4818
+-4826
+-4834
+-4842
+-4851
+-4859
+-4867
+-4874
+-4882
+-4890
+-4898
+-4906
+-4914
+-4921
+-4929
+-4937
+-4944
+-4952
+-4959
+-4967
+-4974
+-4982
+-4989
+-4996
+-5004
+-5011
+-5018
+-5025
+-5033
+-5040
+-5047
+-5054
+-5061
+-5068
+-5075
+-5081
+-5088
+-5095
+-5102
+-5108
+-5115
+-5122
+-5128
+-5135
+-5141
+-5148
+-5154
+-5161
+-5167
+-5173
+-5180
+-5186
+-5192
+-5198
+-5204
+-5210
+-5216
+-5222
+-5228
+-5234
+-5240
+-5246
+-5251
+-5257
+-5263
+-5268
+-5274
+-5279
+-5285
+-5290
+-5296
+-5301
+-5306
+-5312
+-5317
+-5322
+-5327
+-5332
+-5337
+-5342
+-5347
+-5352
+-5357
+-5362
+-5366
+-5371
+-5376
+-5380
+-5385
+-5389
+-5394
+-5398
+-5403
+-5407
+-5411
+-5416
+-5420
+-5424
+-5428
+-5432
+-5436
+-5440
+-5444
+-5448
+-5452
+-5455
+-5459
+-5463
+-5466
+-5470
+-5473
+-5477
+-5480
+-5484
+-5487
+-5490
+-5494
+-5497
+-5500
+-5503
+-5506
+-5509
+-5512
+-5515
+-5517
+-5520
+-5523
+-5526
+-5528
+-5531
+-5533
+-5536
+-5538
+-5541
+-5543
+-5545
+-5547
+-5550
+-5552
+-5554
+-5556
+-5558
+-5560
+-5561
+-5563
+-5565
+-5567
+-5568
+-5570
+-5571
+-5573
+-5574
+-5576
+-5577
+-5578
+-5579
+-5581
+-5582
+-5583
+-5584
+-5585
+-5586
+-5586
+-5587
+-5588
+-5589
+-5589
+-5590
+-5590
+-5591
+-5591
+-5591
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5591
+-5591
+-5590
+-5590
+-5589
+-5589
+-5588
+-5587
+-5587
+-5586
+-5585
+-5584
+-5583
+-5582
+-5581
+-5580
+-5578
+-5577
+-5576
+-5574
+-5573
+-5571
+-5570
+-5568
+-5566
+-5565
+-5563
+-5561
+-5559
+-5557
+-5555
+-5553
+-5551
+-5549
+-5546
+-5544
+-5542
+-5539
+-5537
+-5534
+-5532
+-5529
+-5526
+-5523
+-5521
+-5518
+-5515
+-5512
+-5509
+-5505
+-5502
+-5499
+-5496
+-5492
+-5489
+-5485
+-5482
+-5478
+-5474
+-5471
+-5467
+-5463
+-5459
+-5455
+-5451
+-5447
+-5443
+-5439
+-5434
+-5430
+-5425
+-5421
+-5416
+-5412
+-5407
+-5403
+-5398
+-5393
+-5388
+-5383
+-5378
+-5373
+-5368
+-5363
+-5357
+-5352
+-5347
+-5341
+-5336
+-5330
+-5325
+-5319
+-5313
+-5307
+-5302
+-5296
+-5290
+-5284
+-5278
+-5271
+-5265
+-5259
+-5253
+-5246
+-5240
+-5233
+-5226
+-5220
+-5213
+-5206
+-5199
+-5193
+-5186
+-5179
+-5172
+-5164
+-5157
+-5150
+-5143
+-5135
+-5128
+-5120
+-5113
+-5105
+-5097
+-5089
+-5082
+-5074
+-5066
+-5058
+-5050
+-5042
+-5033
+-5025
+-5017
+-5008
+-5000
+-4991
+-4983
+-4974
+-4965
+-4957
+-4948
+-4939
+-4930
+-4921
+-4912
+-4903
+-4894
+-4884
+-4875
+-4866
+-4856
+-4847
+-4837
+-4828
+-4818
+-4808
+-4798
+-4788
+-4779
+-4769
+-4758
+-4748
+-4738
+-4728
+-4718
+-4707
+-4697
+-4686
+-4676
+-4665
+-4654
+-4644
+-4633
+-4622
+-4611
+-4600
+-4589
+-4578
+-4567
+-4556
+-4544
+-4533
+-4522
+-4510
+-4499
+-4487
+-4475
+-4464
+-4452
+-4440
+-4428
+-4416
+-4404
+-4392
+-4380
+-4368
+-4355
+-4343
+-4331
+-4318
+-4306
+-4293
+-4280
+-4268
+-4255
+-4242
+-4229
+-4216
+-4203
+-4190
+-4177
+-4164
+-4151
+-4137
+-4124
+-4111
+-4097
+-4083
+-4070
+-4056
+-4042
+-4029
+-4015
+-4001
+-3987
+-3973
+-3959
+-3945
+-3931
+-3916
+-3902
+-3888
+-3873
+-3859
+-3844
+-3829
+-3815
+-3800
+-3785
+-3770
+-3755
+-3740
+-3725
+-3710
+-3695
+-3680
+-3665
+-3649
+-3634
+-3619
+-3603
+-3588
+-3572
+-3556
+-3540
+-3525
+-3509
+-3493
+-3477
+-3461
+-3445
+-3429
+-3413
+-3396
+-3380
+-3364
+-3347
+-3331
+-3314
+-3298
+-3281
+-3264
+-3247
+-3231
+-3214
+-3197
+-3180
+-3163
+-3146
+-3129
+-3111
+-3094
+-3077
+-3059
+-3042
+-3025
+-3007
+-2989
+-2972
+-2954
+-2936
+-2918
+-2901
+-2883
+-2865
+-2847
+-2829
+-2810
+-2792
+-2774
+-2756
+-2737
+-2719
+-2700
+-2682
+-2663
+-2645
+-2626
+-2607
+-2589
+-2570
+-2551
+-2532
+-2513
+-2494
+-2475
+-2456
+-2436
+-2417
+-2398
+-2378
+-2359
+-2340
+-2320
+-2301
+-2281
+-2261
+-2242
+-2222
+-2202
+-2182
+-2162
+-2142
+-2122
+-2102
+-2082
+-2062
+-2042
+-2021
+-2001
+-1981
+-1960
+-1940
+-1919
+-1899
+-1878
+-1858
+-1837
+-1816
+-1795
+-1774
+-1754
+-1733
+-1712
+-1691
+-1670
+-1648
+-1627
+-1606
+-1585
+-1564
+-1542
+-1521
+-1499
+-1478
+-1456
+-1435
+-1413
+-1391
+-1370
+-1348
+-1326
+-1304
+-1282
+-1260
+-1238
+-1216
+-1194
+-1172
+-1150
+-1128
+-1106
+-1083
+-1061
+-1039
+-1016
+-994
+-971
+-949
+-926
+-904
+-881
+-858
+-835
+-813
+-790
+-767
+-744
+-721
+-698
+-675
+-652
+-629
+-606
+-583
+-559
+-536
+-513
+-490
+-466
+-443
+-419
+-396
+-372
+-349
+-325
+-301
+-278
+-254
+-230
+-207
+-183
+-159
+-135
+-111
+-87
+-63
+-39
+-15
+9
+33
+57
+82
+106
+130
+154
+179
+203
+227
+252
+276
+301
+325
+350
+375
+399
+424
+448
+473
+498
+523
+547
+572
+597
+622
+647
+672
+697
+722
+747
+772
+797
+822
+847
+873
+898
+923
+948
+974
+999
+1024
+1050
+1075
+1100
+1126
+1151
+1177
+1202
+1228
+1253
+1279
+1305
+1330
+1356
+1381
+1407
+1433
+1459
+1484
+1510
+1536
+1562
+1588
+1614
+1639
+1665
+1691
+1717
+1743
+1769
+1795
+1821
+1847
+1873
+1899
+1926
+1952
+1978
+2004
+2030
+2056
+2083
+2109
+2135
+2161
+2188
+2214
+2240
+2266
+2293
+2319
+2345
+2372
+2398
+2425
+2451
+2477
+2504
+2530
+2557
+2583
+2610
+2636
+2663
+2689
+2716
+2742
+2769
+2795
+2822
+2849
+2875
+2902
+2928
+2955
+2982
+3008
+3035
+3062
+3088
+3115
+3142
+3168
+3195
+3222
+3248
+3275
+3302
+3328
+3355
+3382
+3409
+3435
+3462
+3489
+3516
+3542
+3569
+3596
+3623
+3649
+3676
+3703
+3730
+3756
+3783
+3810
+3837
+3863
+3890
+3917
+3944
+3970
+3997
+4024
+4051
+4077
+4104
+4131
+4157
+4184
+4211
+4238
+4264
+4291
+4318
+4344
+4371
+4398
+4425
+4451
+4478
+4505
+4531
+4558
+4584
+4611
+4638
+4664
+4691
+4718
+4744
+4771
+4797
+4824
+4850
+4877
+4903
+4930
+4956
+4983
+5009
+5036
+5062
+5089
+5115
+5142
+5168
+5194
+5221
+5247
+5273
+5300
+5326
+5352
+5379
+5405
+5431
+5457
+5484
+5510
+5536
+5562
+5588
+5614
+5640
+5667
+5693
+5719
+5745
+5771
+5797
+5823
+5848
+5874
+5900
+5926
+5952
+5978
+6004
+6029
+6055
+6081
+6107
+6132
+6158
+6184
+6209
+6235
+6260
+6286
+6311
+6337
+6362
+6388
+6413
+6438
+6464
+6489
+6514
+6540
+6565
+6590
+6615
+6640
+6665
+6690
+6715
+6740
+6765
+6790
+6815
+6840
+6865
+6890
+6915
+6939
+6964
+6989
+7013
+7038
+7062
+7087
+7111
+7136
+7160
+7185
+7209
+7233
+7258
+7282
+7306
+7330
+7354
+7378
+7402
+7426
+7450
+7474
+7498
+7522
+7546
+7569
+7593
+7617
+7640
+7664
+7688
+7711
+7735
+7758
+7781
+7805
+7828
+7851
+7874
+7897
+7920
+7944
+7967
+7989
+8012
+8035
+8058
+8081
+8103
+8126
+8149
+8171
+8194
+8216
+8239
+8261
+8283
+8306
+8328
+8350
+8372
+8394
+8416
+8438
+8460
+8482
+8504
+8525
+8547
+8569
+8590
+8612
+8633
+8654
+8676
+8697
+8718
+8740
+8761
+8782
+8803
+8824
+8845
+8865
+8886
+8907
+8927
+8948
+8969
+8989
+9009
+9030
+9050
+9070
+9090
+9110
+9131
+9150
+9170
+9190
+9210
+9230
+9249
+9269
+9288
+9308
+9327
+9347
+9366
+9385
+9404
+9423
+9442
+9461
+9480
+9499
+9518
+9536
+9555
+9573
+9592
+9610
+9628
+9647
+9665
+9683
+9701
+9719
+9737
+9755
+9772
+9790
+9808
+9825
+9842
+9860
+9877
+9894
+9912
+9929
+9946
+9962
+9979
+9996
+10013
+10029
+10046
+10062
+10079
+10095
+10111
+10127
+10144
+10160
+10175
+10191
+10207
+10223
+10238
+10254
+10269
+10285
+10300
+10315
+10330
+10345
+10360
+10375
+10390
+10405
+10419
+10434
+10448
+10463
+10477
+10491
+10505
+10519
+10533
+10547
+10561
+10574
+10588
+10601
+10615
+10628
+10642
+10655
+10668
+10681
+10694
+10706
+10719
+10732
+10744
+10757
+10769
+10781
+10794
+10806
+10818
+10830
+10841
+10853
+10865
+10876
+10888
+10899
+10910
+10922
+10933
+10944
+10955
+10965
+10976
+10987
+10997
+11008
+11018
+11028
+11038
+11048
+11058
+11068
+11078
+11088
+11097
+11107
+11116
+11125
+11134
+11143
+11152
+11161
+11170
+11179
+11187
+11196
+11204
+11212
+11220
+11229
+11237
+11244
+11252
+11260
+11267
+11275
+11282
+11289
+11297
+11304
+11311
+11317
+11324
+11331
+11337
+11344
+11350
+11356
+11363
+11369
+11374
+11380
+11386
+11392
+11397
+11402
+11408
+11413
+11418
+11423
+11428
+11432
+11437
+11442
+11446
+11450
+11454
+11459
+11463
+11466
+11470
+11474
+11477
+11481
+11484
+11487
+11490
+11493
+11496
+11499
+11502
+11504
+11507
+11509
+11511
+11513
+11515
+11517
+11519
+11521
+11522
+11524
+11525
+11526
+11527
+11528
+11529
+11530
+11531
+11531
+11531
+11532
+11532
+11532
+11532
+11532
+11531
+11531
+11531
+11530
+11529
+11528
+11527
+11526
+11525
+11524
+11522
+11521
+11519
+11517
+11515
+11513
+11511
+11509
+11506
+11504
+11501
+11498
+11496
+11493
+11490
+11486
+11483
+11479
+11476
+11472
+11468
+11464
+11460
+11456
+11452
+11447
+11443
+11438
+11433
+11429
+11424
+11418
+11413
+11408
+11402
+11397
+11391
+11385
+11379
+11373
+11367
+11360
+11354
+11347
+11340
+11333
+11326
+11319
+11312
+11305
+11297
+11290
+11282
+11274
+11266
+11258
+11250
+11241
+11233
+11224
+11216
+11207
+11198
+11189
+11180
+11170
+11161
+11151
+11141
+11132
+11122
+11112
+11101
+11091
+11080
+11070
+11059
+11048
+11037
+11026
+11015
+11004
+10992
+10981
+10969
+10957
+10945
+10933
+10921
+10908
+10896
+10883
+10870
+10858
+10845
+10831
+10818
+10805
+10791
+10778
+10764
+10750
+10736
+10722
+10708
+10693
+10679
+10664
+10649
+10634
+10619
+10604
+10589
+10573
+10558
+10542
+10526
+10510
+10494
+10478
+10462
+10445
+10429
+10412
+10395
+10378
+10361
+10344
+10327
+10309
+10292
+10274
+10256
+10238
+10220
+10202
+10183
+10165
+10146
+10127
+10109
+10090
+10070
+10051
+10032
+10012
+9993
+9973
+9953
+9933
+9913
+9892
+9872
+9851
+9831
+9810
+9789
+9768
+9747
+9725
+9704
+9682
+9661
+9639
+9617
+9595
+9573
+9550
+9528
+9505
+9483
+9460
+9437
+9414
+9390
+9367
+9343
+9320
+9296
+9272
+9248
+9224
+9200
+9176
+9151
+9126
+9102
+9077
+9052
+9027
+9001
+8976
+8950
+8925
+8899
+8873
+8847
+8821
+8795
+8768
+8742
+8715
+8688
+8661
+8634
+8607
+8580
+8553
+8525
+8497
+8470
+8442
+8414
+8386
+8357
+8329
+8300
+8272
+8243
+8214
+8185
+8156
+8127
+8097
+8068
+8038
+8008
+7978
+7948
+7918
+7888
+7858
+7827
+7796
+7766
+7735
+7704
+7673
+7641
+7610
+7579
+7547
+7515
+7483
+7451
+7419
+7387
+7355
+7322
+7290
+7257
+7224
+7191
+7158
+7125
+7092
+7058
+7025
+6991
+6958
+6924
+6890
+6856
+6821
+6787
+6752
+6718
+6683
+6648
+6613
+6578
+6543
+6508
+6472
+6437
+6401
+6366
+6330
+6294
+6258
+6221
+6185
+6149
+6112
+6075
+6039
+6002
+5965
+5927
+5890
+5853
+5815
+5778
+5740
+5702
+5664
+5626
+5588
+5550
+5511
+5473
+5434
+5396
+5357
+5318
+5279
+5240
+5201
+5161
+5122
+5082
+5042
+5003
+4963
+4923
+4883
+4842
+4802
+4762
+4721
+4680
+4640
+4599
+4558
+4517
+4475
+4434
+4393
+4351
+4310
+4268
+4226
+4184
+4142
+4100
+4058
+4015
+3973
+3930
+3888
+3845
+3802
+3759
+3716
+3673
+3630
+3586
+3543
+3499
+3456
+3412
+3368
+3324
+3280
+3236
+3192
+3148
+3103
+3059
+3014
+2969
+2924
+2880
+2835
+2789
+2744
+2699
+2654
+2608
+2563
+2517
+2471
+2425
+2379
+2333
+2287
+2241
+2195
+2148
+2102
+2055
+2009
+1962
+1915
+1868
+1821
+1774
+1727
+1680
+1632
+1585
+1537
+1490
+1442
+1394
+1346
+1298
+1250
+1202
+1154
+1106
+1057
+1009
+960
+912
+863
+814
+765
+716
+667
+618
+569
+520
+470
+421
+372
+322
+272
+223
+173
+123
+73
+23
+-27
+-77
+-128
+-178
+-228
+-279
+-329
+-380
+-431
+-481
+-532
+-583
+-634
+-685
+-736
+-787
+-839
+-890
+-941
+-993
+-1044
+-1096
+-1148
+-1199
+-1251
+-1303
+-1355
+-1407
+-1459
+-1511
+-1563
+-1615
+-1668
+-1720
+-1772
+-1825
+-1877
+-1930
+-1982
+-2035
+-2088
+-2141
+-2194
+-2247
+-2300
+-2353
+-2406
+-2459
+-2512
+-2565
+-2619
+-2672
+-2726
+-2779
+-2833
+-2886
+-2940
+-2993
+-3047
+-3101
+-3155
+-3209
+-3263
+-3317
+-3371
+-3425
+-3479
+-3533
+-3587
+-3642
+-3696
+-3750
+-3805
+-3859
+-3914
+-3968
+-4023
+-4077
+-4132
+-4187
+-4242
+-4296
+-4351
+-4406
+-4461
+-4516
+-4571
+-4626
+-4681
+-4736
+-4791
+-4846
+-4901
+-4957
+-5012
+-5067
+-5123
+-5178
+-5233
+-5289
+-5344
+-5400
+-5455
+-5511
+-5566
+-5622
+-5678
+-5733
+-5789
+-5845
+-5900
+-5956
+-6012
+-6068
+-6124
+-6179
+-6235
+-6291
+-6347
+-6403
+-6459
+-6515
+-6571
+-6627
+-6683
+-6739
+-6795
+-6851
+-6908
+-6964
+-7020
+-7076
+-7132
+-7188
+-7245
+-7301
+-7357
+-7413
+-7470
+-7526
+-7582
+-7638
+-7695
+-7751
+-7807
+-7864
+-7920
+-7976
+-8033
+-8089
+-8145
+-8202
+-8258
+-8315
+-8371
+-8427
+-8484
+-8540
+-8596
+-8653
+-8709
+-8766
+-8822
+-8878
+-8935
+-8991
+-9047
+-9104
+-9160
+-9217
+-9273
+-9329
+-9386
+-9442
+-9498
+-9555
+-9611
+-9667
+-9723
+-9780
+-9836
+-9892
+-9948
+-10005
+-10061
+-10117
+-10173
+-10229
+-10286
+-10342
+-10398
+-10454
+-10510
+-10566
+-10622
+-10678
+-10734
+-10790
+-10846
+-10902
+-10958
+-11014
+-11070
+-11126
+-11181
+-11237
+-11293
+-11349
+-11405
+-11460
+-11516
+-11571
+-11627
+-11683
+-11738
+-11794
+-11849
+-11905
+-11960
+-12015
+-12071
+-12126
+-12181
+-12237
+-12292
+-12347
+-12402
+-12457
+-12512
+-12567
+-12622
+-12677
+-12732
+-12787
+-12842
+-12897
+-12951
+-13006
+-13061
+-13115
+-13170
+-13224
+-13279
+-13333
+-13387
+-13442
+-13496
+-13550
+-13604
+-13658
+-13712
+-13766
+-13820
+-13874
+-13928
+-13982
+-14036
+-14089
+-14143
+-14196
+-14250
+-14303
+-14357
+-14410
+-14463
+-14516
+-14570
+-14623
+-14676
+-14729
+-14782
+-14834
+-14887
+-14940
+-14992
+-15045
+-15097
+-15150
+-15202
+-15254
+-15307
+-15359
+-15411
+-15463
+-15515
+-15567
+-15618
+-15670
+-15722
+-15773
+-15825
+-15876
+-15927
+-15979
+-16030
+-16081
+-16132
+-16183
+-16234
+-16284
+-16335
+-16386
+-16436
+-16487
+-16537
+-16587
+-16637
+-16687
+-16737
+-16787
+-16837
+-16887
+-16937
+-16986
+-17036
+-17085
+-17134
+-17183
+-17232
+-17281
+-17330
+-17379
+-17428
+-17476
+-17525
+-17573
+-17622
+-17670
+-17718
+-17766
+-17814
+-17862
+-17910
+-17957
+-18005
+-18052
+-18099
+-18147
+-18194
+-18241
+-18287
+-18334
+-18381
+-18427
+-18474
+-18520
+-18566
+-18613
+-18658
+-18704
+-18750
+-18796
+-18841
+-18887
+-18932
+-18977
+-19022
+-19067
+-19112
+-19157
+-19201
+-19246
+-19290
+-19335
+-19379
+-19423
+-19466
+-19510
+-19554
+-19597
+-19641
+-19684
+-19727
+-19770
+-19813
+-19856
+-19898
+-19941
+-19983
+-20025
+-20067
+-20109
+-20151
+-20193
+-20234
+-20276
+-20317
+-20358
+-20399
+-20440
+-20481
+-20521
+-20562
+-20602
+-20642
+-20682
+-20722
+-20762
+-20802
+-20841
+-20880
+-20919
+-20959
+-20997
+-21036
+-21075
+-21113
+-21151
+-21190
+-21228
+-21265
+-21303
+-21341
+-21378
+-21415
+-21452
+-21489
+-21526
+-21563
+-21599
+-21635
+-21672
+-21708
+-21743
+-21779
+-21815
+-21850
+-21885
+-21920
+-21955
+-21990
+-22024
+-22059
+-22093
+-22127
+-22161
+-22195
+-22228
+-22262
+-22295
+-22328
+-22361
+-22393
+-22426
+-22458
+-22491
+-22523
+-22555
+-22586
+-22618
+-22649
+-22680
+-22711
+-22742
+-22773
+-22803
+-22833
+-22864
+-22894
+-22923
+-22953
+-22982
+-23011
+-23041
+-23069
+-23098
+-23127
+-23155
+-23183
+-23211
+-23239
+-23266
+-23294
+-23321
+-23348
+-23375
+-23401
+-23428
+-23454
+-23480
+-23506
+-23531
+-23557
+-23582
+-23607
+-23632
+-23657
+-23681
+-23706
+-23730
+-23754
+-23777
+-23801
+-23824
+-23847
+-23870
+-23893
+-23916
+-23938
+-23960
+-23982
+-24004
+-24025
+-24047
+-24068
+-24089
+-24109
+-24130
+-24150
+-24170
+-24190
+-24210
+-24229
+-24248
+-24267
+-24286
+-24305
+-24323
+-24341
+-24359
+-24377
+-24395
+-24412
+-24429
+-24446
+-24463
+-24479
+-24496
+-24512
+-24527
+-24543
+-24558
+-24574
+-24589
+-24603
+-24618
+-24632
+-24646
+-24660
+-24674
+-24687
+-24700
+-24713
+-24726
+-24738
+-24751
+-24763
+-24774
+-24786
+-24797
+-24809
+-24819
+-24830
+-24841
+-24851
+-24861
+-24871
+-24880
+-24889
+-24898
+-24907
+-24916
+-24924
+-24932
+-24940
+-24948
+-24955
+-24962
+-24969
+-24976
+-24983
+-24989
+-24995
+-25001
+-25006
+-25011
+-25016
+-25021
+-25026
+-25030
+-25034
+-25038
+-25041
+-25045
+-25048
+-25051
+-25053
+-25056
+-25058
+-25060
+-25061
+-25063
+-25064
+-25065
+-25065
+-25066
+-25066
+-25066
+-25065
+-25065
+-25064
+-25063
+-25061
+-25059
+-25058
+-25055
+-25053
+-25050
+-25047
+-25044
+-25041
+-25037
+-25033
+-25029
+-25025
+-25020
+-25015
+-25010
+-25004
+-24998
+-24992
+-24986
+-24980
+-24973
+-24966
+-24958
+-24951
+-24943
+-24935
+-24927
+-24918
+-24909
+-24900
+-24891
+-24881
+-24871
+-24861
+-24850
+-24839
+-24828
+-24817
+-24806
+-24794
+-24782
+-24769
+-24757
+-24744
+-24731
+-24717
+-24703
+-24689
+-24675
+-24661
+-24646
+-24631
+-24615
+-24600
+-24584
+-24568
+-24551
+-24535
+-24518
+-24500
+-24483
+-24465
+-24447
+-24428
+-24410
+-24391
+-24372
+-24352
+-24332
+-24312
+-24292
+-24271
+-24250
+-24229
+-24208
+-24186
+-24164
+-24142
+-24119
+-24096
+-24073
+-24050
+-24026
+-24002
+-23978
+-23953
+-23929
+-23903
+-23878
+-23852
+-23826
+-23800
+-23774
+-23747
+-23720
+-23692
+-23664
+-23637
+-23608
+-23580
+-23551
+-23522
+-23492
+-23463
+-23433
+-23402
+-23372
+-23341
+-23310
+-23278
+-23247
+-23215
+-23182
+-23150
+-23117
+-23083
+-23050
+-23016
+-22982
+-22948
+-22913
+-22878
+-22843
+-22807
+-22772
+-22735
+-22699
+-22662
+-22625
+-22588
+-22550
+-22513
+-22474
+-22436
+-22397
+-22358
+-22319
+-22279
+-22239
+-22199
+-22158
+-22117
+-22076
+-22035
+-21993
+-21951
+-21909
+-21866
+-21823
+-21780
+-21736
+-21692
+-21648
+-21604
+-21559
+-21514
+-21469
+-21423
+-21377
+-21331
+-21284
+-21237
+-21190
+-21143
+-21095
+-21047
+-20999
+-20950
+-20901
+-20852
+-20802
+-20752
+-20702
+-20652
+-20601
+-20550
+-20499
+-20447
+-20395
+-20343
+-20290
+-20237
+-20184
+-20130
+-20077
+-20023
+-19968
+-19913
+-19858
+-19803
+-19747
+-19692
+-19635
+-19579
+-19522
+-19465
+-19407
+-19350
+-19292
+-19233
+-19175
+-19116
+-19056
+-18997
+-18937
+-18877
+-18816
+-18755
+-18694
+-18633
+-18571
+-18509
+-18447
+-18384
+-18321
+-18258
+-18194
+-18130
+-18066
+-18002
+-17937
+-17872
+-17807
+-17741
+-17675
+-17608
+-17542
+-17475
+-17408
+-17340
+-17272
+-17204
+-17136
+-17067
+-16998
+-16929
+-16859
+-16789
+-16719
+-16648
+-16577
+-16506
+-16434
+-16363
+-16290
+-16218
+-16145
+-16072
+-15999
+-15925
+-15851
+-15777
+-15702
+-15628
+-15552
+-15477
+-15401
+-15325
+-15249
+-15172
+-15095
+-15018
+-14940
+-14862
+-14784
+-14705
+-14626
+-14547
+-14468
+-14388
+-14308
+-14228
+-14147
+-14066
+-13985
+-13903
+-13821
+-13739
+-13656
+-13574
+-13491
+-13407
+-13323
+-13239
+-13155
+-13070
+-12986
+-12900
+-12815
+-12729
+-12643
+-12556
+-12470
+-12383
+-12295
+-12208
+-12120
+-12031
+-11943
+-11854
+-11765
+-11675
+-11586
+-11496
+-11405
+-11315
+-11224
+-11132
+-11041
+-10949
+-10857
+-10764
+-10672
+-10579
+-10485
+-10392
+-10298
+-10203
+-10109
+-10014
+-9919
+-9824
+-9728
+-9632
+-9535
+-9439
+-9342
+-9245
+-9147
+-9049
+-8951
+-8853
+-8754
+-8655
+-8556
+-8456
+-8357
+-8256
+-8156
+-8055
+-7954
+-7853
+-7751
+-7649
+-7547
+-7445
+-7342
+-7239
+-7135
+-7032
+-6928
+-6823
+-6719
+-6614
+-6509
+-6404
+-6298
+-6192
+-6086
+-5979
+-5872
+-5765
+-5658
+-5550
+-5442
+-5334
+-5225
+-5116
+-5007
+-4898
+-4788
+-4678
+-4568
+-4457
+-4346
+-4235
+-4124
+-4012
+-3900
+-3788
+-3675
+-3563
+-3450
+-3336
+-3223
+-3109
+-2994
+-2880
+-2765
+-2650
+-2535
+-2419
+-2303
+-2187
+-2071
+-1954
+-1837
+-1720
+-1602
+-1484
+-1366
+-1248
+-1129
+-1010
+-891
+-772
+-652
+-532
+-412
+-291
+-170
+-49
+72
+194
+316
+438
+560
+683
+806
+929
+1053
+1176
+1300
+1425
+1549
+1674
+1799
+1924
+2050
+2176
+2302
+2428
+2555
+2682
+2809
+2937
+3064
+3192
+3321
+3449
+3578
+3707
+3836
+3965
+4095
+4225
+4355
+4486
+4617
+4748
+4879
+5011
+5142
+5274
+5407
+5539
+5672
+5805
+5938
+6072
+6206
+6340
+6474
+6608
+6743
+6878
+7013
+7149
+7285
+7421
+7557
+7693
+7830
+7967
+8104
+8242
+8379
+8517
+8655
+8794
+8932
+9071
+9210
+9350
+9489
+9629
+9769
+9909
+10050
+10191
+10332
+10473
+10614
+10756
+10898
+11040
+11182
+11325
+11468
+11611
+11754
+11897
+12041
+12185
+12329
+12473
+12618
+12763
+12908
+13053
+13199
+13344
+13490
+13636
+13783
+13929
+14076
+14223
+14370
+14518
+14665
+14813
+14961
+15109
+15258
+15407
+15555
+15705
+15854
+16003
+16153
+16303
+16453
+16604
+16754
+16905
+17056
+17207
+17358
+17510
+17662
+17814
+17966
+18118
+18271
+18424
+18577
+18730
+18883
+19037
+19190
+19344
+19498
+19653
+19807
+19962
+20117
+20272
+20427
+20583
+20738
+20894
+21050
+21206
+21363
+21519
+21676
+21833
+21990
+22147
+22305
+22462
+22620
+22778
+22936
+23095
+23253
+23412
+23571
+23730
+23889
+24049
+24208
+24368
+24528
+24688
+24848
+25008
+25169
+25330
+25491
+25652
+25813
+25974
+26136
+26298
+26460
+26622
+26784
+26946
+27109
+27271
+27434
+27597
+27760
+27924
+28087
+28251
+28414
+28578
+28742
+28906
+29071
+29235
+29400
+29565
+29730
+29895
+30060
+30225
+30391
+30556
+30722
+30888
+31054
+31220
+31387
+31553
+31720
+31886
+32053
+32220
+32387
+32555
+32722
+32890
+33057
+33225
+33393
+33561
+33729
+33897
+34066
+34234
+34403
+34572
+34741
+34910
+35079
+35248
+35417
+35587
+35756
+35926
+36096
+36266
+36436
+36606
+36776
+36947
+37117
+37288
+37459
+37629
+37800
+37971
+38142
+38314
+38485
+38656
+38828
+38999
+39171
+39343
+39515
+39687
+39859
+40031
+40203
+40376
+40548
+40721
+40894
+41066
+41239
+41412
+41585
+41758
+41931
+42104
+42278
+42451
+42625
+42798
+42972
+43146
+43319
+43493
+43667
+43841
+44015
+44190
+44364
+44538
+44712
+44887
+45061
+45236
+45411
+45585
+45760
+45935
+46110
+46285
+46460
+46635
+46810
+46985
+47161
+47336
+47511
+47687
+47862
+48038
+48213
+48389
+48565
+48740
+48916
+49092
+49268
+49444
+49620
+49796
+49972
+50148
+50324
+50500
+50676
+50852
+51029
+51205
+51381
+51558
+51734
+51911
+52087
+52263
+52440
+52617
+52793
+52970
+53146
+53323
+53500
+53676
+53853
+54030
+54207
+54383
+54560
+54737
+54914
+55091
+55267
+55444
+55621
+55798
+55975
+56152
+56329
+56506
+56683
+56860
+57036
+57213
+57390
+57567
+57744
+57921
+58098
+58275
+58452
+58629
+58806
+58983
+59160
+59336
+59513
+59690
+59867
+60044
+60221
+60397
+60574
+60751
+60928
+61105
+61281
+61458
+61635
+61811
+61988
+62165
+62341
+62518
+62694
+62871
+63047
+63224
+63400
+63577
+63753
+63929
+64105
+64282
+64458
+64634
+64810
+64986
+65162
+65338
+65514
+65690
+65866
+66042
+66218
+66393
+66569
+66745
+66920
+67096
+67271
+67447
+67622
+67797
+67973
+68148
+68323
+68498
+68673
+68848
+69023
+69198
+69373
+69547
+69722
+69897
+70071
+70245
+70420
+70594
+70768
+70942
+71116
+71290
+71464
+71638
+71812
+71986
+72159
+72333
+72506
+72680
+72853
+73026
+73199
+73372
+73545
+73718
+73891
+74063
+74236
+74408
+74581
+74753
+74925
+75097
+75269
+75441
+75613
+75784
+75956
+76127
+76299
+76470
+76641
+76812
+76983
+77154
+77325
+77495
+77666
+77836
+78007
+78177
+78347
+78517
+78686
+78856
+79026
+79195
+79364
+79534
+79703
+79872
+80040
+80209
+80378
+80546
+80715
+80883
+81051
+81219
+81386
+81554
+81722
+81889
+82056
+82223
+82390
+82557
+82724
+82890
+83057
+83223
+83389
+83555
+83721
+83887
+84052
+84217
+84383
+84548
+84713
+84877
+85042
+85206
+85371
+85535
+85699
+85863
+86026
+86190
+86353
+86516
+86679
+86842
+87005
+87167
+87330
+87492
+87654
+87816
+87977
+88139
+88300
+88461
+88622
+88783
+88943
+89104
+89264
+89424
+89584
+89744
+89903
+90062
+90222
+90381
+90539
+90698
+90856
+91015
+91173
+91330
+91488
+91645
+91803
+91960
+92117
+92273
+92430
+92586
+92742
+92898
+93053
+93209
+93364
+93519
+93674
+93829
+93983
+94137
+94291
+94445
+94599
+94752
+94905
+95058
+95211
+95363
+95515
+95668
+95819
+95971
+96122
+96274
+96425
+96575
+96726
+96876
+97026
+97176
+97326
+97475
+97624
+97773
+97922
+98070
+98218
+98366
+98514
+98662
+98809
+98956
+99103
+99249
+99396
+99542
+99688
+99833
+99979
+100124
+100269
+100413
+100558
+100702
+100846
+100989
+101133
+101276
+101419
+101561
+101704
+101846
+101988
+102129
+102271
+102412
+102553
+102693
+102834
+102974
+103113
+103253
+103392
+103531
+103670
+103808
+103947
+104084
+104222
+104360
+104497
+104633
+104770
+104906
+105042
+105178
+105314
+105449
+105584
+105718
+105853
+105987
+106121
+106254
+106387
+106520
+106653
+106785
+106918
+107049
+107181
+107312
+107443
+107574
+107704
+107834
+107964
+108093
+108223
+108352
+108480
+108609
+108737
+108864
+108992
+109119
+109246
+109372
+109498
+109624
+109750
+109875
+110000
+110125
+110249
+110374
+110497
+110621
+110744
+110867
+110989
+111112
+111234
+111355
+111476
+111597
+111718
+111838
+111959
+112078
+112198
+112317
+112436
+112554
+112672
+112790
+112907
+113025
+113141
+113258
+113374
+113490
+113606
+113721
+113836
+113950
+114064
+114178
+114292
+114405
+114518
+114631
+114743
+114855
+114966
+115077
+115188
+115299
+115409
+115519
+115629
+115738
+115847
+115955
+116063
+116171
+116279
+116386
+116493
+116599
+116705
+116811
+116916
+117022
+117126
+117231
+117335
+117438
+117542
+117645
+117747
+117850
+117951
+118053
+118154
+118255
+118356
+118456
+118556
+118655
+118754
+118853
+118951
+119049
+119147
+119244
+119341
+119438
+119534
+119630
+119725
+119820
+119915
+120009
+120103
+120197
+120290
+120383
+120475
+120568
+120659
+120751
+120842
+120933
+121023
+121113
+121202
+121292
+121380
+121469
+121557
+121644
+121732
+121819
+121905
+121991
+122077
+122163
+122248
+122332
+122417
+122500
+122584
+122667
+122750
+122832
+122914
+122996
+123077
+123158
+123238
+123318
+123398
+123477
+123556
+123635
+123713
+123790
+123868
+123945
+124021
+124097
+124173
+124248
+124323
+124398
+124472
+124546
+124619
+124692
+124765
+124837
+124909
+124980
+125051
+125122
+125192
+125262
+125332
+125401
+125469
+125537
+125605
+125673
+125740
+125806
+125873
+125938
+126004
+126069
+126133
+126198
+126261
+126325
+126388
+126450
+126512
+126574
+126636
+126697
+126757
+126817
+126877
+126936
+126995
+127054
+127112
+127169
+127227
+127284
+127340
+127396
+127452
+127507
+127562
+127616
+127670
+127724
+127777
+127829
+127882
+127934
+127985
+128036
+128087
+128137
+128187
+128236
+128285
+128334
+128382
+128430
+128477
+128524
+128570
+128616
+128662
+128707
+128752
+128796
+128840
+128884
+128927
+128969
+129012
+129053
+129095
+129136
+129176
+129216
+129256
+129295
+129334
+129373
+129411
+129448
+129486
+129522
+129559
+129594
+129630
+129665
+129700
+129734
+129767
+129801
+129834
+129866
+129898
+129930
+129961
+129992
+130022
+130052
+130081
+130110
+130139
+130167
+130195
+130222
+130249
+130276
+130302
+130327
+130352
+130377
+130401
+130425
+130449
+130472
+130494
+130517
+130538
+130560
+130580
+130601
+130621
+130640
+130659
+130678
+130696
+130714
+130732
+130749
+130765
+130781
+130797
+130812
+130827
+130841
+130855
+130869
+130882
+130894
+130906
+130918
+130930
+130940
+130951
+130961
+130970
+130980
+130988
+130997
+131004
+131012
+131019
+131025
+131031
+131037
+131042
+131047
+131051
+131055
+131059
+131062
+131064
+131067
+131068
+131070
+131071
+131071
+131071
+131071
+131070
+131068
+131067
+131064
+131062
+131059
+131055
+131051
+131047
+131042
+131037
+131031
+131025
+131019
+131012
+131004
+130997
+130988
+130980
+130970
+130961
+130951
+130940
+130930
+130918
+130906
+130894
+130882
+130869
+130855
+130841
+130827
+130812
+130797
+130781
+130765
+130749
+130732
+130714
+130696
+130678
+130659
+130640
+130621
+130601
+130580
+130560
+130538
+130517
+130494
+130472
+130449
+130425
+130401
+130377
+130352
+130327
+130302
+130276
+130249
+130222
+130195
+130167
+130139
+130110
+130081
+130052
+130022
+129992
+129961
+129930
+129898
+129866
+129834
+129801
+129767
+129734
+129700
+129665
+129630
+129594
+129559
+129522
+129486
+129448
+129411
+129373
+129334
+129295
+129256
+129216
+129176
+129136
+129095
+129053
+129012
+128969
+128927
+128884
+128840
+128796
+128752
+128707
+128662
+128616
+128570
+128524
+128477
+128430
+128382
+128334
+128285
+128236
+128187
+128137
+128087
+128036
+127985
+127934
+127882
+127829
+127777
+127724
+127670
+127616
+127562
+127507
+127452
+127396
+127340
+127284
+127227
+127169
+127112
+127054
+126995
+126936
+126877
+126817
+126757
+126697
+126636
+126574
+126512
+126450
+126388
+126325
+126261
+126198
+126133
+126069
+126004
+125938
+125873
+125806
+125740
+125673
+125605
+125537
+125469
+125401
+125332
+125262
+125192
+125122
+125051
+124980
+124909
+124837
+124765
+124692
+124619
+124546
+124472
+124398
+124323
+124248
+124173
+124097
+124021
+123945
+123868
+123790
+123713
+123635
+123556
+123477
+123398
+123318
+123238
+123158
+123077
+122996
+122914
+122832
+122750
+122667
+122584
+122500
+122417
+122332
+122248
+122163
+122077
+121991
+121905
+121819
+121732
+121644
+121557
+121469
+121380
+121292
+121202
+121113
+121023
+120933
+120842
+120751
+120659
+120568
+120475
+120383
+120290
+120197
+120103
+120009
+119915
+119820
+119725
+119630
+119534
+119438
+119341
+119244
+119147
+119049
+118951
+118853
+118754
+118655
+118556
+118456
+118356
+118255
+118154
+118053
+117951
+117850
+117747
+117645
+117542
+117438
+117335
+117231
+117126
+117022
+116916
+116811
+116705
+116599
+116493
+116386
+116279
+116171
+116063
+115955
+115847
+115738
+115629
+115519
+115409
+115299
+115188
+115077
+114966
+114855
+114743
+114631
+114518
+114405
+114292
+114178
+114064
+113950
+113836
+113721
+113606
+113490
+113374
+113258
+113141
+113025
+112907
+112790
+112672
+112554
+112436
+112317
+112198
+112078
+111959
+111838
+111718
+111597
+111476
+111355
+111234
+111112
+110989
+110867
+110744
+110621
+110497
+110374
+110249
+110125
+110000
+109875
+109750
+109624
+109498
+109372
+109246
+109119
+108992
+108864
+108737
+108609
+108480
+108352
+108223
+108093
+107964
+107834
+107704
+107574
+107443
+107312
+107181
+107049
+106918
+106785
+106653
+106520
+106387
+106254
+106121
+105987
+105853
+105718
+105584
+105449
+105314
+105178
+105042
+104906
+104770
+104633
+104497
+104360
+104222
+104084
+103947
+103808
+103670
+103531
+103392
+103253
+103113
+102974
+102834
+102693
+102553
+102412
+102271
+102129
+101988
+101846
+101704
+101561
+101419
+101276
+101133
+100989
+100846
+100702
+100558
+100413
+100269
+100124
+99979
+99833
+99688
+99542
+99396
+99249
+99103
+98956
+98809
+98662
+98514
+98366
+98218
+98070
+97922
+97773
+97624
+97475
+97326
+97176
+97026
+96876
+96726
+96575
+96425
+96274
+96122
+95971
+95819
+95668
+95515
+95363
+95211
+95058
+94905
+94752
+94599
+94445
+94291
+94137
+93983
+93829
+93674
+93519
+93364
+93209
+93053
+92898
+92742
+92586
+92430
+92273
+92117
+91960
+91803
+91645
+91488
+91330
+91173
+91015
+90856
+90698
+90539
+90381
+90222
+90062
+89903
+89744
+89584
+89424
+89264
+89104
+88943
+88783
+88622
+88461
+88300
+88139
+87977
+87816
+87654
+87492
+87330
+87167
+87005
+86842
+86679
+86516
+86353
+86190
+86026
+85863
+85699
+85535
+85371
+85206
+85042
+84877
+84713
+84548
+84383
+84217
+84052
+83887
+83721
+83555
+83389
+83223
+83057
+82890
+82724
+82557
+82390
+82223
+82056
+81889
+81722
+81554
+81386
+81219
+81051
+80883
+80715
+80546
+80378
+80209
+80040
+79872
+79703
+79534
+79364
+79195
+79026
+78856
+78686
+78517
+78347
+78177
+78007
+77836
+77666
+77495
+77325
+77154
+76983
+76812
+76641
+76470
+76299
+76127
+75956
+75784
+75613
+75441
+75269
+75097
+74925
+74753
+74581
+74408
+74236
+74063
+73891
+73718
+73545
+73372
+73199
+73026
+72853
+72680
+72506
+72333
+72159
+71986
+71812
+71638
+71464
+71290
+71116
+70942
+70768
+70594
+70420
+70245
+70071
+69897
+69722
+69547
+69373
+69198
+69023
+68848
+68673
+68498
+68323
+68148
+67973
+67797
+67622
+67447
+67271
+67096
+66920
+66745
+66569
+66393
+66218
+66042
+65866
+65690
+65514
+65338
+65162
+64986
+64810
+64634
+64458
+64282
+64105
+63929
+63753
+63577
+63400
+63224
+63047
+62871
+62694
+62518
+62341
+62165
+61988
+61811
+61635
+61458
+61281
+61105
+60928
+60751
+60574
+60397
+60221
+60044
+59867
+59690
+59513
+59336
+59160
+58983
+58806
+58629
+58452
+58275
+58098
+57921
+57744
+57567
+57390
+57213
+57036
+56860
+56683
+56506
+56329
+56152
+55975
+55798
+55621
+55444
+55267
+55091
+54914
+54737
+54560
+54383
+54207
+54030
+53853
+53676
+53500
+53323
+53146
+52970
+52793
+52617
+52440
+52263
+52087
+51911
+51734
+51558
+51381
+51205
+51029
+50852
+50676
+50500
+50324
+50148
+49972
+49796
+49620
+49444
+49268
+49092
+48916
+48740
+48565
+48389
+48213
+48038
+47862
+47687
+47511
+47336
+47161
+46985
+46810
+46635
+46460
+46285
+46110
+45935
+45760
+45585
+45411
+45236
+45061
+44887
+44712
+44538
+44364
+44190
+44015
+43841
+43667
+43493
+43319
+43146
+42972
+42798
+42625
+42451
+42278
+42104
+41931
+41758
+41585
+41412
+41239
+41066
+40894
+40721
+40548
+40376
+40203
+40031
+39859
+39687
+39515
+39343
+39171
+38999
+38828
+38656
+38485
+38314
+38142
+37971
+37800
+37629
+37459
+37288
+37117
+36947
+36776
+36606
+36436
+36266
+36096
+35926
+35756
+35587
+35417
+35248
+35079
+34910
+34741
+34572
+34403
+34234
+34066
+33897
+33729
+33561
+33393
+33225
+33057
+32890
+32722
+32555
+32387
+32220
+32053
+31886
+31720
+31553
+31387
+31220
+31054
+30888
+30722
+30556
+30391
+30225
+30060
+29895
+29730
+29565
+29400
+29235
+29071
+28906
+28742
+28578
+28414
+28251
+28087
+27924
+27760
+27597
+27434
+27271
+27109
+26946
+26784
+26622
+26460
+26298
+26136
+25974
+25813
+25652
+25491
+25330
+25169
+25008
+24848
+24688
+24528
+24368
+24208
+24049
+23889
+23730
+23571
+23412
+23253
+23095
+22936
+22778
+22620
+22462
+22305
+22147
+21990
+21833
+21676
+21519
+21363
+21206
+21050
+20894
+20738
+20583
+20427
+20272
+20117
+19962
+19807
+19653
+19498
+19344
+19190
+19037
+18883
+18730
+18577
+18424
+18271
+18118
+17966
+17814
+17662
+17510
+17358
+17207
+17056
+16905
+16754
+16604
+16453
+16303
+16153
+16003
+15854
+15705
+15555
+15407
+15258
+15109
+14961
+14813
+14665
+14518
+14370
+14223
+14076
+13929
+13783
+13636
+13490
+13344
+13199
+13053
+12908
+12763
+12618
+12473
+12329
+12185
+12041
+11897
+11754
+11611
+11468
+11325
+11182
+11040
+10898
+10756
+10614
+10473
+10332
+10191
+10050
+9909
+9769
+9629
+9489
+9350
+9210
+9071
+8932
+8794
+8655
+8517
+8379
+8242
+8104
+7967
+7830
+7693
+7557
+7421
+7285
+7149
+7013
+6878
+6743
+6608
+6474
+6340
+6206
+6072
+5938
+5805
+5672
+5539
+5407
+5274
+5142
+5011
+4879
+4748
+4617
+4486
+4355
+4225
+4095
+3965
+3836
+3707
+3578
+3449
+3321
+3192
+3064
+2937
+2809
+2682
+2555
+2428
+2302
+2176
+2050
+1924
+1799
+1674
+1549
+1425
+1300
+1176
+1053
+929
+806
+683
+560
+438
+316
+194
+72
+-49
+-170
+-291
+-412
+-532
+-652
+-772
+-891
+-1010
+-1129
+-1248
+-1366
+-1484
+-1602
+-1720
+-1837
+-1954
+-2071
+-2187
+-2303
+-2419
+-2535
+-2650
+-2765
+-2880
+-2994
+-3109
+-3223
+-3336
+-3450
+-3563
+-3675
+-3788
+-3900
+-4012
+-4124
+-4235
+-4346
+-4457
+-4568
+-4678
+-4788
+-4898
+-5007
+-5116
+-5225
+-5334
+-5442
+-5550
+-5658
+-5765
+-5872
+-5979
+-6086
+-6192
+-6298
+-6404
+-6509
+-6614
+-6719
+-6823
+-6928
+-7032
+-7135
+-7239
+-7342
+-7445
+-7547
+-7649
+-7751
+-7853
+-7954
+-8055
+-8156
+-8256
+-8357
+-8456
+-8556
+-8655
+-8754
+-8853
+-8951
+-9049
+-9147
+-9245
+-9342
+-9439
+-9535
+-9632
+-9728
+-9824
+-9919
+-10014
+-10109
+-10203
+-10298
+-10392
+-10485
+-10579
+-10672
+-10764
+-10857
+-10949
+-11041
+-11132
+-11224
+-11315
+-11405
+-11496
+-11586
+-11675
+-11765
+-11854
+-11943
+-12031
+-12120
+-12208
+-12295
+-12383
+-12470
+-12556
+-12643
+-12729
+-12815
+-12900
+-12986
+-13070
+-13155
+-13239
+-13323
+-13407
+-13491
+-13574
+-13656
+-13739
+-13821
+-13903
+-13985
+-14066
+-14147
+-14228
+-14308
+-14388
+-14468
+-14547
+-14626
+-14705
+-14784
+-14862
+-14940
+-15018
+-15095
+-15172
+-15249
+-15325
+-15401
+-15477
+-15552
+-15628
+-15702
+-15777
+-15851
+-15925
+-15999
+-16072
+-16145
+-16218
+-16290
+-16363
+-16434
+-16506
+-16577
+-16648
+-16719
+-16789
+-16859
+-16929
+-16998
+-17067
+-17136
+-17204
+-17272
+-17340
+-17408
+-17475
+-17542
+-17608
+-17675
+-17741
+-17807
+-17872
+-17937
+-18002
+-18066
+-18130
+-18194
+-18258
+-18321
+-18384
+-18447
+-18509
+-18571
+-18633
+-18694
+-18755
+-18816
+-18877
+-18937
+-18997
+-19056
+-19116
+-19175
+-19233
+-19292
+-19350
+-19407
+-19465
+-19522
+-19579
+-19635
+-19692
+-19747
+-19803
+-19858
+-19913
+-19968
+-20023
+-20077
+-20130
+-20184
+-20237
+-20290
+-20343
+-20395
+-20447
+-20499
+-20550
+-20601
+-20652
+-20702
+-20752
+-20802
+-20852
+-20901
+-20950
+-20999
+-21047
+-21095
+-21143
+-21190
+-21237
+-21284
+-21331
+-21377
+-21423
+-21469
+-21514
+-21559
+-21604
+-21648
+-21692
+-21736
+-21780
+-21823
+-21866
+-21909
+-21951
+-21993
+-22035
+-22076
+-22117
+-22158
+-22199
+-22239
+-22279
+-22319
+-22358
+-22397
+-22436
+-22474
+-22513
+-22550
+-22588
+-22625
+-22662
+-22699
+-22735
+-22772
+-22807
+-22843
+-22878
+-22913
+-22948
+-22982
+-23016
+-23050
+-23083
+-23117
+-23150
+-23182
+-23215
+-23247
+-23278
+-23310
+-23341
+-23372
+-23402
+-23433
+-23463
+-23492
+-23522
+-23551
+-23580
+-23608
+-23637
+-23664
+-23692
+-23720
+-23747
+-23774
+-23800
+-23826
+-23852
+-23878
+-23903
+-23929
+-23953
+-23978
+-24002
+-24026
+-24050
+-24073
+-24096
+-24119
+-24142
+-24164
+-24186
+-24208
+-24229
+-24250
+-24271
+-24292
+-24312
+-24332
+-24352
+-24372
+-24391
+-24410
+-24428
+-24447
+-24465
+-24483
+-24500
+-24518
+-24535
+-24551
+-24568
+-24584
+-24600
+-24615
+-24631
+-24646
+-24661
+-24675
+-24689
+-24703
+-24717
+-24731
+-24744
+-24757
+-24769
+-24782
+-24794
+-24806
+-24817
+-24828
+-24839
+-24850
+-24861
+-24871
+-24881
+-24891
+-24900
+-24909
+-24918
+-24927
+-24935
+-24943
+-24951
+-24958
+-24966
+-24973
+-24980
+-24986
+-24992
+-24998
+-25004
+-25010
+-25015
+-25020
+-25025
+-25029
+-25033
+-25037
+-25041
+-25044
+-25047
+-25050
+-25053
+-25055
+-25058
+-25059
+-25061
+-25063
+-25064
+-25065
+-25065
+-25066
+-25066
+-25066
+-25065
+-25065
+-25064
+-25063
+-25061
+-25060
+-25058
+-25056
+-25053
+-25051
+-25048
+-25045
+-25041
+-25038
+-25034
+-25030
+-25026
+-25021
+-25016
+-25011
+-25006
+-25001
+-24995
+-24989
+-24983
+-24976
+-24969
+-24962
+-24955
+-24948
+-24940
+-24932
+-24924
+-24916
+-24907
+-24898
+-24889
+-24880
+-24871
+-24861
+-24851
+-24841
+-24830
+-24819
+-24809
+-24797
+-24786
+-24774
+-24763
+-24751
+-24738
+-24726
+-24713
+-24700
+-24687
+-24674
+-24660
+-24646
+-24632
+-24618
+-24603
+-24589
+-24574
+-24558
+-24543
+-24527
+-24512
+-24496
+-24479
+-24463
+-24446
+-24429
+-24412
+-24395
+-24377
+-24359
+-24341
+-24323
+-24305
+-24286
+-24267
+-24248
+-24229
+-24210
+-24190
+-24170
+-24150
+-24130
+-24109
+-24089
+-24068
+-24047
+-24025
+-24004
+-23982
+-23960
+-23938
+-23916
+-23893
+-23870
+-23847
+-23824
+-23801
+-23777
+-23754
+-23730
+-23706
+-23681
+-23657
+-23632
+-23607
+-23582
+-23557
+-23531
+-23506
+-23480
+-23454
+-23428
+-23401
+-23375
+-23348
+-23321
+-23294
+-23266
+-23239
+-23211
+-23183
+-23155
+-23127
+-23098
+-23069
+-23041
+-23011
+-22982
+-22953
+-22923
+-22894
+-22864
+-22833
+-22803
+-22773
+-22742
+-22711
+-22680
+-22649
+-22618
+-22586
+-22555
+-22523
+-22491
+-22458
+-22426
+-22393
+-22361
+-22328
+-22295
+-22262
+-22228
+-22195
+-22161
+-22127
+-22093
+-22059
+-22024
+-21990
+-21955
+-21920
+-21885
+-21850
+-21815
+-21779
+-21743
+-21708
+-21672
+-21635
+-21599
+-21563
+-21526
+-21489
+-21452
+-21415
+-21378
+-21341
+-21303
+-21265
+-21228
+-21190
+-21151
+-21113
+-21075
+-21036
+-20997
+-20959
+-20919
+-20880
+-20841
+-20802
+-20762
+-20722
+-20682
+-20642
+-20602
+-20562
+-20521
+-20481
+-20440
+-20399
+-20358
+-20317
+-20276
+-20234
+-20193
+-20151
+-20109
+-20067
+-20025
+-19983
+-19941
+-19898
+-19856
+-19813
+-19770
+-19727
+-19684
+-19641
+-19597
+-19554
+-19510
+-19466
+-19423
+-19379
+-19335
+-19290
+-19246
+-19201
+-19157
+-19112
+-19067
+-19022
+-18977
+-18932
+-18887
+-18841
+-18796
+-18750
+-18704
+-18658
+-18613
+-18566
+-18520
+-18474
+-18427
+-18381
+-18334
+-18287
+-18241
+-18194
+-18147
+-18099
+-18052
+-18005
+-17957
+-17910
+-17862
+-17814
+-17766
+-17718
+-17670
+-17622
+-17573
+-17525
+-17476
+-17428
+-17379
+-17330
+-17281
+-17232
+-17183
+-17134
+-17085
+-17036
+-16986
+-16937
+-16887
+-16837
+-16787
+-16737
+-16687
+-16637
+-16587
+-16537
+-16487
+-16436
+-16386
+-16335
+-16284
+-16234
+-16183
+-16132
+-16081
+-16030
+-15979
+-15927
+-15876
+-15825
+-15773
+-15722
+-15670
+-15618
+-15567
+-15515
+-15463
+-15411
+-15359
+-15307
+-15254
+-15202
+-15150
+-15097
+-15045
+-14992
+-14940
+-14887
+-14834
+-14782
+-14729
+-14676
+-14623
+-14570
+-14516
+-14463
+-14410
+-14357
+-14303
+-14250
+-14196
+-14143
+-14089
+-14036
+-13982
+-13928
+-13874
+-13820
+-13766
+-13712
+-13658
+-13604
+-13550
+-13496
+-13442
+-13387
+-13333
+-13279
+-13224
+-13170
+-13115
+-13061
+-13006
+-12951
+-12897
+-12842
+-12787
+-12732
+-12677
+-12622
+-12567
+-12512
+-12457
+-12402
+-12347
+-12292
+-12237
+-12181
+-12126
+-12071
+-12015
+-11960
+-11905
+-11849
+-11794
+-11738
+-11683
+-11627
+-11571
+-11516
+-11460
+-11405
+-11349
+-11293
+-11237
+-11181
+-11126
+-11070
+-11014
+-10958
+-10902
+-10846
+-10790
+-10734
+-10678
+-10622
+-10566
+-10510
+-10454
+-10398
+-10342
+-10286
+-10229
+-10173
+-10117
+-10061
+-10005
+-9948
+-9892
+-9836
+-9780
+-9723
+-9667
+-9611
+-9555
+-9498
+-9442
+-9386
+-9329
+-9273
+-9217
+-9160
+-9104
+-9047
+-8991
+-8935
+-8878
+-8822
+-8766
+-8709
+-8653
+-8596
+-8540
+-8484
+-8427
+-8371
+-8315
+-8258
+-8202
+-8145
+-8089
+-8033
+-7976
+-7920
+-7864
+-7807
+-7751
+-7695
+-7638
+-7582
+-7526
+-7470
+-7413
+-7357
+-7301
+-7245
+-7188
+-7132
+-7076
+-7020
+-6964
+-6908
+-6851
+-6795
+-6739
+-6683
+-6627
+-6571
+-6515
+-6459
+-6403
+-6347
+-6291
+-6235
+-6179
+-6124
+-6068
+-6012
+-5956
+-5900
+-5845
+-5789
+-5733
+-5678
+-5622
+-5566
+-5511
+-5455
+-5400
+-5344
+-5289
+-5233
+-5178
+-5123
+-5067
+-5012
+-4957
+-4901
+-4846
+-4791
+-4736
+-4681
+-4626
+-4571
+-4516
+-4461
+-4406
+-4351
+-4296
+-4242
+-4187
+-4132
+-4077
+-4023
+-3968
+-3914
+-3859
+-3805
+-3750
+-3696
+-3642
+-3587
+-3533
+-3479
+-3425
+-3371
+-3317
+-3263
+-3209
+-3155
+-3101
+-3047
+-2993
+-2940
+-2886
+-2833
+-2779
+-2726
+-2672
+-2619
+-2565
+-2512
+-2459
+-2406
+-2353
+-2300
+-2247
+-2194
+-2141
+-2088
+-2035
+-1982
+-1930
+-1877
+-1825
+-1772
+-1720
+-1668
+-1615
+-1563
+-1511
+-1459
+-1407
+-1355
+-1303
+-1251
+-1199
+-1148
+-1096
+-1044
+-993
+-941
+-890
+-839
+-787
+-736
+-685
+-634
+-583
+-532
+-481
+-431
+-380
+-329
+-279
+-228
+-178
+-128
+-77
+-27
+23
+73
+123
+173
+223
+272
+322
+372
+421
+470
+520
+569
+618
+667
+716
+765
+814
+863
+912
+960
+1009
+1057
+1106
+1154
+1202
+1250
+1298
+1346
+1394
+1442
+1490
+1537
+1585
+1632
+1680
+1727
+1774
+1821
+1868
+1915
+1962
+2009
+2055
+2102
+2148
+2195
+2241
+2287
+2333
+2379
+2425
+2471
+2517
+2563
+2608
+2654
+2699
+2744
+2789
+2835
+2880
+2924
+2969
+3014
+3059
+3103
+3148
+3192
+3236
+3280
+3324
+3368
+3412
+3456
+3499
+3543
+3586
+3630
+3673
+3716
+3759
+3802
+3845
+3888
+3930
+3973
+4015
+4058
+4100
+4142
+4184
+4226
+4268
+4310
+4351
+4393
+4434
+4475
+4517
+4558
+4599
+4640
+4680
+4721
+4762
+4802
+4842
+4883
+4923
+4963
+5003
+5042
+5082
+5122
+5161
+5201
+5240
+5279
+5318
+5357
+5396
+5434
+5473
+5511
+5550
+5588
+5626
+5664
+5702
+5740
+5778
+5815
+5853
+5890
+5927
+5965
+6002
+6039
+6075
+6112
+6149
+6185
+6221
+6258
+6294
+6330
+6366
+6401
+6437
+6472
+6508
+6543
+6578
+6613
+6648
+6683
+6718
+6752
+6787
+6821
+6856
+6890
+6924
+6958
+6991
+7025
+7058
+7092
+7125
+7158
+7191
+7224
+7257
+7290
+7322
+7355
+7387
+7419
+7451
+7483
+7515
+7547
+7579
+7610
+7641
+7673
+7704
+7735
+7766
+7796
+7827
+7858
+7888
+7918
+7948
+7978
+8008
+8038
+8068
+8097
+8127
+8156
+8185
+8214
+8243
+8272
+8300
+8329
+8357
+8386
+8414
+8442
+8470
+8497
+8525
+8553
+8580
+8607
+8634
+8661
+8688
+8715
+8742
+8768
+8795
+8821
+8847
+8873
+8899
+8925
+8950
+8976
+9001
+9027
+9052
+9077
+9102
+9126
+9151
+9176
+9200
+9224
+9248
+9272
+9296
+9320
+9343
+9367
+9390
+9414
+9437
+9460
+9483
+9505
+9528
+9550
+9573
+9595
+9617
+9639
+9661
+9682
+9704
+9725
+9747
+9768
+9789
+9810
+9831
+9851
+9872
+9892
+9913
+9933
+9953
+9973
+9993
+10012
+10032
+10051
+10070
+10090
+10109
+10127
+10146
+10165
+10183
+10202
+10220
+10238
+10256
+10274
+10292
+10309
+10327
+10344
+10361
+10378
+10395
+10412
+10429
+10445
+10462
+10478
+10494
+10510
+10526
+10542
+10558
+10573
+10589
+10604
+10619
+10634
+10649
+10664
+10679
+10693
+10708
+10722
+10736
+10750
+10764
+10778
+10791
+10805
+10818
+10831
+10845
+10858
+10870
+10883
+10896
+10908
+10921
+10933
+10945
+10957
+10969
+10981
+10992
+11004
+11015
+11026
+11037
+11048
+11059
+11070
+11080
+11091
+11101
+11112
+11122
+11132
+11141
+11151
+11161
+11170
+11180
+11189
+11198
+11207
+11216
+11224
+11233
+11241
+11250
+11258
+11266
+11274
+11282
+11290
+11297
+11305
+11312
+11319
+11326
+11333
+11340
+11347
+11354
+11360
+11367
+11373
+11379
+11385
+11391
+11397
+11402
+11408
+11413
+11418
+11424
+11429
+11433
+11438
+11443
+11447
+11452
+11456
+11460
+11464
+11468
+11472
+11476
+11479
+11483
+11486
+11490
+11493
+11496
+11498
+11501
+11504
+11506
+11509
+11511
+11513
+11515
+11517
+11519
+11521
+11522
+11524
+11525
+11526
+11527
+11528
+11529
+11530
+11531
+11531
+11531
+11532
+11532
+11532
+11532
+11532
+11531
+11531
+11531
+11530
+11529
+11528
+11527
+11526
+11525
+11524
+11522
+11521
+11519
+11517
+11515
+11513
+11511
+11509
+11507
+11504
+11502
+11499
+11496
+11493
+11490
+11487
+11484
+11481
+11477
+11474
+11470
+11466
+11463
+11459
+11454
+11450
+11446
+11442
+11437
+11432
+11428
+11423
+11418
+11413
+11408
+11402
+11397
+11392
+11386
+11380
+11374
+11369
+11363
+11356
+11350
+11344
+11337
+11331
+11324
+11317
+11311
+11304
+11297
+11289
+11282
+11275
+11267
+11260
+11252
+11244
+11237
+11229
+11220
+11212
+11204
+11196
+11187
+11179
+11170
+11161
+11152
+11143
+11134
+11125
+11116
+11107
+11097
+11088
+11078
+11068
+11058
+11048
+11038
+11028
+11018
+11008
+10997
+10987
+10976
+10965
+10955
+10944
+10933
+10922
+10910
+10899
+10888
+10876
+10865
+10853
+10841
+10830
+10818
+10806
+10794
+10781
+10769
+10757
+10744
+10732
+10719
+10706
+10694
+10681
+10668
+10655
+10642
+10628
+10615
+10601
+10588
+10574
+10561
+10547
+10533
+10519
+10505
+10491
+10477
+10463
+10448
+10434
+10419
+10405
+10390
+10375
+10360
+10345
+10330
+10315
+10300
+10285
+10269
+10254
+10238
+10223
+10207
+10191
+10175
+10160
+10144
+10127
+10111
+10095
+10079
+10062
+10046
+10029
+10013
+9996
+9979
+9962
+9946
+9929
+9912
+9894
+9877
+9860
+9842
+9825
+9808
+9790
+9772
+9755
+9737
+9719
+9701
+9683
+9665
+9647
+9628
+9610
+9592
+9573
+9555
+9536
+9518
+9499
+9480
+9461
+9442
+9423
+9404
+9385
+9366
+9347
+9327
+9308
+9288
+9269
+9249
+9230
+9210
+9190
+9170
+9150
+9131
+9110
+9090
+9070
+9050
+9030
+9009
+8989
+8969
+8948
+8927
+8907
+8886
+8865
+8845
+8824
+8803
+8782
+8761
+8740
+8718
+8697
+8676
+8654
+8633
+8612
+8590
+8569
+8547
+8525
+8504
+8482
+8460
+8438
+8416
+8394
+8372
+8350
+8328
+8306
+8283
+8261
+8239
+8216
+8194
+8171
+8149
+8126
+8103
+8081
+8058
+8035
+8012
+7989
+7967
+7944
+7920
+7897
+7874
+7851
+7828
+7805
+7781
+7758
+7735
+7711
+7688
+7664
+7640
+7617
+7593
+7569
+7546
+7522
+7498
+7474
+7450
+7426
+7402
+7378
+7354
+7330
+7306
+7282
+7258
+7233
+7209
+7185
+7160
+7136
+7111
+7087
+7062
+7038
+7013
+6989
+6964
+6939
+6915
+6890
+6865
+6840
+6815
+6790
+6765
+6740
+6715
+6690
+6665
+6640
+6615
+6590
+6565
+6540
+6514
+6489
+6464
+6438
+6413
+6388
+6362
+6337
+6311
+6286
+6260
+6235
+6209
+6184
+6158
+6132
+6107
+6081
+6055
+6029
+6004
+5978
+5952
+5926
+5900
+5874
+5848
+5823
+5797
+5771
+5745
+5719
+5693
+5667
+5640
+5614
+5588
+5562
+5536
+5510
+5484
+5457
+5431
+5405
+5379
+5352
+5326
+5300
+5273
+5247
+5221
+5194
+5168
+5142
+5115
+5089
+5062
+5036
+5009
+4983
+4956
+4930
+4903
+4877
+4850
+4824
+4797
+4771
+4744
+4718
+4691
+4664
+4638
+4611
+4584
+4558
+4531
+4505
+4478
+4451
+4425
+4398
+4371
+4344
+4318
+4291
+4264
+4238
+4211
+4184
+4157
+4131
+4104
+4077
+4051
+4024
+3997
+3970
+3944
+3917
+3890
+3863
+3837
+3810
+3783
+3756
+3730
+3703
+3676
+3649
+3623
+3596
+3569
+3542
+3516
+3489
+3462
+3435
+3409
+3382
+3355
+3328
+3302
+3275
+3248
+3222
+3195
+3168
+3142
+3115
+3088
+3062
+3035
+3008
+2982
+2955
+2928
+2902
+2875
+2849
+2822
+2795
+2769
+2742
+2716
+2689
+2663
+2636
+2610
+2583
+2557
+2530
+2504
+2477
+2451
+2425
+2398
+2372
+2345
+2319
+2293
+2266
+2240
+2214
+2188
+2161
+2135
+2109
+2083
+2056
+2030
+2004
+1978
+1952
+1926
+1899
+1873
+1847
+1821
+1795
+1769
+1743
+1717
+1691
+1665
+1639
+1614
+1588
+1562
+1536
+1510
+1484
+1459
+1433
+1407
+1381
+1356
+1330
+1305
+1279
+1253
+1228
+1202
+1177
+1151
+1126
+1100
+1075
+1050
+1024
+999
+974
+948
+923
+898
+873
+847
+822
+797
+772
+747
+722
+697
+672
+647
+622
+597
+572
+547
+523
+498
+473
+448
+424
+399
+375
+350
+325
+301
+276
+252
+227
+203
+179
+154
+130
+106
+82
+57
+33
+9
+-15
+-39
+-63
+-87
+-111
+-135
+-159
+-183
+-207
+-230
+-254
+-278
+-301
+-325
+-349
+-372
+-396
+-419
+-443
+-466
+-490
+-513
+-536
+-559
+-583
+-606
+-629
+-652
+-675
+-698
+-721
+-744
+-767
+-790
+-813
+-835
+-858
+-881
+-904
+-926
+-949
+-971
+-994
+-1016
+-1039
+-1061
+-1083
+-1106
+-1128
+-1150
+-1172
+-1194
+-1216
+-1238
+-1260
+-1282
+-1304
+-1326
+-1348
+-1370
+-1391
+-1413
+-1435
+-1456
+-1478
+-1499
+-1521
+-1542
+-1564
+-1585
+-1606
+-1627
+-1648
+-1670
+-1691
+-1712
+-1733
+-1754
+-1774
+-1795
+-1816
+-1837
+-1858
+-1878
+-1899
+-1919
+-1940
+-1960
+-1981
+-2001
+-2021
+-2042
+-2062
+-2082
+-2102
+-2122
+-2142
+-2162
+-2182
+-2202
+-2222
+-2242
+-2261
+-2281
+-2301
+-2320
+-2340
+-2359
+-2378
+-2398
+-2417
+-2436
+-2456
+-2475
+-2494
+-2513
+-2532
+-2551
+-2570
+-2589
+-2607
+-2626
+-2645
+-2663
+-2682
+-2700
+-2719
+-2737
+-2756
+-2774
+-2792
+-2810
+-2829
+-2847
+-2865
+-2883
+-2901
+-2918
+-2936
+-2954
+-2972
+-2989
+-3007
+-3025
+-3042
+-3059
+-3077
+-3094
+-3111
+-3129
+-3146
+-3163
+-3180
+-3197
+-3214
+-3231
+-3247
+-3264
+-3281
+-3298
+-3314
+-3331
+-3347
+-3364
+-3380
+-3396
+-3413
+-3429
+-3445
+-3461
+-3477
+-3493
+-3509
+-3525
+-3540
+-3556
+-3572
+-3588
+-3603
+-3619
+-3634
+-3649
+-3665
+-3680
+-3695
+-3710
+-3725
+-3740
+-3755
+-3770
+-3785
+-3800
+-3815
+-3829
+-3844
+-3859
+-3873
+-3888
+-3902
+-3916
+-3931
+-3945
+-3959
+-3973
+-3987
+-4001
+-4015
+-4029
+-4042
+-4056
+-4070
+-4083
+-4097
+-4111
+-4124
+-4137
+-4151
+-4164
+-4177
+-4190
+-4203
+-4216
+-4229
+-4242
+-4255
+-4268
+-4280
+-4293
+-4306
+-4318
+-4331
+-4343
+-4355
+-4368
+-4380
+-4392
+-4404
+-4416
+-4428
+-4440
+-4452
+-4464
+-4475
+-4487
+-4499
+-4510
+-4522
+-4533
+-4544
+-4556
+-4567
+-4578
+-4589
+-4600
+-4611
+-4622
+-4633
+-4644
+-4654
+-4665
+-4676
+-4686
+-4697
+-4707
+-4718
+-4728
+-4738
+-4748
+-4758
+-4769
+-4779
+-4788
+-4798
+-4808
+-4818
+-4828
+-4837
+-4847
+-4856
+-4866
+-4875
+-4884
+-4894
+-4903
+-4912
+-4921
+-4930
+-4939
+-4948
+-4957
+-4965
+-4974
+-4983
+-4991
+-5000
+-5008
+-5017
+-5025
+-5033
+-5042
+-5050
+-5058
+-5066
+-5074
+-5082
+-5089
+-5097
+-5105
+-5113
+-5120
+-5128
+-5135
+-5143
+-5150
+-5157
+-5164
+-5172
+-5179
+-5186
+-5193
+-5199
+-5206
+-5213
+-5220
+-5226
+-5233
+-5240
+-5246
+-5253
+-5259
+-5265
+-5271
+-5278
+-5284
+-5290
+-5296
+-5302
+-5307
+-5313
+-5319
+-5325
+-5330
+-5336
+-5341
+-5347
+-5352
+-5357
+-5363
+-5368
+-5373
+-5378
+-5383
+-5388
+-5393
+-5398
+-5403
+-5407
+-5412
+-5416
+-5421
+-5425
+-5430
+-5434
+-5439
+-5443
+-5447
+-5451
+-5455
+-5459
+-5463
+-5467
+-5471
+-5474
+-5478
+-5482
+-5485
+-5489
+-5492
+-5496
+-5499
+-5502
+-5505
+-5509
+-5512
+-5515
+-5518
+-5521
+-5523
+-5526
+-5529
+-5532
+-5534
+-5537
+-5539
+-5542
+-5544
+-5546
+-5549
+-5551
+-5553
+-5555
+-5557
+-5559
+-5561
+-5563
+-5565
+-5566
+-5568
+-5570
+-5571
+-5573
+-5574
+-5576
+-5577
+-5578
+-5580
+-5581
+-5582
+-5583
+-5584
+-5585
+-5586
+-5587
+-5587
+-5588
+-5589
+-5589
+-5590
+-5590
+-5591
+-5591
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5592
+-5591
+-5591
+-5591
+-5590
+-5590
+-5589
+-5589
+-5588
+-5587
+-5586
+-5586
+-5585
+-5584
+-5583
+-5582
+-5581
+-5579
+-5578
+-5577
+-5576
+-5574
+-5573
+-5571
+-5570
+-5568
+-5567
+-5565
+-5563
+-5561
+-5560
+-5558
+-5556
+-5554
+-5552
+-5550
+-5547
+-5545
+-5543
+-5541
+-5538
+-5536
+-5533
+-5531
+-5528
+-5526
+-5523
+-5520
+-5517
+-5515
+-5512
+-5509
+-5506
+-5503
+-5500
+-5497
+-5494
+-5490
+-5487
+-5484
+-5480
+-5477
+-5473
+-5470
+-5466
+-5463
+-5459
+-5455
+-5452
+-5448
+-5444
+-5440
+-5436
+-5432
+-5428
+-5424
+-5420
+-5416
+-5411
+-5407
+-5403
+-5398
+-5394
+-5389
+-5385
+-5380
+-5376
+-5371
+-5366
+-5362
+-5357
+-5352
+-5347
+-5342
+-5337
+-5332
+-5327
+-5322
+-5317
+-5312
+-5306
+-5301
+-5296
+-5290
+-5285
+-5279
+-5274
+-5268
+-5263
+-5257
+-5251
+-5246
+-5240
+-5234
+-5228
+-5222
+-5216
+-5210
+-5204
+-5198
+-5192
+-5186
+-5180
+-5173
+-5167
+-5161
+-5154
+-5148
+-5141
+-5135
+-5128
+-5122
+-5115
+-5108
+-5102
+-5095
+-5088
+-5081
+-5075
+-5068
+-5061
+-5054
+-5047
+-5040
+-5033
+-5025
+-5018
+-5011
+-5004
+-4996
+-4989
+-4982
+-4974
+-4967
+-4959
+-4952
+-4944
+-4937
+-4929
+-4921
+-4914
+-4906
+-4898
+-4890
+-4882
+-4874
+-4867
+-4859
+-4851
+-4842
+-4834
+-4826
+-4818
+-4810
+-4802
+-4793
+-4785
+-4777
+-4768
+-4760
+-4752
+-4743
+-4735
+-4726
+-4718
+-4709
+-4700
+-4692
+-4683
+-4674
+-4665
+-4657
+-4648
+-4639
+-4630
+-4621
+-4612
+-4603
+-4594
+-4585
+-4576
+-4567
+-4558
+-4548
+-4539
+-4530
+-4521
+-4511
+-4502
+-4493
+-4483
+-4474
+-4464
+-4455
+-4445
+-4436
+-4426
+-4417
+-4407
+-4397
+-4388
+-4378
+-4368
+-4358
+-4349
+-4339
+-4329
+-4319
+-4309
+-4299
+-4289
+-4279
+-4269
+-4259
+-4249
+-4239
+-4229
+-4218
+-4208
+-4198
+-4188
+-4178
+-4167
+-4157
+-4147
+-4136
+-4126
+-4115
+-4105
+-4094
+-4084
+-4073
+-4063
+-4052
+-4042
+-4031
+-4020
+-4010
+-3999
+-3988
+-3978
+-3967
+-3956
+-3945
+-3934
+-3924
+-3913
+-3902
+-3891
+-3880
+-3869
+-3858
+-3847
+-3836
+-3825
+-3814
+-3803
+-3792
+-3780
+-3769
+-3758
+-3747
+-3736
+-3724
+-3713
+-3702
+-3691
+-3679
+-3668
+-3657
+-3645
+-3634
+-3622
+-3611
+-3600
+-3588
+-3577
+-3565
+-3554
+-3542
+-3531
+-3519
+-3507
+-3496
+-3484
+-3473
+-3461
+-3449
+-3438
+-3426
+-3414
+-3402
+-3391
+-3379
+-3367
+-3355
+-3343
+-3332
+-3320
+-3308
+-3296
+-3284
+-3272
+-3260
+-3248
+-3236
+-3225
+-3213
+-3201
+-3189
+-3177
+-3165
+-3153
+-3140
+-3128
+-3116
+-3104
+-3092
+-3080
+-3068
+-3056
+-3044
+-3031
+-3019
+-3007
+-2995
+-2983
+-2971
+-2958
+-2946
+-2934
+-2922
+-2909
+-2897
+-2885
+-2872
+-2860
+-2848
+-2835
+-2823
+-2811
+-2798
+-2786
+-2774
+-2761
+-2749
+-2737
+-2724
+-2712
+-2699
+-2687
+-2674
+-2662
+-2650
+-2637
+-2625
+-2612
+-2600
+-2587
+-2575
+-2562
+-2550
+-2537
+-2525
+-2512
+-2500
+-2487
+-2475
+-2462
+-2450
+-2437
+-2424
+-2412
+-2399
+-2387
+-2374
+-2362
+-2349
+-2336
+-2324
+-2311
+-2299
+-2286
+-2273
+-2261
+-2248
+-2236
+-2223
+-2210
+-2198
+-2185
+-2173
+-2160
+-2147
+-2135
+-2122
+-2109
+-2097
+-2084
+-2072
+-2059
+-2046
+-2034
+-2021
+-2008
+-1996
+-1983
+-1970
+-1958
+-1945
+-1933
+-1920
+-1907
+-1895
+-1882
+-1869
+-1857
+-1844
+-1831
+-1819
+-1806
+-1794
+-1781
+-1768
+-1756
+-1743
+-1730
+-1718
+-1705
+-1693
+-1680
+-1667
+-1655
+-1642
+-1630
+-1617
+-1604
+-1592
+-1579
+-1567
+-1554
+-1542
+-1529
+-1516
+-1504
+-1491
+-1479
+-1466
+-1454
+-1441
+-1429
+-1416
+-1404
+-1391
+-1379
+-1366
+-1354
+-1341
+-1329
+-1316
+-1304
+-1291
+-1279
+-1266
+-1254
+-1241
+-1229
+-1217
+-1204
+-1192
+-1179
+-1167
+-1155
+-1142
+-1130
+-1117
+-1105
+-1093
+-1080
+-1068
+-1056
+-1044
+-1031
+-1019
+-1007
+-994
+-982
+-970
+-958
+-945
+-933
+-921
+-909
+-897
+-884
+-872
+-860
+-848
+-836
+-824
+-811
+-799
+-787
+-775
+-763
+-751
+-739
+-727
+-715
+-703
+-691
+-679
+-667
+-655
+-643
+-631
+-619
+-607
+-595
+-583
+-571
+-560
+-548
+-536
+-524
+-512
+-500
+-489
+-477
+-465
+-453
+-442
+-430
+-418
+-406
+-395
+-383
+-371
+-360
+-348
+-337
+-325
+-313
+-302
+-290
+-279
+-267
+-256
+-244
+-233
+-221
+-210
+-198
+-187
+-176
+-164
+-153
+-142
+-130
+-119
+-108
+-96
+-85
+-74
+-63
+-51
+-40
+-29
+-18
+-7
+4
+15
+27
+38
+49
+60
+71
+82
+93
+104
+115
+126
+136
+147
+158
+169
+180
+191
+201
+212
+223
+234
+244
+255
+266
+277
+287
+298
+308
+319
+330
+340
+351
+361
+372
+382
+392
+403
+413
+424
+434
+444
+455
+465
+475
+486
+496
+506
+516
+526
+537
+547
+557
+567
+577
+587
+597
+607
+617
+627
+637
+647
+657
+667
+676
+686
+696
+706
+716
+725
+735
+745
+754
+764
+774
+783
+793
+802
+812
+821
+831
+840
+850
+859
+869
+878
+887
+897
+906
+915
+924
+934
+943
+952
+961
+970
+979
+989
+998
+1007
+1016
+1025
+1034
+1043
+1051
+1060
+1069
+1078
+1087
+1096
+1104
+1113
+1122
+1131
+1139
+1148
+1157
+1165
+1174
+1182
+1191
+1199
+1208
+1216
+1225
+1233
+1241
+1250
+1258
+1266
+1274
+1283
+1291
+1299
+1307
+1315
+1324
+1332
+1340
+1348
+1356
+1364
+1372
+1380
+1387
+1395
+1403
+1411
+1419
+1427
+1434
+1442
+1450
+1457
+1465
+1473
+1480
+1488
+1495
+1503
+1510
+1518
+1525
+1533
+1540
+1547
+1555
+1562
+1569
+1576
+1584
+1591
+1598
+1605
+1612
+1619
+1626
+1633
+1640
+1647
+1654
+1661
+1668
+1675
+1682
+1688
+1695
+1702
+1709
+1715
+1722
+1729
+1735
+1742
+1748
+1755
+1761
+1768
+1774
+1781
+1787
+1793
+1800
+1806
+1812
+1819
+1825
+1831
+1837
+1843
+1849
+1855
+1861
+1868
+1873
+1879
+1885
+1891
+1897
+1903
+1909
+1915
+1920
+1926
+1932
+1938
+1943
+1949
+1954
+1960
+1966
+1971
+1977
+1982
+1988
+1993
+1998
+2004
+2009
+2014
+2020
+2025
+2030
+2035
+2040
+2045
+2051
+2056
+2061
+2066
+2071
+2076
+2080
+2085
+2090
+2095
+2100
+2105
+2109
+2114
+2119
+2124
+2128
+2133
+2137
+2142
+2146
+2151
+2155
+2160
+2164
+2169
+2173
+2177
+2182
+2186
+2190
+2194
+2199
+2203
+2207
+2211
+2215
+2219
+2223
+2227
+2231
+2235
+2239
+2243
+2247
+2251
+2254
+2258
+2262
+2266
+2269
+2273
+2277
+2280
+2284
+2287
+2291
+2294
+2298
+2301
+2305
+2308
+2311
+2315
+2318
+2321
+2324
+2328
+2331
+2334
+2337
+2340
+2343
+2346
+2349
+2352
+2355
+2358
+2361
+2364
+2367
+2370
+2372
+2375
+2378
+2381
+2383
+2386
+2388
+2391
+2394
+2396
+2399
+2401
+2404
+2406
+2409
+2411
+2413
+2416
+2418
+2420
+2422
+2425
+2427
+2429
+2431
+2433
+2435
+2437
+2439
+2441
+2443
+2445
+2447
+2449
+2451
+2453
+2455
+2456
+2458
+2460
+2462
+2463
+2465
+2466
+2468
+2470
+2471
+2473
+2474
+2476
+2477
+2479
+2480
+2481
+2483
+2484
+2485
+2486
+2488
+2489
+2490
+2491
+2492
+2493
+2494
+2496
+2497
+2498
+2499
+2499
+2500
+2501
+2502
+2503
+2504
+2505
+2505
+2506
+2507
+2507
+2508
+2509
+2509
+2510
+2511
+2511
+2512
+2512
+2512
+2513
+2513
+2514
+2514
+2514
+2515
+2515
+2515
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2517
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2516
+2515
+2515
+2515
+2514
+2514
+2514
+2513
+2513
+2513
+2512
+2512
+2511
+2511
+2510
+2510
+2509
+2508
+2508
+2507
+2506
+2506
+2505
+2504
+2504
+2503
+2502
+2501
+2500
+2499
+2498
+2498
+2497
+2496
+2495
+2494
+2493
+2492
+2490
+2489
+2488
+2487
+2486
+2485
+2484
+2482
+2481
+2480
+2478
+2477
+2476
+2474
+2473
+2472
+2470
+2469
+2467
+2466
+2464
+2463
+2461
+2460
+2458
+2456
+2455
+2453
+2451
+2450
+2448
+2446
+2444
+2443
+2441
+2439
+2437
+2435
+2433
+2431
+2430
+2428
+2426
+2424
+2422
+2420
+2418
+2415
+2413
+2411
+2409
+2407
+2405
+2403
+2400
+2398
+2396
+2394
+2391
+2389
+2387
+2384
+2382
+2380
+2377
+2375
+2373
+2370
+2368
+2365
+2363
+2360
+2358
+2355
+2352
+2350
+2347
+2345
+2342
+2339
+2337
+2334
+2331
+2328
+2326
+2323
+2320
+2317
+2314
+2312
+2309
+2306
+2303
+2300
+2297
+2294
+2291
+2288
+2285
+2282
+2279
+2276
+2273
+2270
+2267
+2264
+2261
+2257
+2254
+2251
+2248
+2245
+2241
+2238
+2235
+2232
+2228
+2225
+2222
+2218
+2215
+2212
+2208
+2205
+2201
+2198
+2195
+2191
+2188
+2184
+2181
+2177
+2174
+2170
+2166
+2163
+2159
+2156
+2152
+2148
+2145
+2141
+2137
+2134
+2130
+2126
+2123
+2119
+2115
+2111
+2107
+2104
+2100
+2096
+2092
+2088
+2084
+2081
+2077
+2073
+2069
+2065
+2061
+2057
+2053
+2049
+2045
+2041
+2037
+2033
+2029
+2025
+2021
+2017
+2013
+2008
+2004
+2000
+1996
+1992
+1988
+1984
+1979
+1975
+1971
+1967
+1962
+1958
+1954
+1950
+1945
+1941
+1937
+1932
+1928
+1924
+1919
+1915
+1911
+1906
+1902
+1898
+1893
+1889
+1884
+1880
+1875
+1871
+1866
+1862
+1857
+1853
+1848
+1844
+1839
+1835
+1830
+1826
+1821
+1817
+1812
+1807
+1803
+1798
+1794
+1789
+1784
+1780
+1775
+1770
+1766
+1761
+1756
+1752
+1747
+1742
+1737
+1733
+1728
+1723
+1718
+1714
+1709
+1704
+1699
+1695
+1690
+1685
+1680
+1675
+1670
+1666
+1661
+1656
+1651
+1646
+1641
+1636
+1632
+1627
+1622
+1617
+1612
+1607
+1602
+1597
+1592
+1587
+1582
+1577
+1572
+1567
+1562
+1557
+1552
+1547
+1542
+1537
+1532
+1527
+1522
+1517
+1512
+1507
+1502
+1497
+1492
+1487
+1482
+1477
+1472
+1467
+1462
+1456
+1451
+1446
+1441
+1436
+1431
+1426
+1421
+1416
+1410
+1405
+1400
+1395
+1390
+1385
+1380
+1374
+1369
+1364
+1359
+1354
+1349
+1343
+1338
+1333
+1328
+1323
+1317
+1312
+1307
+1302
+1297
+1291
+1286
+1281
+1276
+1270
+1265
+1260
+1255
+1250
+1244
+1239
+1234
+1229
+1223
+1218
+1213
+1208
+1202
+1197
+1192
+1187
+1181
+1176
+1171
+1166
+1160
+1155
+1150
+1145
+1139
+1134
+1129
+1123
+1118
+1113
+1108
+1102
+1097
+1092
+1086
+1081
+1076
+1071
+1065
+1060
+1055
+1050
+1044
+1039
+1034
+1028
+1023
+1018
+1013
+1007
+1002
+997
+991
+986
+981
+976
+970
+965
+960
+954
+949
+944
+939
+933
+928
+923
+918
+912
+907
+902
+896
+891
+886
+881
+875
+870
+865
+860
+854
+849
+844
+839
+833
+828
+823
+818
+812
+807
+802
+797
+791
+786
+781
+776
+771
+765
+760
+755
+750
+744
+739
+734
+729
+724
+718
+713
+708
+703
+698
+693
+687
+682
+677
+672
+667
+662
+656
+651
+646
+641
+636
+631
+625
+620
+615
+610
+605
+600
+595
+590
+585
+579
+574
+569
+564
+559
+554
+549
+544
+539
+534
+529
+524
+519
+513
+508
+503
+498
+493
+488
+483
+478
+473
+468
+463
+458
+453
+448
+443
+438
+433
+428
+424
+419
+414
+409
+404
+399
+394
+389
+384
+379
+374
+369
+365
+360
+355
+350
+345
+340
+335
+330
+326
+321
+316
+311
+306
+302
+297
+292
+287
+282
+278
+273
+268
+263
+259
+254
+249
+244
+240
+235
+230
+226
+221
+216
+211
+207
+202
+197
+193
+188
+184
+179
+174
+170
+165
+160
+156
+151
+147
+142
+138
+133
+128
+124
+119
+115
+110
+106
+101
+97
+92
+88
+83
+79
+74
+70
+66
+61
+57
+52
+48
+43
+39
+35
+30
+26
+22
+17
+13
+9
+4
+0
+-4
+-9
+-13
+-17
+-22
+-26
+-30
+-34
+-39
+-43
+-47
+-51
+-55
+-60
+-64
+-68
+-72
+-76
+-80
+-85
+-89
+-93
+-97
+-101
+-105
+-109
+-113
+-117
+-122
+-126
+-130
+-134
+-138
+-142
+-146
+-150
+-154
+-158
+-162
+-166
+-170
+-174
+-177
+-181
+-185
+-189
+-193
+-197
+-201
+-205
+-209
+-212
+-216
+-220
+-224
+-228
+-232
+-235
+-239
+-243
+-247
+-250
+-254
+-258
+-262
+-265
+-269
+-273
+-276
+-280
+-284
+-287
+-291
+-295
+-298
+-302
+-305
+-309
+-313
+-316
+-320
+-323
+-327
+-330
+-334
+-337
+-341
+-344
+-348
+-351
+-355
+-358
+-362
+-365
+-369
+-372
+-375
+-379
+-382
+-386
+-389
+-392
+-396
+-399
+-402
+-406
+-409
+-412
+-415
+-419
+-422
+-425
+-428
+-432
+-435
+-438
+-441
+-444
+-448
+-451
+-454
+-457
+-460
+-463
+-466
+-470
+-473
+-476
+-479
+-482
+-485
+-488
+-491
+-494
+-497
+-500
+-503
+-506
+-509
+-512
+-515
+-518
+-521
+-523
+-526
+-529
+-532
+-535
+-538
+-541
+-543
+-546
+-549
+-552
+-555
+-557
+-560
+-563
+-566
+-568
+-571
+-574
+-577
+-579
+-582
+-585
+-587
+-590
+-593
+-595
+-598
+-600
+-603
+-606
+-608
+-611
+-613
+-616
+-618
+-621
+-623
+-626
+-628
+-631
+-633
+-636
+-638
+-640
+-643
+-645
+-648
+-650
+-652
+-655
+-657
+-660
+-662
+-664
+-666
+-669
+-671
+-673
+-676
+-678
+-680
+-682
+-684
+-687
+-689
+-691
+-693
+-695
+-698
+-700
+-702
+-704
+-706
+-708
+-710
+-712
+-714
+-716
+-718
+-721
+-723
+-725
+-727
+-729
+-731
+-732
+-734
+-736
+-738
+-740
+-742
+-744
+-746
+-748
+-750
+-752
+-753
+-755
+-757
+-759
+-761
+-762
+-764
+-766
+-768
+-770
+-771
+-773
+-775
+-776
+-778
+-780
+-781
+-783
+-785
+-786
+-788
+-790
+-791
+-793
+-794
+-796
+-798
+-799
+-801
+-802
+-804
+-805
+-807
+-808
+-810
+-811
+-813
+-814
+-816
+-817
+-818
+-820
+-821
+-823
+-824
+-825
+-827
+-828
+-829
+-831
+-832
+-833
+-835
+-836
+-837
+-838
+-840
+-841
+-842
+-843
+-845
+-846
+-847
+-848
+-849
+-850
+-852
+-853
+-854
+-855
+-856
+-857
+-858
+-859
+-860
+-861
+-862
+-863
+-865
+-866
+-867
+-868
+-868
+-869
+-870
+-871
+-872
+-873
+-874
+-875
+-876
+-877
+-878
+-879
+-879
+-880
+-881
+-882
+-883
+-884
+-884
+-885
+-886
+-887
+-888
+-888
+-889
+-890
+-890
+-891
+-892
+-893
+-893
+-894
+-895
+-895
+-896
+-897
+-897
+-898
+-898
+-899
+-900
+-900
+-901
+-901
+-902
+-902
+-903
+-903
+-904
+-904
+-905
+-905
+-906
+-906
+-907
+-907
+-908
+-908
+-908
+-909
+-909
+-910
+-910
+-910
+-911
+-911
+-911
+-912
+-912
+-912
+-913
+-913
+-913
+-914
+-914
+-914
+-914
+-915
+-915
+-915
+-915
+-915
+-916
+-916
+-916
+-916
+-916
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-918
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-917
+-916
+-916
+-916
+-916
+-916
+-916
+-915
+-915
+-915
+-915
+-915
+-914
+-914
+-914
+-914
+-913
+-913
+-913
+-913
+-912
+-912
+-912
+-911
+-911
+-911
+-910
+-910
+-910
+-909
+-909
+-909
+-908
+-908
+-907
+-907
+-907
+-906
+-906
+-905
+-905
+-904
+-904
+-903
+-903
+-902
+-902
+-901
+-901
+-900
+-900
+-899
+-899
+-898
+-898
+-897
+-897
+-896
+-896
+-895
+-894
+-894
+-893
+-893
+-892
+-891
+-891
+-890
+-889
+-889
+-888
+-887
+-887
+-886
+-885
+-885
+-884
+-883
+-883
+-882
+-881
+-880
+-880
+-879
+-878
+-877
+-877
+-876
+-875
+-874
+-874
+-873
+-872
+-871
+-870
+-870
+-869
+-868
+-867
+-866
+-865
+-865
+-864
+-863
+-862
+-861
+-860
+-859
+-858
+-858
+-857
+-856
+-855
+-854
+-853
+-852
+-851
+-850
+-849
+-848
+-847
+-846
+-845
+-844
+-843
+-842
+-841
+-840
+-839
+-838
+-837
+-836
+-835
+-834
+-833
+-832
+-831
+-830
+-829
+-828
+-827
+-826
+-825
+-824
+-823
+-822
+-820
+-819
+-818
+-817
+-816
+-815
+-814
+-813
+-811
+-810
+-809
+-808
+-807
+-806
+-805
+-803
+-802
+-801
+-800
+-799
+-797
+-796
+-795
+-794
+-793
+-791
+-790
+-789
+-788
+-787
+-785
+-784
+-783
+-782
+-780
+-779
+-778
+-777
+-775
+-774
+-773
+-771
+-770
+-769
+-768
+-766
+-765
+-764
+-762
+-761
+-760
+-758
+-757
+-756
+-754
+-753
+-752
+-750
+-749
+-748
+-746
+-745
+-744
+-742
+-741
+-740
+-738
+-737
+-735
+-734
+-733
+-731
+-730
+-728
+-727
+-726
+-724
+-723
+-721
+-720
+-719
+-717
+-716
+-714
+-713
+-711
+-710
+-709
+-707
+-706
+-704
+-703
+-701
+-700
+-698
+-697
+-695
+-694
+-692
+-691
+-690
+-688
+-687
+-685
+-684
+-682
+-681
+-679
+-678
+-676
+-675
+-673
+-672
+-670
+-669
+-667
+-665
+-664
+-662
+-661
+-659
+-658
+-656
+-655
+-653
+-652
+-650
+-649
+-647
+-646
+-644
+-642
+-641
+-639
+-638
+-636
+-635
+-633
+-631
+-630
+-628
+-627
+-625
+-624
+-622
+-620
+-619
+-617
+-616
+-614
+-613
+-611
+-609
+-608
+-606
+-605
+-603
+-601
+-600
+-598
+-597
+-595
+-593
+-592
+-590
+-589
+-587
+-585
+-584
+-582
+-580
+-579
+-577
+-576
+-574
+-572
+-571
+-569
+-567
+-566
+-564
+-563
+-561
+-559
+-558
+-556
+-554
+-553
+-551
+-550
+-548
+-546
+-545
+-543
+-541
+-540
+-538
+-536
+-535
+-533
+-531
+-530
+-528
+-527
+-525
+-523
+-522
+-520
+-518
+-517
+-515
+-513
+-512
+-510
+-508
+-507
+-505
+-503
+-502
+-500
+-498
+-497
+-495
+-493
+-492
+-490
+-488
+-487
+-485
+-483
+-482
+-480
+-479
+-477
+-475
+-474
+-472
+-470
+-469
+-467
+-465
+-464
+-462
+-460
+-459
+-457
+-455
+-454
+-452
+-450
+-449
+-447
+-445
+-444
+-442
+-440
+-439
+-437
+-435
+-434
+-432
+-430
+-429
+-427
+-425
+-424
+-422
+-421
+-419
+-417
+-416
+-414
+-412
+-411
+-409
+-407
+-406
+-404
+-402
+-401
+-399
+-397
+-396
+-394
+-393
+-391
+-389
+-388
+-386
+-384
+-383
+-381
+-379
+-378
+-376
+-374
+-373
+-371
+-370
+-368
+-366
+-365
+-363
+-361
+-360
+-358
+-357
+-355
+-353
+-352
+-350
+-348
+-347
+-345
+-344
+-342
+-340
+-339
+-337
+-336
+-334
+-332
+-331
+-329
+-328
+-326
+-324
+-323
+-321
+-320
+-318
+-316
+-315
+-313
+-312
+-310
+-308
+-307
+-305
+-304
+-302
+-301
+-299
+-297
+-296
+-294
+-293
+-291
+-290
+-288
+-286
+-285
+-283
+-282
+-280
+-279
+-277
+-276
+-274
+-272
+-271
+-269
+-268
+-266
+-265
+-263
+-262
+-260
+-259
+-257
+-256
+-254
+-252
+-251
+-249
+-248
+-246
+-245
+-243
+-242
+-240
+-239
+-237
+-236
+-234
+-233
+-231
+-230
+-228
+-227
+-225
+-224
+-222
+-221
+-219
+-218
+-216
+-215
+-213
+-212
+-211
+-209
+-208
+-206
+-205
+-203
+-202
+-200
+-199
+-197
+-196
+-195
+-193
+-192
+-190
+-189
+-187
+-186
+-184
+-183
+-182
+-180
+-179
+-177
+-176
+-175
+-173
+-172
+-170
+-169
+-167
+-166
+-165
+-163
+-162
+-161
+-159
+-158
+-156
+-155
+-154
+-152
+-151
+-149
+-148
+-147
+-145
+-144
+-143
+-141
+-140
+-139
+-137
+-136
+-135
+-133
+-132
+-131
+-129
+-128
+-127
+-125
+-124
+-123
+-121
+-120
+-119
+-117
+-116
+-115
+-113
+-112
+-111
+-110
+-108
+-107
+-106
+-104
+-103
+-102
+-101
+-99
+-98
+-97
+-96
+-94
+-93
+-92
+-91
+-89
+-88
+-87
+-86
+-84
+-83
+-82
+-81
+-79
+-78
+-77
+-76
+-75
+-73
+-72
+-71
+-70
+-69
+-67
+-66
+-65
+-64
+-63
+-61
+-60
+-59
+-58
+-57
+-56
+-54
+-53
+-52
+-51
+-50
+-49
+-47
+-46
+-45
+-44
+-43
+-42
+-41
+-39
+-38
+-37
+-36
+-35
+-34
+-33
+-32
+-31
+-29
+-28
+-27
+-26
+-25
+-24
+-23
+-22
+-21
+-20
+-19
+-18
+-16
+-15
+-14
+-13
+-12
+-11
+-10
+-9
+-8
+-7
+-6
+-5
+-4
+-3
+-2
+-1
+0
+1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+37
+38
+39
+40
+41
+42
+43
+44
+44
+45
+46
+47
+48
+49
+50
+50
+51
+52
+53
+54
+55
+56
+56
+57
+58
+59
+60
+60
+61
+62
+63
+64
+64
+65
+66
+67
+68
+68
+69
+70
+71
+71
+72
+73
+74
+75
+75
+76
+77
+78
+78
+79
+80
+80
+81
+82
+83
+83
+84
+85
+86
+86
+87
+88
+88
+89
+90
+90
+91
+92
+93
+93
+94
+95
+95
+96
+97
+97
+98
+99
+99
+100
+100
+101
+102
+102
+103
+104
+104
+105
+106
+106
+107
+107
+108
+109
+109
+110
+110
+111
+112
+112
+113
+113
+114
+114
+115
+116
+116
+117
+117
+118
+118
+119
+120
+120
+121
+121
+122
+122
+123
+123
+124
+124
+125
+125
+126
+126
+127
+127
+128
+128
+129
+129
+130
+130
+131
+131
+132
+132
+133
+133
+134
+134
+135
+135
+136
+136
+137
+137
+137
+138
+138
+139
+139
+140
+140
+141
+141
+141
+142
+142
+143
+143
+143
+144
+144
+145
+145
+145
+146
+146
+147
+147
+147
+148
+148
+149
+149
+149
+150
+150
+150
+151
+151
+151
+152
+152
+153
+153
+153
+154
+154
+154
+155
+155
+155
+156
+156
+156
+156
+157
+157
+157
+158
+158
+158
+159
+159
+159
+160
+160
+160
+160
+161
+161
+161
+161
+162
+162
+162
+163
+163
+163
+163
+164
+164
+164
+164
+165
+165
+165
+165
+166
+166
+166
+166
+166
+167
+167
+167
+167
+168
+168
+168
+168
+168
+169
+169
+169
+169
+169
+170
+170
+170
+170
+170
+170
+171
+171
+171
+171
+171
+171
+172
+172
+172
+172
+172
+172
+173
+173
+173
+173
+173
+173
+173
+174
+174
+174
+174
+174
+174
+174
+174
+175
+175
+175
+175
+175
+175
+175
+175
+175
+175
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+178
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+177
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+176
+175
+175
+175
+175
+175
+175
+175
+175
+175
+175
+175
+174
+174
+174
+174
+174
+174
+174
+174
+174
+173
+173
+173
+173
+173
+173
+173
+173
+173
+172
+172
+172
+172
+172
+172
+172
+171
+171
+171
+171
+171
+171
+171
+171
+170
+170
+170
+170
+170
+170
+170
+169
+169
+169
+169
+169
+169
+168
+168
+168
+168
+168
+168
+167
+167
+167
+167
+167
+167
+166
+166
+166
+166
+166
+166
+165
+165
+165
+165
+165
+165
+164
+164
+164
+164
+164
+163
+163
+163
+163
+163
+163
+162
+162
+162
+162
+162
+161
+161
+161
+161
+161
+160
+160
+160
+160
+160
+159
+159
+159
+159
+159
+158
+158
+158
+158
+158
+157
+157
+157
+157
+156
+156
+156
+156
+156
+155
+155
+155
+155
+154
+154
+154
+154
+154
+153
+153
+153
+153
+152
+152
+152
+152
+152
+151
+151
+151
+151
+150
+150
+150
+150
+149
+149
+149
+149
+149
+148
+148
+148
+148
+147
+147
+147
+147
+146
+146
+146
+146
+145
+145
+145
+145
+144
+144
+144
+144
+143
+143
+143
+143
+142
+142
+142
+142
+141
+141
+141
+141
+140
+140
+140
+140
+139
+139
+139
+139
+138
+138
+138
+138
+137
+137
+137
+137
+136
+136
+136
+136
+135
+135
+135
+135
+134
+134
+134
+134
+133
+133
+133
+133
+132
+132
+132
+131
+131
+131
+131
+130
+130
+130
+130
+129
+129
+129
+129
+128
+128
+128
+127
+127
+127
+127
+126
+126
+126
+126
+125
+125
+125
+125
+124
+124
+124
+123
+123
+123
+123
+122
+122
+122
+122
+121
+121
+121
+121
+120
+120
+120
+119
+119
+119
+119
+118
+118
+118
+118
+117
+117
+117
+116
+116
+116
+116
+115
+115
+115
+115
+114
+114
+114
+113
+113
+113
+113
+112
+112
+112
+112
+111
+111
+111
+111
+110
+110
+110
+109
+109
+109
+109
+108
+108
+108
+108
+107
+107
+107
+106
+106
+106
+106
+105
+105
+105
+105
+104
+104
+104
+103
+103
+103
+103
+102
+102
+102
+102
+101
+101
+101
+101
+100
+100
+100
+99
+99
+99
+99
+98
+98
+98
+98
+97
+97
+97
+97
+96
+96
+96
+95
+95
+95
+95
+94
+94
+94
+94
+93
+93
+93
+93
+92
+92
+92
+92
+91
+91
+91
+91
+90
+90
+90
+89
+89
+89
+89
+88
+88
+88
+88
+87
+87
+87
+87
+86
+86
+86
+86
+85
+85
+85
+85
+84
+84
+84
+84
+83
+83
+83
+83
+82
+82
+82
+82
+81
+81
+81
+81
+80
+80
+80
+80
+79
+79
+79
+79
+78
+78
+78
+78
+77
+77
+77
+77
+76
+76
+76
+76
+75
+75
+75
+75
+74
+74
+74
+74
+73
+73
+73
+73
+73
+72
+72
+72
+72
+71
+71
+71
+71
+70
+70
+70
+70
+69
+69
+69
+69
+69
+68
+68
+68
+68
+67
+67
+67
+67
+66
+66
+66
+66
+66
+65
+65
+65
+65
+64
+64
+64
+64
+64
+63
+63
+63
+63
+62
+62
+62
+62
+62
+61
+61
+61
+61
+60
+60
+60
+60
+60
+59
+59
+59
+59
+58
+58
+58
+58
+58
+57
+57
+57
+57
+57
+56
+56
+56
+56
+56
+55
+55
+55
+55
+55
+54
+54
+54
+54
+53
+53
+53
+53
+53
+52
+52
+52
+52
+52
+51
+51
+51
+51
+51
+50
+50
+50
+50
+50
+50
+49
+49
+49
+49
+49
+48
+48
+48
+48
+48
+47
+47
+47
+47
+47
+46
+46
+46
+46
+46
+46
+45
+45
+45
+45
+45
+44
+44
+44
+44
+44
+44
+43
+43
+43
+43
+43
+42
+42
+42
+42
+42
+42
+41
+41
+41
+41
+41
+41
+40
+40
+40
+40
+40
+40
+39
+39
+39
+39
+39
+39
+38
+38
+38
+38
+38
+38
+37
+37
+37
+37
+37
+37
+36
+36
+36
+36
+36
+36
+35
+35
+35
+35
+35
+35
+35
+34
+34
+34
+34
+34
+34
+33
+33
+33
+33
+33
+33
+33
+32
+32
+32
+32
+32
+32
+32
+31
+31
+31
+31
+31
+31
+30
+30
+30
+30
+30
+30
+30
+30
+29
+29
+29
+29
+29
+29
+29
+28
+28
+28
+28
+28
+28
+28
+27
+27
+27
+27
+27
+27
+27
+27
+26
+26
+26
+26
+26
+26
+26
+26
+25
+25
+25
+25
+25
+25
+25
+25
+24
+24
+24
+24
+24
+24
+24
+24
+23
+23
+23
+23
+23
+23
+23
+23
+22
+22
+22
+22
+22
+22
+22
+22
+22
+21
+21
+21
+21
+21
+21
+21
+21
+21
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+19
+19
+19
+19
+19
+19
+19
+19
+19
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+18
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+15
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+14
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+11
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+10
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+9
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+8
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+7
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+5
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+4
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+3
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+2
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_0.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_0.mif
new file mode 100644
index 0000000000000000000000000000000000000000..4a32e54e81e3339fed8ce5d261e6d08932837323
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_0.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  4e ; 
+ 1   :  4e ; 
+ 2   :  4d ; 
+ 3   :  4d ; 
+ 4   :  4d ; 
+ 5   :  4d ; 
+ 6   :  4c ; 
+ 7   :  4c ; 
+ 8   :  4c ; 
+ 9   :  4c ; 
+ a   :  4b ; 
+ b   :  4b ; 
+ c   :  4b ; 
+ d   :  4b ; 
+ e   :  4a ; 
+ f   :  4a ; 
+ 10   :  4a ; 
+ 11   :  4a ; 
+ 12   :  49 ; 
+ 13   :  49 ; 
+ 14   :  49 ; 
+ 15   :  49 ; 
+ 16   :  49 ; 
+ 17   :  48 ; 
+ 18   :  48 ; 
+ 19   :  48 ; 
+ 1a   :  48 ; 
+ 1b   :  47 ; 
+ 1c   :  47 ; 
+ 1d   :  47 ; 
+ 1e   :  47 ; 
+ 1f   :  46 ; 
+ 20   :  46 ; 
+ 21   :  46 ; 
+ 22   :  46 ; 
+ 23   :  45 ; 
+ 24   :  45 ; 
+ 25   :  45 ; 
+ 26   :  45 ; 
+ 27   :  45 ; 
+ 28   :  44 ; 
+ 29   :  44 ; 
+ 2a   :  44 ; 
+ 2b   :  44 ; 
+ 2c   :  43 ; 
+ 2d   :  43 ; 
+ 2e   :  43 ; 
+ 2f   :  43 ; 
+ 30   :  42 ; 
+ 31   :  42 ; 
+ 32   :  42 ; 
+ 33   :  42 ; 
+ 34   :  42 ; 
+ 35   :  41 ; 
+ 36   :  41 ; 
+ 37   :  41 ; 
+ 38   :  41 ; 
+ 39   :  40 ; 
+ 3a   :  40 ; 
+ 3b   :  40 ; 
+ 3c   :  40 ; 
+ 3d   :  40 ; 
+ 3e   :  3f ; 
+ 3f   :  3f ; 
+ 40   :  3f ; 
+ 41   :  3f ; 
+ 42   :  3e ; 
+ 43   :  3e ; 
+ 44   :  3e ; 
+ 45   :  3e ; 
+ 46   :  3e ; 
+ 47   :  3d ; 
+ 48   :  3d ; 
+ 49   :  3d ; 
+ 4a   :  3d ; 
+ 4b   :  3c ; 
+ 4c   :  3c ; 
+ 4d   :  3c ; 
+ 4e   :  3c ; 
+ 4f   :  3c ; 
+ 50   :  3b ; 
+ 51   :  3b ; 
+ 52   :  3b ; 
+ 53   :  3b ; 
+ 54   :  3a ; 
+ 55   :  3a ; 
+ 56   :  3a ; 
+ 57   :  3a ; 
+ 58   :  3a ; 
+ 59   :  39 ; 
+ 5a   :  39 ; 
+ 5b   :  39 ; 
+ 5c   :  39 ; 
+ 5d   :  39 ; 
+ 5e   :  38 ; 
+ 5f   :  38 ; 
+ 60   :  38 ; 
+ 61   :  38 ; 
+ 62   :  38 ; 
+ 63   :  37 ; 
+ 64   :  37 ; 
+ 65   :  37 ; 
+ 66   :  37 ; 
+ 67   :  37 ; 
+ 68   :  36 ; 
+ 69   :  36 ; 
+ 6a   :  36 ; 
+ 6b   :  36 ; 
+ 6c   :  35 ; 
+ 6d   :  35 ; 
+ 6e   :  35 ; 
+ 6f   :  35 ; 
+ 70   :  35 ; 
+ 71   :  34 ; 
+ 72   :  34 ; 
+ 73   :  34 ; 
+ 74   :  34 ; 
+ 75   :  34 ; 
+ 76   :  33 ; 
+ 77   :  33 ; 
+ 78   :  33 ; 
+ 79   :  33 ; 
+ 7a   :  33 ; 
+ 7b   :  32 ; 
+ 7c   :  32 ; 
+ 7d   :  32 ; 
+ 7e   :  32 ; 
+ 7f   :  32 ; 
+ 80   :  32 ; 
+ 81   :  31 ; 
+ 82   :  31 ; 
+ 83   :  31 ; 
+ 84   :  31 ; 
+ 85   :  31 ; 
+ 86   :  30 ; 
+ 87   :  30 ; 
+ 88   :  30 ; 
+ 89   :  30 ; 
+ 8a   :  30 ; 
+ 8b   :  2f ; 
+ 8c   :  2f ; 
+ 8d   :  2f ; 
+ 8e   :  2f ; 
+ 8f   :  2f ; 
+ 90   :  2e ; 
+ 91   :  2e ; 
+ 92   :  2e ; 
+ 93   :  2e ; 
+ 94   :  2e ; 
+ 95   :  2e ; 
+ 96   :  2d ; 
+ 97   :  2d ; 
+ 98   :  2d ; 
+ 99   :  2d ; 
+ 9a   :  2d ; 
+ 9b   :  2c ; 
+ 9c   :  2c ; 
+ 9d   :  2c ; 
+ 9e   :  2c ; 
+ 9f   :  2c ; 
+ a0   :  2c ; 
+ a1   :  2b ; 
+ a2   :  2b ; 
+ a3   :  2b ; 
+ a4   :  2b ; 
+ a5   :  2b ; 
+ a6   :  2a ; 
+ a7   :  2a ; 
+ a8   :  2a ; 
+ a9   :  2a ; 
+ aa   :  2a ; 
+ ab   :  2a ; 
+ ac   :  29 ; 
+ ad   :  29 ; 
+ ae   :  29 ; 
+ af   :  29 ; 
+ b0   :  29 ; 
+ b1   :  29 ; 
+ b2   :  28 ; 
+ b3   :  28 ; 
+ b4   :  28 ; 
+ b5   :  28 ; 
+ b6   :  28 ; 
+ b7   :  28 ; 
+ b8   :  27 ; 
+ b9   :  27 ; 
+ ba   :  27 ; 
+ bb   :  27 ; 
+ bc   :  27 ; 
+ bd   :  27 ; 
+ be   :  26 ; 
+ bf   :  26 ; 
+ c0   :  26 ; 
+ c1   :  26 ; 
+ c2   :  26 ; 
+ c3   :  26 ; 
+ c4   :  25 ; 
+ c5   :  25 ; 
+ c6   :  25 ; 
+ c7   :  25 ; 
+ c8   :  25 ; 
+ c9   :  25 ; 
+ ca   :  24 ; 
+ cb   :  24 ; 
+ cc   :  24 ; 
+ cd   :  24 ; 
+ ce   :  24 ; 
+ cf   :  24 ; 
+ d0   :  23 ; 
+ d1   :  23 ; 
+ d2   :  23 ; 
+ d3   :  23 ; 
+ d4   :  23 ; 
+ d5   :  23 ; 
+ d6   :  23 ; 
+ d7   :  22 ; 
+ d8   :  22 ; 
+ d9   :  22 ; 
+ da   :  22 ; 
+ db   :  22 ; 
+ dc   :  22 ; 
+ dd   :  21 ; 
+ de   :  21 ; 
+ df   :  21 ; 
+ e0   :  21 ; 
+ e1   :  21 ; 
+ e2   :  21 ; 
+ e3   :  21 ; 
+ e4   :  20 ; 
+ e5   :  20 ; 
+ e6   :  20 ; 
+ e7   :  20 ; 
+ e8   :  20 ; 
+ e9   :  20 ; 
+ ea   :  20 ; 
+ eb   :  1f ; 
+ ec   :  1f ; 
+ ed   :  1f ; 
+ ee   :  1f ; 
+ ef   :  1f ; 
+ f0   :  1f ; 
+ f1   :  1e ; 
+ f2   :  1e ; 
+ f3   :  1e ; 
+ f4   :  1e ; 
+ f5   :  1e ; 
+ f6   :  1e ; 
+ f7   :  1e ; 
+ f8   :  1e ; 
+ f9   :  1d ; 
+ fa   :  1d ; 
+ fb   :  1d ; 
+ fc   :  1d ; 
+ fd   :  1d ; 
+ fe   :  1d ; 
+ ff   :  1d ; 
+ 100   :  1c ; 
+ 101   :  1c ; 
+ 102   :  1c ; 
+ 103   :  1c ; 
+ 104   :  1c ; 
+ 105   :  1c ; 
+ 106   :  1c ; 
+ 107   :  1b ; 
+ 108   :  1b ; 
+ 109   :  1b ; 
+ 10a   :  1b ; 
+ 10b   :  1b ; 
+ 10c   :  1b ; 
+ 10d   :  1b ; 
+ 10e   :  1b ; 
+ 10f   :  1a ; 
+ 110   :  1a ; 
+ 111   :  1a ; 
+ 112   :  1a ; 
+ 113   :  1a ; 
+ 114   :  1a ; 
+ 115   :  1a ; 
+ 116   :  1a ; 
+ 117   :  19 ; 
+ 118   :  19 ; 
+ 119   :  19 ; 
+ 11a   :  19 ; 
+ 11b   :  19 ; 
+ 11c   :  19 ; 
+ 11d   :  19 ; 
+ 11e   :  19 ; 
+ 11f   :  18 ; 
+ 120   :  18 ; 
+ 121   :  18 ; 
+ 122   :  18 ; 
+ 123   :  18 ; 
+ 124   :  18 ; 
+ 125   :  18 ; 
+ 126   :  18 ; 
+ 127   :  17 ; 
+ 128   :  17 ; 
+ 129   :  17 ; 
+ 12a   :  17 ; 
+ 12b   :  17 ; 
+ 12c   :  17 ; 
+ 12d   :  17 ; 
+ 12e   :  17 ; 
+ 12f   :  16 ; 
+ 130   :  16 ; 
+ 131   :  16 ; 
+ 132   :  16 ; 
+ 133   :  16 ; 
+ 134   :  16 ; 
+ 135   :  16 ; 
+ 136   :  16 ; 
+ 137   :  16 ; 
+ 138   :  15 ; 
+ 139   :  15 ; 
+ 13a   :  15 ; 
+ 13b   :  15 ; 
+ 13c   :  15 ; 
+ 13d   :  15 ; 
+ 13e   :  15 ; 
+ 13f   :  15 ; 
+ 140   :  15 ; 
+ 141   :  14 ; 
+ 142   :  14 ; 
+ 143   :  14 ; 
+ 144   :  14 ; 
+ 145   :  14 ; 
+ 146   :  14 ; 
+ 147   :  14 ; 
+ 148   :  14 ; 
+ 149   :  14 ; 
+ 14a   :  14 ; 
+ 14b   :  13 ; 
+ 14c   :  13 ; 
+ 14d   :  13 ; 
+ 14e   :  13 ; 
+ 14f   :  13 ; 
+ 150   :  13 ; 
+ 151   :  13 ; 
+ 152   :  13 ; 
+ 153   :  13 ; 
+ 154   :  12 ; 
+ 155   :  12 ; 
+ 156   :  12 ; 
+ 157   :  12 ; 
+ 158   :  12 ; 
+ 159   :  12 ; 
+ 15a   :  12 ; 
+ 15b   :  12 ; 
+ 15c   :  12 ; 
+ 15d   :  12 ; 
+ 15e   :  12 ; 
+ 15f   :  11 ; 
+ 160   :  11 ; 
+ 161   :  11 ; 
+ 162   :  11 ; 
+ 163   :  11 ; 
+ 164   :  11 ; 
+ 165   :  11 ; 
+ 166   :  11 ; 
+ 167   :  11 ; 
+ 168   :  11 ; 
+ 169   :  10 ; 
+ 16a   :  10 ; 
+ 16b   :  10 ; 
+ 16c   :  10 ; 
+ 16d   :  10 ; 
+ 16e   :  10 ; 
+ 16f   :  10 ; 
+ 170   :  10 ; 
+ 171   :  10 ; 
+ 172   :  10 ; 
+ 173   :  10 ; 
+ 174   :  f ; 
+ 175   :  f ; 
+ 176   :  f ; 
+ 177   :  f ; 
+ 178   :  f ; 
+ 179   :  f ; 
+ 17a   :  f ; 
+ 17b   :  f ; 
+ 17c   :  f ; 
+ 17d   :  f ; 
+ 17e   :  f ; 
+ 17f   :  f ; 
+ 180   :  e ; 
+ 181   :  e ; 
+ 182   :  e ; 
+ 183   :  e ; 
+ 184   :  e ; 
+ 185   :  e ; 
+ 186   :  e ; 
+ 187   :  e ; 
+ 188   :  e ; 
+ 189   :  e ; 
+ 18a   :  e ; 
+ 18b   :  e ; 
+ 18c   :  d ; 
+ 18d   :  d ; 
+ 18e   :  d ; 
+ 18f   :  d ; 
+ 190   :  d ; 
+ 191   :  d ; 
+ 192   :  d ; 
+ 193   :  d ; 
+ 194   :  d ; 
+ 195   :  d ; 
+ 196   :  d ; 
+ 197   :  d ; 
+ 198   :  d ; 
+ 199   :  c ; 
+ 19a   :  c ; 
+ 19b   :  c ; 
+ 19c   :  c ; 
+ 19d   :  c ; 
+ 19e   :  c ; 
+ 19f   :  c ; 
+ 1a0   :  c ; 
+ 1a1   :  c ; 
+ 1a2   :  c ; 
+ 1a3   :  c ; 
+ 1a4   :  c ; 
+ 1a5   :  c ; 
+ 1a6   :  b ; 
+ 1a7   :  b ; 
+ 1a8   :  b ; 
+ 1a9   :  b ; 
+ 1aa   :  b ; 
+ 1ab   :  b ; 
+ 1ac   :  b ; 
+ 1ad   :  b ; 
+ 1ae   :  b ; 
+ 1af   :  b ; 
+ 1b0   :  b ; 
+ 1b1   :  b ; 
+ 1b2   :  b ; 
+ 1b3   :  b ; 
+ 1b4   :  b ; 
+ 1b5   :  a ; 
+ 1b6   :  a ; 
+ 1b7   :  a ; 
+ 1b8   :  a ; 
+ 1b9   :  a ; 
+ 1ba   :  a ; 
+ 1bb   :  a ; 
+ 1bc   :  a ; 
+ 1bd   :  a ; 
+ 1be   :  a ; 
+ 1bf   :  a ; 
+ 1c0   :  a ; 
+ 1c1   :  a ; 
+ 1c2   :  a ; 
+ 1c3   :  a ; 
+ 1c4   :  a ; 
+ 1c5   :  9 ; 
+ 1c6   :  9 ; 
+ 1c7   :  9 ; 
+ 1c8   :  9 ; 
+ 1c9   :  9 ; 
+ 1ca   :  9 ; 
+ 1cb   :  9 ; 
+ 1cc   :  9 ; 
+ 1cd   :  9 ; 
+ 1ce   :  9 ; 
+ 1cf   :  9 ; 
+ 1d0   :  9 ; 
+ 1d1   :  9 ; 
+ 1d2   :  9 ; 
+ 1d3   :  9 ; 
+ 1d4   :  9 ; 
+ 1d5   :  8 ; 
+ 1d6   :  8 ; 
+ 1d7   :  8 ; 
+ 1d8   :  8 ; 
+ 1d9   :  8 ; 
+ 1da   :  8 ; 
+ 1db   :  8 ; 
+ 1dc   :  8 ; 
+ 1dd   :  8 ; 
+ 1de   :  8 ; 
+ 1df   :  8 ; 
+ 1e0   :  8 ; 
+ 1e1   :  8 ; 
+ 1e2   :  8 ; 
+ 1e3   :  8 ; 
+ 1e4   :  8 ; 
+ 1e5   :  8 ; 
+ 1e6   :  8 ; 
+ 1e7   :  8 ; 
+ 1e8   :  7 ; 
+ 1e9   :  7 ; 
+ 1ea   :  7 ; 
+ 1eb   :  7 ; 
+ 1ec   :  7 ; 
+ 1ed   :  7 ; 
+ 1ee   :  7 ; 
+ 1ef   :  7 ; 
+ 1f0   :  7 ; 
+ 1f1   :  7 ; 
+ 1f2   :  7 ; 
+ 1f3   :  7 ; 
+ 1f4   :  7 ; 
+ 1f5   :  7 ; 
+ 1f6   :  7 ; 
+ 1f7   :  7 ; 
+ 1f8   :  7 ; 
+ 1f9   :  7 ; 
+ 1fa   :  7 ; 
+ 1fb   :  7 ; 
+ 1fc   :  6 ; 
+ 1fd   :  6 ; 
+ 1fe   :  6 ; 
+ 1ff   :  6 ; 
+ 200   :  6 ; 
+ 201   :  6 ; 
+ 202   :  6 ; 
+ 203   :  6 ; 
+ 204   :  6 ; 
+ 205   :  6 ; 
+ 206   :  6 ; 
+ 207   :  6 ; 
+ 208   :  6 ; 
+ 209   :  6 ; 
+ 20a   :  6 ; 
+ 20b   :  6 ; 
+ 20c   :  6 ; 
+ 20d   :  6 ; 
+ 20e   :  6 ; 
+ 20f   :  6 ; 
+ 210   :  6 ; 
+ 211   :  6 ; 
+ 212   :  6 ; 
+ 213   :  5 ; 
+ 214   :  5 ; 
+ 215   :  5 ; 
+ 216   :  5 ; 
+ 217   :  5 ; 
+ 218   :  5 ; 
+ 219   :  5 ; 
+ 21a   :  5 ; 
+ 21b   :  5 ; 
+ 21c   :  5 ; 
+ 21d   :  5 ; 
+ 21e   :  5 ; 
+ 21f   :  5 ; 
+ 220   :  5 ; 
+ 221   :  5 ; 
+ 222   :  5 ; 
+ 223   :  5 ; 
+ 224   :  5 ; 
+ 225   :  5 ; 
+ 226   :  5 ; 
+ 227   :  5 ; 
+ 228   :  5 ; 
+ 229   :  5 ; 
+ 22a   :  5 ; 
+ 22b   :  5 ; 
+ 22c   :  5 ; 
+ 22d   :  5 ; 
+ 22e   :  4 ; 
+ 22f   :  4 ; 
+ 230   :  4 ; 
+ 231   :  4 ; 
+ 232   :  4 ; 
+ 233   :  4 ; 
+ 234   :  4 ; 
+ 235   :  4 ; 
+ 236   :  4 ; 
+ 237   :  4 ; 
+ 238   :  4 ; 
+ 239   :  4 ; 
+ 23a   :  4 ; 
+ 23b   :  4 ; 
+ 23c   :  4 ; 
+ 23d   :  4 ; 
+ 23e   :  4 ; 
+ 23f   :  4 ; 
+ 240   :  4 ; 
+ 241   :  4 ; 
+ 242   :  4 ; 
+ 243   :  4 ; 
+ 244   :  4 ; 
+ 245   :  4 ; 
+ 246   :  4 ; 
+ 247   :  4 ; 
+ 248   :  4 ; 
+ 249   :  4 ; 
+ 24a   :  4 ; 
+ 24b   :  4 ; 
+ 24c   :  4 ; 
+ 24d   :  3 ; 
+ 24e   :  3 ; 
+ 24f   :  3 ; 
+ 250   :  3 ; 
+ 251   :  3 ; 
+ 252   :  3 ; 
+ 253   :  3 ; 
+ 254   :  3 ; 
+ 255   :  3 ; 
+ 256   :  3 ; 
+ 257   :  3 ; 
+ 258   :  3 ; 
+ 259   :  3 ; 
+ 25a   :  3 ; 
+ 25b   :  3 ; 
+ 25c   :  3 ; 
+ 25d   :  3 ; 
+ 25e   :  3 ; 
+ 25f   :  3 ; 
+ 260   :  3 ; 
+ 261   :  3 ; 
+ 262   :  3 ; 
+ 263   :  3 ; 
+ 264   :  3 ; 
+ 265   :  3 ; 
+ 266   :  3 ; 
+ 267   :  3 ; 
+ 268   :  3 ; 
+ 269   :  3 ; 
+ 26a   :  3 ; 
+ 26b   :  3 ; 
+ 26c   :  3 ; 
+ 26d   :  3 ; 
+ 26e   :  3 ; 
+ 26f   :  3 ; 
+ 270   :  3 ; 
+ 271   :  3 ; 
+ 272   :  3 ; 
+ 273   :  3 ; 
+ 274   :  2 ; 
+ 275   :  2 ; 
+ 276   :  2 ; 
+ 277   :  2 ; 
+ 278   :  2 ; 
+ 279   :  2 ; 
+ 27a   :  2 ; 
+ 27b   :  2 ; 
+ 27c   :  2 ; 
+ 27d   :  2 ; 
+ 27e   :  2 ; 
+ 27f   :  2 ; 
+ 280   :  2 ; 
+ 281   :  2 ; 
+ 282   :  2 ; 
+ 283   :  2 ; 
+ 284   :  2 ; 
+ 285   :  2 ; 
+ 286   :  2 ; 
+ 287   :  2 ; 
+ 288   :  2 ; 
+ 289   :  2 ; 
+ 28a   :  2 ; 
+ 28b   :  2 ; 
+ 28c   :  2 ; 
+ 28d   :  2 ; 
+ 28e   :  2 ; 
+ 28f   :  2 ; 
+ 290   :  2 ; 
+ 291   :  2 ; 
+ 292   :  2 ; 
+ 293   :  2 ; 
+ 294   :  2 ; 
+ 295   :  2 ; 
+ 296   :  2 ; 
+ 297   :  2 ; 
+ 298   :  2 ; 
+ 299   :  2 ; 
+ 29a   :  2 ; 
+ 29b   :  2 ; 
+ 29c   :  2 ; 
+ 29d   :  2 ; 
+ 29e   :  2 ; 
+ 29f   :  2 ; 
+ 2a0   :  2 ; 
+ 2a1   :  2 ; 
+ 2a2   :  2 ; 
+ 2a3   :  2 ; 
+ 2a4   :  2 ; 
+ 2a5   :  2 ; 
+ 2a6   :  2 ; 
+ 2a7   :  2 ; 
+ 2a8   :  2 ; 
+ 2a9   :  2 ; 
+ 2aa   :  1 ; 
+ 2ab   :  1 ; 
+ 2ac   :  1 ; 
+ 2ad   :  1 ; 
+ 2ae   :  1 ; 
+ 2af   :  1 ; 
+ 2b0   :  1 ; 
+ 2b1   :  1 ; 
+ 2b2   :  1 ; 
+ 2b3   :  1 ; 
+ 2b4   :  1 ; 
+ 2b5   :  1 ; 
+ 2b6   :  1 ; 
+ 2b7   :  1 ; 
+ 2b8   :  1 ; 
+ 2b9   :  1 ; 
+ 2ba   :  1 ; 
+ 2bb   :  1 ; 
+ 2bc   :  1 ; 
+ 2bd   :  1 ; 
+ 2be   :  1 ; 
+ 2bf   :  1 ; 
+ 2c0   :  1 ; 
+ 2c1   :  1 ; 
+ 2c2   :  1 ; 
+ 2c3   :  1 ; 
+ 2c4   :  1 ; 
+ 2c5   :  1 ; 
+ 2c6   :  1 ; 
+ 2c7   :  1 ; 
+ 2c8   :  1 ; 
+ 2c9   :  1 ; 
+ 2ca   :  1 ; 
+ 2cb   :  1 ; 
+ 2cc   :  1 ; 
+ 2cd   :  1 ; 
+ 2ce   :  1 ; 
+ 2cf   :  1 ; 
+ 2d0   :  1 ; 
+ 2d1   :  1 ; 
+ 2d2   :  1 ; 
+ 2d3   :  1 ; 
+ 2d4   :  1 ; 
+ 2d5   :  1 ; 
+ 2d6   :  1 ; 
+ 2d7   :  1 ; 
+ 2d8   :  1 ; 
+ 2d9   :  1 ; 
+ 2da   :  1 ; 
+ 2db   :  1 ; 
+ 2dc   :  1 ; 
+ 2dd   :  1 ; 
+ 2de   :  1 ; 
+ 2df   :  1 ; 
+ 2e0   :  1 ; 
+ 2e1   :  1 ; 
+ 2e2   :  1 ; 
+ 2e3   :  1 ; 
+ 2e4   :  1 ; 
+ 2e5   :  1 ; 
+ 2e6   :  1 ; 
+ 2e7   :  1 ; 
+ 2e8   :  1 ; 
+ 2e9   :  1 ; 
+ 2ea   :  1 ; 
+ 2eb   :  1 ; 
+ 2ec   :  1 ; 
+ 2ed   :  1 ; 
+ 2ee   :  1 ; 
+ 2ef   :  1 ; 
+ 2f0   :  1 ; 
+ 2f1   :  1 ; 
+ 2f2   :  1 ; 
+ 2f3   :  1 ; 
+ 2f4   :  1 ; 
+ 2f5   :  1 ; 
+ 2f6   :  1 ; 
+ 2f7   :  1 ; 
+ 2f8   :  1 ; 
+ 2f9   :  1 ; 
+ 2fa   :  1 ; 
+ 2fb   :  1 ; 
+ 2fc   :  1 ; 
+ 2fd   :  1 ; 
+ 2fe   :  1 ; 
+ 2ff   :  1 ; 
+ 300   :  1 ; 
+ 301   :  1 ; 
+ 302   :  1 ; 
+ 303   :  1 ; 
+ 304   :  1 ; 
+ 305   :  1 ; 
+ 306   :  1 ; 
+ 307   :  1 ; 
+ 308   :  1 ; 
+ 309   :  1 ; 
+ 30a   :  0 ; 
+ 30b   :  0 ; 
+ 30c   :  0 ; 
+ 30d   :  0 ; 
+ 30e   :  0 ; 
+ 30f   :  0 ; 
+ 310   :  0 ; 
+ 311   :  0 ; 
+ 312   :  0 ; 
+ 313   :  0 ; 
+ 314   :  0 ; 
+ 315   :  0 ; 
+ 316   :  0 ; 
+ 317   :  0 ; 
+ 318   :  0 ; 
+ 319   :  0 ; 
+ 31a   :  0 ; 
+ 31b   :  0 ; 
+ 31c   :  0 ; 
+ 31d   :  0 ; 
+ 31e   :  0 ; 
+ 31f   :  0 ; 
+ 320   :  0 ; 
+ 321   :  0 ; 
+ 322   :  0 ; 
+ 323   :  0 ; 
+ 324   :  0 ; 
+ 325   :  0 ; 
+ 326   :  0 ; 
+ 327   :  0 ; 
+ 328   :  0 ; 
+ 329   :  0 ; 
+ 32a   :  0 ; 
+ 32b   :  0 ; 
+ 32c   :  0 ; 
+ 32d   :  0 ; 
+ 32e   :  0 ; 
+ 32f   :  0 ; 
+ 330   :  0 ; 
+ 331   :  0 ; 
+ 332   :  0 ; 
+ 333   :  0 ; 
+ 334   :  0 ; 
+ 335   :  0 ; 
+ 336   :  0 ; 
+ 337   :  0 ; 
+ 338   :  0 ; 
+ 339   :  0 ; 
+ 33a   :  0 ; 
+ 33b   :  0 ; 
+ 33c   :  0 ; 
+ 33d   :  0 ; 
+ 33e   :  0 ; 
+ 33f   :  0 ; 
+ 340   :  0 ; 
+ 341   :  0 ; 
+ 342   :  0 ; 
+ 343   :  0 ; 
+ 344   :  0 ; 
+ 345   :  0 ; 
+ 346   :  0 ; 
+ 347   :  0 ; 
+ 348   :  0 ; 
+ 349   :  0 ; 
+ 34a   :  0 ; 
+ 34b   :  0 ; 
+ 34c   :  0 ; 
+ 34d   :  0 ; 
+ 34e   :  0 ; 
+ 34f   :  0 ; 
+ 350   :  0 ; 
+ 351   :  0 ; 
+ 352   :  0 ; 
+ 353   :  0 ; 
+ 354   :  0 ; 
+ 355   :  0 ; 
+ 356   :  0 ; 
+ 357   :  0 ; 
+ 358   :  0 ; 
+ 359   :  0 ; 
+ 35a   :  0 ; 
+ 35b   :  0 ; 
+ 35c   :  0 ; 
+ 35d   :  0 ; 
+ 35e   :  0 ; 
+ 35f   :  0 ; 
+ 360   :  0 ; 
+ 361   :  0 ; 
+ 362   :  0 ; 
+ 363   :  0 ; 
+ 364   :  0 ; 
+ 365   :  0 ; 
+ 366   :  0 ; 
+ 367   :  0 ; 
+ 368   :  0 ; 
+ 369   :  0 ; 
+ 36a   :  0 ; 
+ 36b   :  0 ; 
+ 36c   :  0 ; 
+ 36d   :  0 ; 
+ 36e   :  0 ; 
+ 36f   :  0 ; 
+ 370   :  0 ; 
+ 371   :  0 ; 
+ 372   :  0 ; 
+ 373   :  0 ; 
+ 374   :  0 ; 
+ 375   :  0 ; 
+ 376   :  0 ; 
+ 377   :  0 ; 
+ 378   :  0 ; 
+ 379   :  0 ; 
+ 37a   :  0 ; 
+ 37b   :  0 ; 
+ 37c   :  0 ; 
+ 37d   :  0 ; 
+ 37e   :  0 ; 
+ 37f   :  0 ; 
+ 380   :  0 ; 
+ 381   :  0 ; 
+ 382   :  0 ; 
+ 383   :  0 ; 
+ 384   :  0 ; 
+ 385   :  0 ; 
+ 386   :  0 ; 
+ 387   :  0 ; 
+ 388   :  0 ; 
+ 389   :  0 ; 
+ 38a   :  0 ; 
+ 38b   :  0 ; 
+ 38c   :  0 ; 
+ 38d   :  0 ; 
+ 38e   :  0 ; 
+ 38f   :  0 ; 
+ 390   :  0 ; 
+ 391   :  0 ; 
+ 392   :  0 ; 
+ 393   :  0 ; 
+ 394   :  0 ; 
+ 395   :  0 ; 
+ 396   :  0 ; 
+ 397   :  0 ; 
+ 398   :  0 ; 
+ 399   :  0 ; 
+ 39a   :  0 ; 
+ 39b   :  0 ; 
+ 39c   :  0 ; 
+ 39d   :  0 ; 
+ 39e   :  0 ; 
+ 39f   :  0 ; 
+ 3a0   :  0 ; 
+ 3a1   :  0 ; 
+ 3a2   :  0 ; 
+ 3a3   :  0 ; 
+ 3a4   :  0 ; 
+ 3a5   :  0 ; 
+ 3a6   :  0 ; 
+ 3a7   :  0 ; 
+ 3a8   :  0 ; 
+ 3a9   :  0 ; 
+ 3aa   :  0 ; 
+ 3ab   :  0 ; 
+ 3ac   :  0 ; 
+ 3ad   :  0 ; 
+ 3ae   :  0 ; 
+ 3af   :  0 ; 
+ 3b0   :  0 ; 
+ 3b1   :  0 ; 
+ 3b2   :  0 ; 
+ 3b3   :  0 ; 
+ 3b4   :  0 ; 
+ 3b5   :  0 ; 
+ 3b6   :  0 ; 
+ 3b7   :  0 ; 
+ 3b8   :  0 ; 
+ 3b9   :  0 ; 
+ 3ba   :  0 ; 
+ 3bb   :  0 ; 
+ 3bc   :  0 ; 
+ 3bd   :  0 ; 
+ 3be   :  0 ; 
+ 3bf   :  0 ; 
+ 3c0   :  0 ; 
+ 3c1   :  0 ; 
+ 3c2   :  0 ; 
+ 3c3   :  0 ; 
+ 3c4   :  0 ; 
+ 3c5   :  0 ; 
+ 3c6   :  0 ; 
+ 3c7   :  0 ; 
+ 3c8   :  0 ; 
+ 3c9   :  0 ; 
+ 3ca   :  0 ; 
+ 3cb   :  0 ; 
+ 3cc   :  0 ; 
+ 3cd   :  0 ; 
+ 3ce   :  0 ; 
+ 3cf   :  0 ; 
+ 3d0   :  0 ; 
+ 3d1   :  0 ; 
+ 3d2   :  0 ; 
+ 3d3   :  0 ; 
+ 3d4   :  0 ; 
+ 3d5   :  0 ; 
+ 3d6   :  0 ; 
+ 3d7   :  0 ; 
+ 3d8   :  0 ; 
+ 3d9   :  0 ; 
+ 3da   :  0 ; 
+ 3db   :  0 ; 
+ 3dc   :  0 ; 
+ 3dd   :  0 ; 
+ 3de   :  0 ; 
+ 3df   :  0 ; 
+ 3e0   :  0 ; 
+ 3e1   :  0 ; 
+ 3e2   :  0 ; 
+ 3e3   :  0 ; 
+ 3e4   :  0 ; 
+ 3e5   :  0 ; 
+ 3e6   :  0 ; 
+ 3e7   :  0 ; 
+ 3e8   :  0 ; 
+ 3e9   :  0 ; 
+ 3ea   :  0 ; 
+ 3eb   :  0 ; 
+ 3ec   :  0 ; 
+ 3ed   :  0 ; 
+ 3ee   :  0 ; 
+ 3ef   :  0 ; 
+ 3f0   :  0 ; 
+ 3f1   :  0 ; 
+ 3f2   :  0 ; 
+ 3f3   :  0 ; 
+ 3f4   :  0 ; 
+ 3f5   :  0 ; 
+ 3f6   :  0 ; 
+ 3f7   :  0 ; 
+ 3f8   :  0 ; 
+ 3f9   :  0 ; 
+ 3fa   :  0 ; 
+ 3fb   :  0 ; 
+ 3fc   :  0 ; 
+ 3fd   :  0 ; 
+ 3fe   :  0 ; 
+ 3ff   :  0 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_1.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_1.mif
new file mode 100644
index 0000000000000000000000000000000000000000..2187ea619609b4d5bf4cbab9455bc44477806d8e
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_1.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3ff3d ; 
+ 1   :  3ff3f ; 
+ 2   :  3ff40 ; 
+ 3   :  3ff42 ; 
+ 4   :  3ff43 ; 
+ 5   :  3ff45 ; 
+ 6   :  3ff46 ; 
+ 7   :  3ff48 ; 
+ 8   :  3ff49 ; 
+ 9   :  3ff4a ; 
+ a   :  3ff4c ; 
+ b   :  3ff4d ; 
+ c   :  3ff4f ; 
+ d   :  3ff50 ; 
+ e   :  3ff51 ; 
+ f   :  3ff53 ; 
+ 10   :  3ff54 ; 
+ 11   :  3ff56 ; 
+ 12   :  3ff57 ; 
+ 13   :  3ff59 ; 
+ 14   :  3ff5a ; 
+ 15   :  3ff5b ; 
+ 16   :  3ff5d ; 
+ 17   :  3ff5e ; 
+ 18   :  3ff5f ; 
+ 19   :  3ff61 ; 
+ 1a   :  3ff62 ; 
+ 1b   :  3ff64 ; 
+ 1c   :  3ff65 ; 
+ 1d   :  3ff66 ; 
+ 1e   :  3ff68 ; 
+ 1f   :  3ff69 ; 
+ 20   :  3ff6b ; 
+ 21   :  3ff6c ; 
+ 22   :  3ff6d ; 
+ 23   :  3ff6f ; 
+ 24   :  3ff70 ; 
+ 25   :  3ff71 ; 
+ 26   :  3ff73 ; 
+ 27   :  3ff74 ; 
+ 28   :  3ff75 ; 
+ 29   :  3ff77 ; 
+ 2a   :  3ff78 ; 
+ 2b   :  3ff79 ; 
+ 2c   :  3ff7b ; 
+ 2d   :  3ff7c ; 
+ 2e   :  3ff7d ; 
+ 2f   :  3ff7f ; 
+ 30   :  3ff80 ; 
+ 31   :  3ff81 ; 
+ 32   :  3ff83 ; 
+ 33   :  3ff84 ; 
+ 34   :  3ff85 ; 
+ 35   :  3ff87 ; 
+ 36   :  3ff88 ; 
+ 37   :  3ff89 ; 
+ 38   :  3ff8b ; 
+ 39   :  3ff8c ; 
+ 3a   :  3ff8d ; 
+ 3b   :  3ff8f ; 
+ 3c   :  3ff90 ; 
+ 3d   :  3ff91 ; 
+ 3e   :  3ff92 ; 
+ 3f   :  3ff94 ; 
+ 40   :  3ff95 ; 
+ 41   :  3ff96 ; 
+ 42   :  3ff98 ; 
+ 43   :  3ff99 ; 
+ 44   :  3ff9a ; 
+ 45   :  3ff9b ; 
+ 46   :  3ff9d ; 
+ 47   :  3ff9e ; 
+ 48   :  3ff9f ; 
+ 49   :  3ffa0 ; 
+ 4a   :  3ffa2 ; 
+ 4b   :  3ffa3 ; 
+ 4c   :  3ffa4 ; 
+ 4d   :  3ffa5 ; 
+ 4e   :  3ffa7 ; 
+ 4f   :  3ffa8 ; 
+ 50   :  3ffa9 ; 
+ 51   :  3ffaa ; 
+ 52   :  3ffac ; 
+ 53   :  3ffad ; 
+ 54   :  3ffae ; 
+ 55   :  3ffaf ; 
+ 56   :  3ffb1 ; 
+ 57   :  3ffb2 ; 
+ 58   :  3ffb3 ; 
+ 59   :  3ffb4 ; 
+ 5a   :  3ffb5 ; 
+ 5b   :  3ffb7 ; 
+ 5c   :  3ffb8 ; 
+ 5d   :  3ffb9 ; 
+ 5e   :  3ffba ; 
+ 5f   :  3ffbb ; 
+ 60   :  3ffbd ; 
+ 61   :  3ffbe ; 
+ 62   :  3ffbf ; 
+ 63   :  3ffc0 ; 
+ 64   :  3ffc1 ; 
+ 65   :  3ffc3 ; 
+ 66   :  3ffc4 ; 
+ 67   :  3ffc5 ; 
+ 68   :  3ffc6 ; 
+ 69   :  3ffc7 ; 
+ 6a   :  3ffc8 ; 
+ 6b   :  3ffca ; 
+ 6c   :  3ffcb ; 
+ 6d   :  3ffcc ; 
+ 6e   :  3ffcd ; 
+ 6f   :  3ffce ; 
+ 70   :  3ffcf ; 
+ 71   :  3ffd1 ; 
+ 72   :  3ffd2 ; 
+ 73   :  3ffd3 ; 
+ 74   :  3ffd4 ; 
+ 75   :  3ffd5 ; 
+ 76   :  3ffd6 ; 
+ 77   :  3ffd7 ; 
+ 78   :  3ffd9 ; 
+ 79   :  3ffda ; 
+ 7a   :  3ffdb ; 
+ 7b   :  3ffdc ; 
+ 7c   :  3ffdd ; 
+ 7d   :  3ffde ; 
+ 7e   :  3ffdf ; 
+ 7f   :  3ffe0 ; 
+ 80   :  3ffe1 ; 
+ 81   :  3ffe3 ; 
+ 82   :  3ffe4 ; 
+ 83   :  3ffe5 ; 
+ 84   :  3ffe6 ; 
+ 85   :  3ffe7 ; 
+ 86   :  3ffe8 ; 
+ 87   :  3ffe9 ; 
+ 88   :  3ffea ; 
+ 89   :  3ffeb ; 
+ 8a   :  3ffec ; 
+ 8b   :  3ffed ; 
+ 8c   :  3ffee ; 
+ 8d   :  3fff0 ; 
+ 8e   :  3fff1 ; 
+ 8f   :  3fff2 ; 
+ 90   :  3fff3 ; 
+ 91   :  3fff4 ; 
+ 92   :  3fff5 ; 
+ 93   :  3fff6 ; 
+ 94   :  3fff7 ; 
+ 95   :  3fff8 ; 
+ 96   :  3fff9 ; 
+ 97   :  3fffa ; 
+ 98   :  3fffb ; 
+ 99   :  3fffc ; 
+ 9a   :  3fffd ; 
+ 9b   :  3fffe ; 
+ 9c   :  3ffff ; 
+ 9d   :  0 ; 
+ 9e   :  1 ; 
+ 9f   :  2 ; 
+ a0   :  3 ; 
+ a1   :  4 ; 
+ a2   :  5 ; 
+ a3   :  6 ; 
+ a4   :  7 ; 
+ a5   :  8 ; 
+ a6   :  9 ; 
+ a7   :  a ; 
+ a8   :  b ; 
+ a9   :  c ; 
+ aa   :  d ; 
+ ab   :  e ; 
+ ac   :  f ; 
+ ad   :  10 ; 
+ ae   :  11 ; 
+ af   :  12 ; 
+ b0   :  13 ; 
+ b1   :  14 ; 
+ b2   :  15 ; 
+ b3   :  16 ; 
+ b4   :  17 ; 
+ b5   :  18 ; 
+ b6   :  19 ; 
+ b7   :  1a ; 
+ b8   :  1a ; 
+ b9   :  1b ; 
+ ba   :  1c ; 
+ bb   :  1d ; 
+ bc   :  1e ; 
+ bd   :  1f ; 
+ be   :  20 ; 
+ bf   :  21 ; 
+ c0   :  22 ; 
+ c1   :  23 ; 
+ c2   :  24 ; 
+ c3   :  25 ; 
+ c4   :  25 ; 
+ c5   :  26 ; 
+ c6   :  27 ; 
+ c7   :  28 ; 
+ c8   :  29 ; 
+ c9   :  2a ; 
+ ca   :  2b ; 
+ cb   :  2c ; 
+ cc   :  2c ; 
+ cd   :  2d ; 
+ ce   :  2e ; 
+ cf   :  2f ; 
+ d0   :  30 ; 
+ d1   :  31 ; 
+ d2   :  32 ; 
+ d3   :  32 ; 
+ d4   :  33 ; 
+ d5   :  34 ; 
+ d6   :  35 ; 
+ d7   :  36 ; 
+ d8   :  37 ; 
+ d9   :  38 ; 
+ da   :  38 ; 
+ db   :  39 ; 
+ dc   :  3a ; 
+ dd   :  3b ; 
+ de   :  3c ; 
+ df   :  3c ; 
+ e0   :  3d ; 
+ e1   :  3e ; 
+ e2   :  3f ; 
+ e3   :  40 ; 
+ e4   :  40 ; 
+ e5   :  41 ; 
+ e6   :  42 ; 
+ e7   :  43 ; 
+ e8   :  44 ; 
+ e9   :  44 ; 
+ ea   :  45 ; 
+ eb   :  46 ; 
+ ec   :  47 ; 
+ ed   :  47 ; 
+ ee   :  48 ; 
+ ef   :  49 ; 
+ f0   :  4a ; 
+ f1   :  4b ; 
+ f2   :  4b ; 
+ f3   :  4c ; 
+ f4   :  4d ; 
+ f5   :  4e ; 
+ f6   :  4e ; 
+ f7   :  4f ; 
+ f8   :  50 ; 
+ f9   :  50 ; 
+ fa   :  51 ; 
+ fb   :  52 ; 
+ fc   :  53 ; 
+ fd   :  53 ; 
+ fe   :  54 ; 
+ ff   :  55 ; 
+ 100   :  56 ; 
+ 101   :  56 ; 
+ 102   :  57 ; 
+ 103   :  58 ; 
+ 104   :  58 ; 
+ 105   :  59 ; 
+ 106   :  5a ; 
+ 107   :  5a ; 
+ 108   :  5b ; 
+ 109   :  5c ; 
+ 10a   :  5d ; 
+ 10b   :  5d ; 
+ 10c   :  5e ; 
+ 10d   :  5f ; 
+ 10e   :  5f ; 
+ 10f   :  60 ; 
+ 110   :  61 ; 
+ 111   :  61 ; 
+ 112   :  62 ; 
+ 113   :  63 ; 
+ 114   :  63 ; 
+ 115   :  64 ; 
+ 116   :  64 ; 
+ 117   :  65 ; 
+ 118   :  66 ; 
+ 119   :  66 ; 
+ 11a   :  67 ; 
+ 11b   :  68 ; 
+ 11c   :  68 ; 
+ 11d   :  69 ; 
+ 11e   :  6a ; 
+ 11f   :  6a ; 
+ 120   :  6b ; 
+ 121   :  6b ; 
+ 122   :  6c ; 
+ 123   :  6d ; 
+ 124   :  6d ; 
+ 125   :  6e ; 
+ 126   :  6e ; 
+ 127   :  6f ; 
+ 128   :  70 ; 
+ 129   :  70 ; 
+ 12a   :  71 ; 
+ 12b   :  71 ; 
+ 12c   :  72 ; 
+ 12d   :  72 ; 
+ 12e   :  73 ; 
+ 12f   :  74 ; 
+ 130   :  74 ; 
+ 131   :  75 ; 
+ 132   :  75 ; 
+ 133   :  76 ; 
+ 134   :  76 ; 
+ 135   :  77 ; 
+ 136   :  78 ; 
+ 137   :  78 ; 
+ 138   :  79 ; 
+ 139   :  79 ; 
+ 13a   :  7a ; 
+ 13b   :  7a ; 
+ 13c   :  7b ; 
+ 13d   :  7b ; 
+ 13e   :  7c ; 
+ 13f   :  7c ; 
+ 140   :  7d ; 
+ 141   :  7d ; 
+ 142   :  7e ; 
+ 143   :  7e ; 
+ 144   :  7f ; 
+ 145   :  7f ; 
+ 146   :  80 ; 
+ 147   :  80 ; 
+ 148   :  81 ; 
+ 149   :  81 ; 
+ 14a   :  82 ; 
+ 14b   :  82 ; 
+ 14c   :  83 ; 
+ 14d   :  83 ; 
+ 14e   :  84 ; 
+ 14f   :  84 ; 
+ 150   :  85 ; 
+ 151   :  85 ; 
+ 152   :  86 ; 
+ 153   :  86 ; 
+ 154   :  87 ; 
+ 155   :  87 ; 
+ 156   :  88 ; 
+ 157   :  88 ; 
+ 158   :  89 ; 
+ 159   :  89 ; 
+ 15a   :  89 ; 
+ 15b   :  8a ; 
+ 15c   :  8a ; 
+ 15d   :  8b ; 
+ 15e   :  8b ; 
+ 15f   :  8c ; 
+ 160   :  8c ; 
+ 161   :  8d ; 
+ 162   :  8d ; 
+ 163   :  8d ; 
+ 164   :  8e ; 
+ 165   :  8e ; 
+ 166   :  8f ; 
+ 167   :  8f ; 
+ 168   :  8f ; 
+ 169   :  90 ; 
+ 16a   :  90 ; 
+ 16b   :  91 ; 
+ 16c   :  91 ; 
+ 16d   :  91 ; 
+ 16e   :  92 ; 
+ 16f   :  92 ; 
+ 170   :  93 ; 
+ 171   :  93 ; 
+ 172   :  93 ; 
+ 173   :  94 ; 
+ 174   :  94 ; 
+ 175   :  95 ; 
+ 176   :  95 ; 
+ 177   :  95 ; 
+ 178   :  96 ; 
+ 179   :  96 ; 
+ 17a   :  96 ; 
+ 17b   :  97 ; 
+ 17c   :  97 ; 
+ 17d   :  97 ; 
+ 17e   :  98 ; 
+ 17f   :  98 ; 
+ 180   :  99 ; 
+ 181   :  99 ; 
+ 182   :  99 ; 
+ 183   :  9a ; 
+ 184   :  9a ; 
+ 185   :  9a ; 
+ 186   :  9b ; 
+ 187   :  9b ; 
+ 188   :  9b ; 
+ 189   :  9c ; 
+ 18a   :  9c ; 
+ 18b   :  9c ; 
+ 18c   :  9c ; 
+ 18d   :  9d ; 
+ 18e   :  9d ; 
+ 18f   :  9d ; 
+ 190   :  9e ; 
+ 191   :  9e ; 
+ 192   :  9e ; 
+ 193   :  9f ; 
+ 194   :  9f ; 
+ 195   :  9f ; 
+ 196   :  a0 ; 
+ 197   :  a0 ; 
+ 198   :  a0 ; 
+ 199   :  a0 ; 
+ 19a   :  a1 ; 
+ 19b   :  a1 ; 
+ 19c   :  a1 ; 
+ 19d   :  a1 ; 
+ 19e   :  a2 ; 
+ 19f   :  a2 ; 
+ 1a0   :  a2 ; 
+ 1a1   :  a3 ; 
+ 1a2   :  a3 ; 
+ 1a3   :  a3 ; 
+ 1a4   :  a3 ; 
+ 1a5   :  a4 ; 
+ 1a6   :  a4 ; 
+ 1a7   :  a4 ; 
+ 1a8   :  a4 ; 
+ 1a9   :  a5 ; 
+ 1aa   :  a5 ; 
+ 1ab   :  a5 ; 
+ 1ac   :  a5 ; 
+ 1ad   :  a6 ; 
+ 1ae   :  a6 ; 
+ 1af   :  a6 ; 
+ 1b0   :  a6 ; 
+ 1b1   :  a6 ; 
+ 1b2   :  a7 ; 
+ 1b3   :  a7 ; 
+ 1b4   :  a7 ; 
+ 1b5   :  a7 ; 
+ 1b6   :  a8 ; 
+ 1b7   :  a8 ; 
+ 1b8   :  a8 ; 
+ 1b9   :  a8 ; 
+ 1ba   :  a8 ; 
+ 1bb   :  a9 ; 
+ 1bc   :  a9 ; 
+ 1bd   :  a9 ; 
+ 1be   :  a9 ; 
+ 1bf   :  a9 ; 
+ 1c0   :  aa ; 
+ 1c1   :  aa ; 
+ 1c2   :  aa ; 
+ 1c3   :  aa ; 
+ 1c4   :  aa ; 
+ 1c5   :  aa ; 
+ 1c6   :  ab ; 
+ 1c7   :  ab ; 
+ 1c8   :  ab ; 
+ 1c9   :  ab ; 
+ 1ca   :  ab ; 
+ 1cb   :  ab ; 
+ 1cc   :  ac ; 
+ 1cd   :  ac ; 
+ 1ce   :  ac ; 
+ 1cf   :  ac ; 
+ 1d0   :  ac ; 
+ 1d1   :  ac ; 
+ 1d2   :  ad ; 
+ 1d3   :  ad ; 
+ 1d4   :  ad ; 
+ 1d5   :  ad ; 
+ 1d6   :  ad ; 
+ 1d7   :  ad ; 
+ 1d8   :  ad ; 
+ 1d9   :  ae ; 
+ 1da   :  ae ; 
+ 1db   :  ae ; 
+ 1dc   :  ae ; 
+ 1dd   :  ae ; 
+ 1de   :  ae ; 
+ 1df   :  ae ; 
+ 1e0   :  ae ; 
+ 1e1   :  af ; 
+ 1e2   :  af ; 
+ 1e3   :  af ; 
+ 1e4   :  af ; 
+ 1e5   :  af ; 
+ 1e6   :  af ; 
+ 1e7   :  af ; 
+ 1e8   :  af ; 
+ 1e9   :  af ; 
+ 1ea   :  af ; 
+ 1eb   :  b0 ; 
+ 1ec   :  b0 ; 
+ 1ed   :  b0 ; 
+ 1ee   :  b0 ; 
+ 1ef   :  b0 ; 
+ 1f0   :  b0 ; 
+ 1f1   :  b0 ; 
+ 1f2   :  b0 ; 
+ 1f3   :  b0 ; 
+ 1f4   :  b0 ; 
+ 1f5   :  b0 ; 
+ 1f6   :  b1 ; 
+ 1f7   :  b1 ; 
+ 1f8   :  b1 ; 
+ 1f9   :  b1 ; 
+ 1fa   :  b1 ; 
+ 1fb   :  b1 ; 
+ 1fc   :  b1 ; 
+ 1fd   :  b1 ; 
+ 1fe   :  b1 ; 
+ 1ff   :  b1 ; 
+ 200   :  b1 ; 
+ 201   :  b1 ; 
+ 202   :  b1 ; 
+ 203   :  b1 ; 
+ 204   :  b1 ; 
+ 205   :  b1 ; 
+ 206   :  b1 ; 
+ 207   :  b1 ; 
+ 208   :  b2 ; 
+ 209   :  b2 ; 
+ 20a   :  b2 ; 
+ 20b   :  b2 ; 
+ 20c   :  b2 ; 
+ 20d   :  b2 ; 
+ 20e   :  b2 ; 
+ 20f   :  b2 ; 
+ 210   :  b2 ; 
+ 211   :  b2 ; 
+ 212   :  b2 ; 
+ 213   :  b2 ; 
+ 214   :  b2 ; 
+ 215   :  b2 ; 
+ 216   :  b2 ; 
+ 217   :  b2 ; 
+ 218   :  b2 ; 
+ 219   :  b2 ; 
+ 21a   :  b2 ; 
+ 21b   :  b2 ; 
+ 21c   :  b2 ; 
+ 21d   :  b2 ; 
+ 21e   :  b2 ; 
+ 21f   :  b2 ; 
+ 220   :  b2 ; 
+ 221   :  b2 ; 
+ 222   :  b2 ; 
+ 223   :  b2 ; 
+ 224   :  b2 ; 
+ 225   :  b2 ; 
+ 226   :  b2 ; 
+ 227   :  b2 ; 
+ 228   :  b2 ; 
+ 229   :  b2 ; 
+ 22a   :  b2 ; 
+ 22b   :  b2 ; 
+ 22c   :  b2 ; 
+ 22d   :  b2 ; 
+ 22e   :  b2 ; 
+ 22f   :  b2 ; 
+ 230   :  b2 ; 
+ 231   :  b2 ; 
+ 232   :  b2 ; 
+ 233   :  b2 ; 
+ 234   :  b2 ; 
+ 235   :  b1 ; 
+ 236   :  b1 ; 
+ 237   :  b1 ; 
+ 238   :  b1 ; 
+ 239   :  b1 ; 
+ 23a   :  b1 ; 
+ 23b   :  b1 ; 
+ 23c   :  b1 ; 
+ 23d   :  b1 ; 
+ 23e   :  b1 ; 
+ 23f   :  b1 ; 
+ 240   :  b1 ; 
+ 241   :  b1 ; 
+ 242   :  b1 ; 
+ 243   :  b1 ; 
+ 244   :  b1 ; 
+ 245   :  b1 ; 
+ 246   :  b1 ; 
+ 247   :  b1 ; 
+ 248   :  b0 ; 
+ 249   :  b0 ; 
+ 24a   :  b0 ; 
+ 24b   :  b0 ; 
+ 24c   :  b0 ; 
+ 24d   :  b0 ; 
+ 24e   :  b0 ; 
+ 24f   :  b0 ; 
+ 250   :  b0 ; 
+ 251   :  b0 ; 
+ 252   :  b0 ; 
+ 253   :  b0 ; 
+ 254   :  b0 ; 
+ 255   :  af ; 
+ 256   :  af ; 
+ 257   :  af ; 
+ 258   :  af ; 
+ 259   :  af ; 
+ 25a   :  af ; 
+ 25b   :  af ; 
+ 25c   :  af ; 
+ 25d   :  af ; 
+ 25e   :  af ; 
+ 25f   :  af ; 
+ 260   :  ae ; 
+ 261   :  ae ; 
+ 262   :  ae ; 
+ 263   :  ae ; 
+ 264   :  ae ; 
+ 265   :  ae ; 
+ 266   :  ae ; 
+ 267   :  ae ; 
+ 268   :  ae ; 
+ 269   :  ad ; 
+ 26a   :  ad ; 
+ 26b   :  ad ; 
+ 26c   :  ad ; 
+ 26d   :  ad ; 
+ 26e   :  ad ; 
+ 26f   :  ad ; 
+ 270   :  ad ; 
+ 271   :  ad ; 
+ 272   :  ac ; 
+ 273   :  ac ; 
+ 274   :  ac ; 
+ 275   :  ac ; 
+ 276   :  ac ; 
+ 277   :  ac ; 
+ 278   :  ac ; 
+ 279   :  ab ; 
+ 27a   :  ab ; 
+ 27b   :  ab ; 
+ 27c   :  ab ; 
+ 27d   :  ab ; 
+ 27e   :  ab ; 
+ 27f   :  ab ; 
+ 280   :  ab ; 
+ 281   :  aa ; 
+ 282   :  aa ; 
+ 283   :  aa ; 
+ 284   :  aa ; 
+ 285   :  aa ; 
+ 286   :  aa ; 
+ 287   :  aa ; 
+ 288   :  a9 ; 
+ 289   :  a9 ; 
+ 28a   :  a9 ; 
+ 28b   :  a9 ; 
+ 28c   :  a9 ; 
+ 28d   :  a9 ; 
+ 28e   :  a8 ; 
+ 28f   :  a8 ; 
+ 290   :  a8 ; 
+ 291   :  a8 ; 
+ 292   :  a8 ; 
+ 293   :  a8 ; 
+ 294   :  a7 ; 
+ 295   :  a7 ; 
+ 296   :  a7 ; 
+ 297   :  a7 ; 
+ 298   :  a7 ; 
+ 299   :  a7 ; 
+ 29a   :  a6 ; 
+ 29b   :  a6 ; 
+ 29c   :  a6 ; 
+ 29d   :  a6 ; 
+ 29e   :  a6 ; 
+ 29f   :  a6 ; 
+ 2a0   :  a5 ; 
+ 2a1   :  a5 ; 
+ 2a2   :  a5 ; 
+ 2a3   :  a5 ; 
+ 2a4   :  a5 ; 
+ 2a5   :  a5 ; 
+ 2a6   :  a4 ; 
+ 2a7   :  a4 ; 
+ 2a8   :  a4 ; 
+ 2a9   :  a4 ; 
+ 2aa   :  a4 ; 
+ 2ab   :  a3 ; 
+ 2ac   :  a3 ; 
+ 2ad   :  a3 ; 
+ 2ae   :  a3 ; 
+ 2af   :  a3 ; 
+ 2b0   :  a3 ; 
+ 2b1   :  a2 ; 
+ 2b2   :  a2 ; 
+ 2b3   :  a2 ; 
+ 2b4   :  a2 ; 
+ 2b5   :  a2 ; 
+ 2b6   :  a1 ; 
+ 2b7   :  a1 ; 
+ 2b8   :  a1 ; 
+ 2b9   :  a1 ; 
+ 2ba   :  a1 ; 
+ 2bb   :  a0 ; 
+ 2bc   :  a0 ; 
+ 2bd   :  a0 ; 
+ 2be   :  a0 ; 
+ 2bf   :  a0 ; 
+ 2c0   :  9f ; 
+ 2c1   :  9f ; 
+ 2c2   :  9f ; 
+ 2c3   :  9f ; 
+ 2c4   :  9f ; 
+ 2c5   :  9e ; 
+ 2c6   :  9e ; 
+ 2c7   :  9e ; 
+ 2c8   :  9e ; 
+ 2c9   :  9e ; 
+ 2ca   :  9d ; 
+ 2cb   :  9d ; 
+ 2cc   :  9d ; 
+ 2cd   :  9d ; 
+ 2ce   :  9c ; 
+ 2cf   :  9c ; 
+ 2d0   :  9c ; 
+ 2d1   :  9c ; 
+ 2d2   :  9c ; 
+ 2d3   :  9b ; 
+ 2d4   :  9b ; 
+ 2d5   :  9b ; 
+ 2d6   :  9b ; 
+ 2d7   :  9a ; 
+ 2d8   :  9a ; 
+ 2d9   :  9a ; 
+ 2da   :  9a ; 
+ 2db   :  9a ; 
+ 2dc   :  99 ; 
+ 2dd   :  99 ; 
+ 2de   :  99 ; 
+ 2df   :  99 ; 
+ 2e0   :  98 ; 
+ 2e1   :  98 ; 
+ 2e2   :  98 ; 
+ 2e3   :  98 ; 
+ 2e4   :  98 ; 
+ 2e5   :  97 ; 
+ 2e6   :  97 ; 
+ 2e7   :  97 ; 
+ 2e8   :  97 ; 
+ 2e9   :  96 ; 
+ 2ea   :  96 ; 
+ 2eb   :  96 ; 
+ 2ec   :  96 ; 
+ 2ed   :  95 ; 
+ 2ee   :  95 ; 
+ 2ef   :  95 ; 
+ 2f0   :  95 ; 
+ 2f1   :  95 ; 
+ 2f2   :  94 ; 
+ 2f3   :  94 ; 
+ 2f4   :  94 ; 
+ 2f5   :  94 ; 
+ 2f6   :  93 ; 
+ 2f7   :  93 ; 
+ 2f8   :  93 ; 
+ 2f9   :  93 ; 
+ 2fa   :  92 ; 
+ 2fb   :  92 ; 
+ 2fc   :  92 ; 
+ 2fd   :  92 ; 
+ 2fe   :  91 ; 
+ 2ff   :  91 ; 
+ 300   :  91 ; 
+ 301   :  91 ; 
+ 302   :  90 ; 
+ 303   :  90 ; 
+ 304   :  90 ; 
+ 305   :  90 ; 
+ 306   :  8f ; 
+ 307   :  8f ; 
+ 308   :  8f ; 
+ 309   :  8f ; 
+ 30a   :  8e ; 
+ 30b   :  8e ; 
+ 30c   :  8e ; 
+ 30d   :  8e ; 
+ 30e   :  8d ; 
+ 30f   :  8d ; 
+ 310   :  8d ; 
+ 311   :  8d ; 
+ 312   :  8c ; 
+ 313   :  8c ; 
+ 314   :  8c ; 
+ 315   :  8c ; 
+ 316   :  8b ; 
+ 317   :  8b ; 
+ 318   :  8b ; 
+ 319   :  8b ; 
+ 31a   :  8a ; 
+ 31b   :  8a ; 
+ 31c   :  8a ; 
+ 31d   :  8a ; 
+ 31e   :  89 ; 
+ 31f   :  89 ; 
+ 320   :  89 ; 
+ 321   :  89 ; 
+ 322   :  88 ; 
+ 323   :  88 ; 
+ 324   :  88 ; 
+ 325   :  88 ; 
+ 326   :  87 ; 
+ 327   :  87 ; 
+ 328   :  87 ; 
+ 329   :  87 ; 
+ 32a   :  86 ; 
+ 32b   :  86 ; 
+ 32c   :  86 ; 
+ 32d   :  86 ; 
+ 32e   :  85 ; 
+ 32f   :  85 ; 
+ 330   :  85 ; 
+ 331   :  85 ; 
+ 332   :  84 ; 
+ 333   :  84 ; 
+ 334   :  84 ; 
+ 335   :  83 ; 
+ 336   :  83 ; 
+ 337   :  83 ; 
+ 338   :  83 ; 
+ 339   :  82 ; 
+ 33a   :  82 ; 
+ 33b   :  82 ; 
+ 33c   :  82 ; 
+ 33d   :  81 ; 
+ 33e   :  81 ; 
+ 33f   :  81 ; 
+ 340   :  81 ; 
+ 341   :  80 ; 
+ 342   :  80 ; 
+ 343   :  80 ; 
+ 344   :  7f ; 
+ 345   :  7f ; 
+ 346   :  7f ; 
+ 347   :  7f ; 
+ 348   :  7e ; 
+ 349   :  7e ; 
+ 34a   :  7e ; 
+ 34b   :  7e ; 
+ 34c   :  7d ; 
+ 34d   :  7d ; 
+ 34e   :  7d ; 
+ 34f   :  7d ; 
+ 350   :  7c ; 
+ 351   :  7c ; 
+ 352   :  7c ; 
+ 353   :  7b ; 
+ 354   :  7b ; 
+ 355   :  7b ; 
+ 356   :  7b ; 
+ 357   :  7a ; 
+ 358   :  7a ; 
+ 359   :  7a ; 
+ 35a   :  7a ; 
+ 35b   :  79 ; 
+ 35c   :  79 ; 
+ 35d   :  79 ; 
+ 35e   :  79 ; 
+ 35f   :  78 ; 
+ 360   :  78 ; 
+ 361   :  78 ; 
+ 362   :  77 ; 
+ 363   :  77 ; 
+ 364   :  77 ; 
+ 365   :  77 ; 
+ 366   :  76 ; 
+ 367   :  76 ; 
+ 368   :  76 ; 
+ 369   :  76 ; 
+ 36a   :  75 ; 
+ 36b   :  75 ; 
+ 36c   :  75 ; 
+ 36d   :  74 ; 
+ 36e   :  74 ; 
+ 36f   :  74 ; 
+ 370   :  74 ; 
+ 371   :  73 ; 
+ 372   :  73 ; 
+ 373   :  73 ; 
+ 374   :  73 ; 
+ 375   :  72 ; 
+ 376   :  72 ; 
+ 377   :  72 ; 
+ 378   :  71 ; 
+ 379   :  71 ; 
+ 37a   :  71 ; 
+ 37b   :  71 ; 
+ 37c   :  70 ; 
+ 37d   :  70 ; 
+ 37e   :  70 ; 
+ 37f   :  70 ; 
+ 380   :  6f ; 
+ 381   :  6f ; 
+ 382   :  6f ; 
+ 383   :  6f ; 
+ 384   :  6e ; 
+ 385   :  6e ; 
+ 386   :  6e ; 
+ 387   :  6d ; 
+ 388   :  6d ; 
+ 389   :  6d ; 
+ 38a   :  6d ; 
+ 38b   :  6c ; 
+ 38c   :  6c ; 
+ 38d   :  6c ; 
+ 38e   :  6c ; 
+ 38f   :  6b ; 
+ 390   :  6b ; 
+ 391   :  6b ; 
+ 392   :  6a ; 
+ 393   :  6a ; 
+ 394   :  6a ; 
+ 395   :  6a ; 
+ 396   :  69 ; 
+ 397   :  69 ; 
+ 398   :  69 ; 
+ 399   :  69 ; 
+ 39a   :  68 ; 
+ 39b   :  68 ; 
+ 39c   :  68 ; 
+ 39d   :  67 ; 
+ 39e   :  67 ; 
+ 39f   :  67 ; 
+ 3a0   :  67 ; 
+ 3a1   :  66 ; 
+ 3a2   :  66 ; 
+ 3a3   :  66 ; 
+ 3a4   :  66 ; 
+ 3a5   :  65 ; 
+ 3a6   :  65 ; 
+ 3a7   :  65 ; 
+ 3a8   :  65 ; 
+ 3a9   :  64 ; 
+ 3aa   :  64 ; 
+ 3ab   :  64 ; 
+ 3ac   :  63 ; 
+ 3ad   :  63 ; 
+ 3ae   :  63 ; 
+ 3af   :  63 ; 
+ 3b0   :  62 ; 
+ 3b1   :  62 ; 
+ 3b2   :  62 ; 
+ 3b3   :  62 ; 
+ 3b4   :  61 ; 
+ 3b5   :  61 ; 
+ 3b6   :  61 ; 
+ 3b7   :  61 ; 
+ 3b8   :  60 ; 
+ 3b9   :  60 ; 
+ 3ba   :  60 ; 
+ 3bb   :  5f ; 
+ 3bc   :  5f ; 
+ 3bd   :  5f ; 
+ 3be   :  5f ; 
+ 3bf   :  5e ; 
+ 3c0   :  5e ; 
+ 3c1   :  5e ; 
+ 3c2   :  5e ; 
+ 3c3   :  5d ; 
+ 3c4   :  5d ; 
+ 3c5   :  5d ; 
+ 3c6   :  5d ; 
+ 3c7   :  5c ; 
+ 3c8   :  5c ; 
+ 3c9   :  5c ; 
+ 3ca   :  5c ; 
+ 3cb   :  5b ; 
+ 3cc   :  5b ; 
+ 3cd   :  5b ; 
+ 3ce   :  5b ; 
+ 3cf   :  5a ; 
+ 3d0   :  5a ; 
+ 3d1   :  5a ; 
+ 3d2   :  59 ; 
+ 3d3   :  59 ; 
+ 3d4   :  59 ; 
+ 3d5   :  59 ; 
+ 3d6   :  58 ; 
+ 3d7   :  58 ; 
+ 3d8   :  58 ; 
+ 3d9   :  58 ; 
+ 3da   :  57 ; 
+ 3db   :  57 ; 
+ 3dc   :  57 ; 
+ 3dd   :  57 ; 
+ 3de   :  56 ; 
+ 3df   :  56 ; 
+ 3e0   :  56 ; 
+ 3e1   :  56 ; 
+ 3e2   :  55 ; 
+ 3e3   :  55 ; 
+ 3e4   :  55 ; 
+ 3e5   :  55 ; 
+ 3e6   :  54 ; 
+ 3e7   :  54 ; 
+ 3e8   :  54 ; 
+ 3e9   :  54 ; 
+ 3ea   :  53 ; 
+ 3eb   :  53 ; 
+ 3ec   :  53 ; 
+ 3ed   :  53 ; 
+ 3ee   :  52 ; 
+ 3ef   :  52 ; 
+ 3f0   :  52 ; 
+ 3f1   :  52 ; 
+ 3f2   :  51 ; 
+ 3f3   :  51 ; 
+ 3f4   :  51 ; 
+ 3f5   :  51 ; 
+ 3f6   :  50 ; 
+ 3f7   :  50 ; 
+ 3f8   :  50 ; 
+ 3f9   :  50 ; 
+ 3fa   :  4f ; 
+ 3fb   :  4f ; 
+ 3fc   :  4f ; 
+ 3fd   :  4f ; 
+ 3fe   :  4e ; 
+ 3ff   :  4e ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_10.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_10.mif
new file mode 100644
index 0000000000000000000000000000000000000000..40b6fdf41f1c47f3f3e157e7f4992848f3fdf251
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_10.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3fdd1 ; 
+ 1   :  3fde8 ; 
+ 2   :  3fdff ; 
+ 3   :  3fe16 ; 
+ 4   :  3fe2e ; 
+ 5   :  3fe45 ; 
+ 6   :  3fe5d ; 
+ 7   :  3fe74 ; 
+ 8   :  3fe8c ; 
+ 9   :  3fea3 ; 
+ a   :  3febb ; 
+ b   :  3fed3 ; 
+ c   :  3feea ; 
+ d   :  3ff02 ; 
+ e   :  3ff1a ; 
+ f   :  3ff31 ; 
+ 10   :  3ff49 ; 
+ 11   :  3ff61 ; 
+ 12   :  3ff79 ; 
+ 13   :  3ff91 ; 
+ 14   :  3ffa9 ; 
+ 15   :  3ffc1 ; 
+ 16   :  3ffd9 ; 
+ 17   :  3fff1 ; 
+ 18   :  9 ; 
+ 19   :  21 ; 
+ 1a   :  39 ; 
+ 1b   :  52 ; 
+ 1c   :  6a ; 
+ 1d   :  82 ; 
+ 1e   :  9a ; 
+ 1f   :  b3 ; 
+ 20   :  cb ; 
+ 21   :  e3 ; 
+ 22   :  fc ; 
+ 23   :  114 ; 
+ 24   :  12d ; 
+ 25   :  145 ; 
+ 26   :  15e ; 
+ 27   :  177 ; 
+ 28   :  18f ; 
+ 29   :  1a8 ; 
+ 2a   :  1c0 ; 
+ 2b   :  1d9 ; 
+ 2c   :  1f2 ; 
+ 2d   :  20b ; 
+ 2e   :  223 ; 
+ 2f   :  23c ; 
+ 30   :  255 ; 
+ 31   :  26e ; 
+ 32   :  287 ; 
+ 33   :  2a0 ; 
+ 34   :  2b9 ; 
+ 35   :  2d2 ; 
+ 36   :  2eb ; 
+ 37   :  304 ; 
+ 38   :  31d ; 
+ 39   :  336 ; 
+ 3a   :  34f ; 
+ 3b   :  369 ; 
+ 3c   :  382 ; 
+ 3d   :  39b ; 
+ 3e   :  3b4 ; 
+ 3f   :  3ce ; 
+ 40   :  3e7 ; 
+ 41   :  400 ; 
+ 42   :  41a ; 
+ 43   :  433 ; 
+ 44   :  44c ; 
+ 45   :  466 ; 
+ 46   :  47f ; 
+ 47   :  499 ; 
+ 48   :  4b2 ; 
+ 49   :  4cc ; 
+ 4a   :  4e5 ; 
+ 4b   :  4ff ; 
+ 4c   :  519 ; 
+ 4d   :  532 ; 
+ 4e   :  54c ; 
+ 4f   :  565 ; 
+ 50   :  57f ; 
+ 51   :  599 ; 
+ 52   :  5b3 ; 
+ 53   :  5cc ; 
+ 54   :  5e6 ; 
+ 55   :  600 ; 
+ 56   :  61a ; 
+ 57   :  634 ; 
+ 58   :  64e ; 
+ 59   :  667 ; 
+ 5a   :  681 ; 
+ 5b   :  69b ; 
+ 5c   :  6b5 ; 
+ 5d   :  6cf ; 
+ 5e   :  6e9 ; 
+ 5f   :  703 ; 
+ 60   :  71d ; 
+ 61   :  737 ; 
+ 62   :  751 ; 
+ 63   :  76b ; 
+ 64   :  786 ; 
+ 65   :  7a0 ; 
+ 66   :  7ba ; 
+ 67   :  7d4 ; 
+ 68   :  7ee ; 
+ 69   :  808 ; 
+ 6a   :  823 ; 
+ 6b   :  83d ; 
+ 6c   :  857 ; 
+ 6d   :  871 ; 
+ 6e   :  88c ; 
+ 6f   :  8a6 ; 
+ 70   :  8c0 ; 
+ 71   :  8da ; 
+ 72   :  8f5 ; 
+ 73   :  90f ; 
+ 74   :  929 ; 
+ 75   :  944 ; 
+ 76   :  95e ; 
+ 77   :  979 ; 
+ 78   :  993 ; 
+ 79   :  9ad ; 
+ 7a   :  9c8 ; 
+ 7b   :  9e2 ; 
+ 7c   :  9fd ; 
+ 7d   :  a17 ; 
+ 7e   :  a32 ; 
+ 7f   :  a4c ; 
+ 80   :  a67 ; 
+ 81   :  a81 ; 
+ 82   :  a9c ; 
+ 83   :  ab6 ; 
+ 84   :  ad1 ; 
+ 85   :  aeb ; 
+ 86   :  b06 ; 
+ 87   :  b21 ; 
+ 88   :  b3b ; 
+ 89   :  b56 ; 
+ 8a   :  b70 ; 
+ 8b   :  b8b ; 
+ 8c   :  ba6 ; 
+ 8d   :  bc0 ; 
+ 8e   :  bdb ; 
+ 8f   :  bf6 ; 
+ 90   :  c10 ; 
+ 91   :  c2b ; 
+ 92   :  c46 ; 
+ 93   :  c60 ; 
+ 94   :  c7b ; 
+ 95   :  c96 ; 
+ 96   :  cb0 ; 
+ 97   :  ccb ; 
+ 98   :  ce6 ; 
+ 99   :  d00 ; 
+ 9a   :  d1b ; 
+ 9b   :  d36 ; 
+ 9c   :  d51 ; 
+ 9d   :  d6b ; 
+ 9e   :  d86 ; 
+ 9f   :  da1 ; 
+ a0   :  dbc ; 
+ a1   :  dd6 ; 
+ a2   :  df1 ; 
+ a3   :  e0c ; 
+ a4   :  e27 ; 
+ a5   :  e41 ; 
+ a6   :  e5c ; 
+ a7   :  e77 ; 
+ a8   :  e92 ; 
+ a9   :  eac ; 
+ aa   :  ec7 ; 
+ ab   :  ee2 ; 
+ ac   :  efd ; 
+ ad   :  f17 ; 
+ ae   :  f32 ; 
+ af   :  f4d ; 
+ b0   :  f68 ; 
+ b1   :  f82 ; 
+ b2   :  f9d ; 
+ b3   :  fb8 ; 
+ b4   :  fd3 ; 
+ b5   :  fed ; 
+ b6   :  1008 ; 
+ b7   :  1023 ; 
+ b8   :  103d ; 
+ b9   :  1058 ; 
+ ba   :  1073 ; 
+ bb   :  108e ; 
+ bc   :  10a8 ; 
+ bd   :  10c3 ; 
+ be   :  10de ; 
+ bf   :  10f8 ; 
+ c0   :  1113 ; 
+ c1   :  112e ; 
+ c2   :  1149 ; 
+ c3   :  1163 ; 
+ c4   :  117e ; 
+ c5   :  1199 ; 
+ c6   :  11b3 ; 
+ c7   :  11ce ; 
+ c8   :  11e8 ; 
+ c9   :  1203 ; 
+ ca   :  121e ; 
+ cb   :  1238 ; 
+ cc   :  1253 ; 
+ cd   :  126e ; 
+ ce   :  1288 ; 
+ cf   :  12a3 ; 
+ d0   :  12bd ; 
+ d1   :  12d8 ; 
+ d2   :  12f2 ; 
+ d3   :  130d ; 
+ d4   :  1327 ; 
+ d5   :  1342 ; 
+ d6   :  135c ; 
+ d7   :  1377 ; 
+ d8   :  1391 ; 
+ d9   :  13ac ; 
+ da   :  13c6 ; 
+ db   :  13e1 ; 
+ dc   :  13fb ; 
+ dd   :  1416 ; 
+ de   :  1430 ; 
+ df   :  144a ; 
+ e0   :  1465 ; 
+ e1   :  147f ; 
+ e2   :  1499 ; 
+ e3   :  14b4 ; 
+ e4   :  14ce ; 
+ e5   :  14e8 ; 
+ e6   :  1503 ; 
+ e7   :  151d ; 
+ e8   :  1537 ; 
+ e9   :  1551 ; 
+ ea   :  156c ; 
+ eb   :  1586 ; 
+ ec   :  15a0 ; 
+ ed   :  15ba ; 
+ ee   :  15d4 ; 
+ ef   :  15ee ; 
+ f0   :  1608 ; 
+ f1   :  1623 ; 
+ f2   :  163d ; 
+ f3   :  1657 ; 
+ f4   :  1671 ; 
+ f5   :  168b ; 
+ f6   :  16a5 ; 
+ f7   :  16bf ; 
+ f8   :  16d8 ; 
+ f9   :  16f2 ; 
+ fa   :  170c ; 
+ fb   :  1726 ; 
+ fc   :  1740 ; 
+ fd   :  175a ; 
+ fe   :  1774 ; 
+ ff   :  178d ; 
+ 100   :  17a7 ; 
+ 101   :  17c1 ; 
+ 102   :  17db ; 
+ 103   :  17f4 ; 
+ 104   :  180e ; 
+ 105   :  1828 ; 
+ 106   :  1841 ; 
+ 107   :  185b ; 
+ 108   :  1874 ; 
+ 109   :  188e ; 
+ 10a   :  18a7 ; 
+ 10b   :  18c1 ; 
+ 10c   :  18da ; 
+ 10d   :  18f4 ; 
+ 10e   :  190d ; 
+ 10f   :  1926 ; 
+ 110   :  1940 ; 
+ 111   :  1959 ; 
+ 112   :  1972 ; 
+ 113   :  198c ; 
+ 114   :  19a5 ; 
+ 115   :  19be ; 
+ 116   :  19d7 ; 
+ 117   :  19f0 ; 
+ 118   :  1a09 ; 
+ 119   :  1a22 ; 
+ 11a   :  1a3b ; 
+ 11b   :  1a54 ; 
+ 11c   :  1a6d ; 
+ 11d   :  1a86 ; 
+ 11e   :  1a9f ; 
+ 11f   :  1ab8 ; 
+ 120   :  1ad1 ; 
+ 121   :  1aea ; 
+ 122   :  1b03 ; 
+ 123   :  1b1b ; 
+ 124   :  1b34 ; 
+ 125   :  1b4d ; 
+ 126   :  1b65 ; 
+ 127   :  1b7e ; 
+ 128   :  1b96 ; 
+ 129   :  1baf ; 
+ 12a   :  1bc7 ; 
+ 12b   :  1be0 ; 
+ 12c   :  1bf8 ; 
+ 12d   :  1c11 ; 
+ 12e   :  1c29 ; 
+ 12f   :  1c41 ; 
+ 130   :  1c5a ; 
+ 131   :  1c72 ; 
+ 132   :  1c8a ; 
+ 133   :  1ca2 ; 
+ 134   :  1cba ; 
+ 135   :  1cd2 ; 
+ 136   :  1cea ; 
+ 137   :  1d02 ; 
+ 138   :  1d1a ; 
+ 139   :  1d32 ; 
+ 13a   :  1d4a ; 
+ 13b   :  1d62 ; 
+ 13c   :  1d7a ; 
+ 13d   :  1d91 ; 
+ 13e   :  1da9 ; 
+ 13f   :  1dc1 ; 
+ 140   :  1dd8 ; 
+ 141   :  1df0 ; 
+ 142   :  1e08 ; 
+ 143   :  1e1f ; 
+ 144   :  1e37 ; 
+ 145   :  1e4e ; 
+ 146   :  1e65 ; 
+ 147   :  1e7d ; 
+ 148   :  1e94 ; 
+ 149   :  1eab ; 
+ 14a   :  1ec2 ; 
+ 14b   :  1ed9 ; 
+ 14c   :  1ef0 ; 
+ 14d   :  1f08 ; 
+ 14e   :  1f1f ; 
+ 14f   :  1f35 ; 
+ 150   :  1f4c ; 
+ 151   :  1f63 ; 
+ 152   :  1f7a ; 
+ 153   :  1f91 ; 
+ 154   :  1fa7 ; 
+ 155   :  1fbe ; 
+ 156   :  1fd5 ; 
+ 157   :  1feb ; 
+ 158   :  2002 ; 
+ 159   :  2018 ; 
+ 15a   :  202f ; 
+ 15b   :  2045 ; 
+ 15c   :  205b ; 
+ 15d   :  2072 ; 
+ 15e   :  2088 ; 
+ 15f   :  209e ; 
+ 160   :  20b4 ; 
+ 161   :  20ca ; 
+ 162   :  20e0 ; 
+ 163   :  20f6 ; 
+ 164   :  210c ; 
+ 165   :  2122 ; 
+ 166   :  2138 ; 
+ 167   :  214d ; 
+ 168   :  2163 ; 
+ 169   :  2179 ; 
+ 16a   :  218e ; 
+ 16b   :  21a4 ; 
+ 16c   :  21b9 ; 
+ 16d   :  21ce ; 
+ 16e   :  21e4 ; 
+ 16f   :  21f9 ; 
+ 170   :  220e ; 
+ 171   :  2224 ; 
+ 172   :  2239 ; 
+ 173   :  224e ; 
+ 174   :  2263 ; 
+ 175   :  2278 ; 
+ 176   :  228d ; 
+ 177   :  22a1 ; 
+ 178   :  22b6 ; 
+ 179   :  22cb ; 
+ 17a   :  22df ; 
+ 17b   :  22f4 ; 
+ 17c   :  2309 ; 
+ 17d   :  231d ; 
+ 17e   :  2331 ; 
+ 17f   :  2346 ; 
+ 180   :  235a ; 
+ 181   :  236e ; 
+ 182   :  2382 ; 
+ 183   :  2396 ; 
+ 184   :  23ab ; 
+ 185   :  23be ; 
+ 186   :  23d2 ; 
+ 187   :  23e6 ; 
+ 188   :  23fa ; 
+ 189   :  240e ; 
+ 18a   :  2421 ; 
+ 18b   :  2435 ; 
+ 18c   :  2448 ; 
+ 18d   :  245c ; 
+ 18e   :  246f ; 
+ 18f   :  2483 ; 
+ 190   :  2496 ; 
+ 191   :  24a9 ; 
+ 192   :  24bc ; 
+ 193   :  24cf ; 
+ 194   :  24e2 ; 
+ 195   :  24f5 ; 
+ 196   :  2508 ; 
+ 197   :  251b ; 
+ 198   :  252e ; 
+ 199   :  2540 ; 
+ 19a   :  2553 ; 
+ 19b   :  2565 ; 
+ 19c   :  2578 ; 
+ 19d   :  258a ; 
+ 19e   :  259c ; 
+ 19f   :  25af ; 
+ 1a0   :  25c1 ; 
+ 1a1   :  25d3 ; 
+ 1a2   :  25e5 ; 
+ 1a3   :  25f7 ; 
+ 1a4   :  2609 ; 
+ 1a5   :  261b ; 
+ 1a6   :  262c ; 
+ 1a7   :  263e ; 
+ 1a8   :  2650 ; 
+ 1a9   :  2661 ; 
+ 1aa   :  2672 ; 
+ 1ab   :  2684 ; 
+ 1ac   :  2695 ; 
+ 1ad   :  26a6 ; 
+ 1ae   :  26b8 ; 
+ 1af   :  26c9 ; 
+ 1b0   :  26da ; 
+ 1b1   :  26ea ; 
+ 1b2   :  26fb ; 
+ 1b3   :  270c ; 
+ 1b4   :  271d ; 
+ 1b5   :  272d ; 
+ 1b6   :  273e ; 
+ 1b7   :  274e ; 
+ 1b8   :  275f ; 
+ 1b9   :  276f ; 
+ 1ba   :  277f ; 
+ 1bb   :  278f ; 
+ 1bc   :  27a0 ; 
+ 1bd   :  27b0 ; 
+ 1be   :  27bf ; 
+ 1bf   :  27cf ; 
+ 1c0   :  27df ; 
+ 1c1   :  27ef ; 
+ 1c2   :  27fe ; 
+ 1c3   :  280e ; 
+ 1c4   :  281d ; 
+ 1c5   :  282d ; 
+ 1c6   :  283c ; 
+ 1c7   :  284b ; 
+ 1c8   :  285a ; 
+ 1c9   :  2869 ; 
+ 1ca   :  2878 ; 
+ 1cb   :  2887 ; 
+ 1cc   :  2896 ; 
+ 1cd   :  28a5 ; 
+ 1ce   :  28b3 ; 
+ 1cf   :  28c2 ; 
+ 1d0   :  28d0 ; 
+ 1d1   :  28df ; 
+ 1d2   :  28ed ; 
+ 1d3   :  28fb ; 
+ 1d4   :  2909 ; 
+ 1d5   :  2917 ; 
+ 1d6   :  2925 ; 
+ 1d7   :  2933 ; 
+ 1d8   :  2941 ; 
+ 1d9   :  294e ; 
+ 1da   :  295c ; 
+ 1db   :  2969 ; 
+ 1dc   :  2977 ; 
+ 1dd   :  2984 ; 
+ 1de   :  2992 ; 
+ 1df   :  299f ; 
+ 1e0   :  29ac ; 
+ 1e1   :  29b9 ; 
+ 1e2   :  29c6 ; 
+ 1e3   :  29d2 ; 
+ 1e4   :  29df ; 
+ 1e5   :  29ec ; 
+ 1e6   :  29f8 ; 
+ 1e7   :  2a05 ; 
+ 1e8   :  2a11 ; 
+ 1e9   :  2a1d ; 
+ 1ea   :  2a2a ; 
+ 1eb   :  2a36 ; 
+ 1ec   :  2a42 ; 
+ 1ed   :  2a4e ; 
+ 1ee   :  2a59 ; 
+ 1ef   :  2a65 ; 
+ 1f0   :  2a71 ; 
+ 1f1   :  2a7c ; 
+ 1f2   :  2a88 ; 
+ 1f3   :  2a93 ; 
+ 1f4   :  2a9e ; 
+ 1f5   :  2aaa ; 
+ 1f6   :  2ab5 ; 
+ 1f7   :  2ac0 ; 
+ 1f8   :  2acb ; 
+ 1f9   :  2ad5 ; 
+ 1fa   :  2ae0 ; 
+ 1fb   :  2aeb ; 
+ 1fc   :  2af5 ; 
+ 1fd   :  2b00 ; 
+ 1fe   :  2b0a ; 
+ 1ff   :  2b14 ; 
+ 200   :  2b1e ; 
+ 201   :  2b28 ; 
+ 202   :  2b32 ; 
+ 203   :  2b3c ; 
+ 204   :  2b46 ; 
+ 205   :  2b50 ; 
+ 206   :  2b59 ; 
+ 207   :  2b63 ; 
+ 208   :  2b6c ; 
+ 209   :  2b75 ; 
+ 20a   :  2b7e ; 
+ 20b   :  2b87 ; 
+ 20c   :  2b90 ; 
+ 20d   :  2b99 ; 
+ 20e   :  2ba2 ; 
+ 20f   :  2bab ; 
+ 210   :  2bb3 ; 
+ 211   :  2bbc ; 
+ 212   :  2bc4 ; 
+ 213   :  2bcc ; 
+ 214   :  2bd4 ; 
+ 215   :  2bdd ; 
+ 216   :  2be5 ; 
+ 217   :  2bec ; 
+ 218   :  2bf4 ; 
+ 219   :  2bfc ; 
+ 21a   :  2c03 ; 
+ 21b   :  2c0b ; 
+ 21c   :  2c12 ; 
+ 21d   :  2c19 ; 
+ 21e   :  2c21 ; 
+ 21f   :  2c28 ; 
+ 220   :  2c2f ; 
+ 221   :  2c35 ; 
+ 222   :  2c3c ; 
+ 223   :  2c43 ; 
+ 224   :  2c49 ; 
+ 225   :  2c50 ; 
+ 226   :  2c56 ; 
+ 227   :  2c5c ; 
+ 228   :  2c63 ; 
+ 229   :  2c69 ; 
+ 22a   :  2c6e ; 
+ 22b   :  2c74 ; 
+ 22c   :  2c7a ; 
+ 22d   :  2c80 ; 
+ 22e   :  2c85 ; 
+ 22f   :  2c8a ; 
+ 230   :  2c90 ; 
+ 231   :  2c95 ; 
+ 232   :  2c9a ; 
+ 233   :  2c9f ; 
+ 234   :  2ca4 ; 
+ 235   :  2ca8 ; 
+ 236   :  2cad ; 
+ 237   :  2cb2 ; 
+ 238   :  2cb6 ; 
+ 239   :  2cba ; 
+ 23a   :  2cbe ; 
+ 23b   :  2cc3 ; 
+ 23c   :  2cc7 ; 
+ 23d   :  2cca ; 
+ 23e   :  2cce ; 
+ 23f   :  2cd2 ; 
+ 240   :  2cd5 ; 
+ 241   :  2cd9 ; 
+ 242   :  2cdc ; 
+ 243   :  2cdf ; 
+ 244   :  2ce2 ; 
+ 245   :  2ce5 ; 
+ 246   :  2ce8 ; 
+ 247   :  2ceb ; 
+ 248   :  2cee ; 
+ 249   :  2cf0 ; 
+ 24a   :  2cf3 ; 
+ 24b   :  2cf5 ; 
+ 24c   :  2cf7 ; 
+ 24d   :  2cf9 ; 
+ 24e   :  2cfb ; 
+ 24f   :  2cfd ; 
+ 250   :  2cff ; 
+ 251   :  2d01 ; 
+ 252   :  2d02 ; 
+ 253   :  2d04 ; 
+ 254   :  2d05 ; 
+ 255   :  2d06 ; 
+ 256   :  2d07 ; 
+ 257   :  2d08 ; 
+ 258   :  2d09 ; 
+ 259   :  2d0a ; 
+ 25a   :  2d0b ; 
+ 25b   :  2d0b ; 
+ 25c   :  2d0b ; 
+ 25d   :  2d0c ; 
+ 25e   :  2d0c ; 
+ 25f   :  2d0c ; 
+ 260   :  2d0c ; 
+ 261   :  2d0c ; 
+ 262   :  2d0b ; 
+ 263   :  2d0b ; 
+ 264   :  2d0b ; 
+ 265   :  2d0a ; 
+ 266   :  2d09 ; 
+ 267   :  2d08 ; 
+ 268   :  2d07 ; 
+ 269   :  2d06 ; 
+ 26a   :  2d05 ; 
+ 26b   :  2d04 ; 
+ 26c   :  2d02 ; 
+ 26d   :  2d01 ; 
+ 26e   :  2cff ; 
+ 26f   :  2cfd ; 
+ 270   :  2cfb ; 
+ 271   :  2cf9 ; 
+ 272   :  2cf7 ; 
+ 273   :  2cf5 ; 
+ 274   :  2cf2 ; 
+ 275   :  2cf0 ; 
+ 276   :  2ced ; 
+ 277   :  2cea ; 
+ 278   :  2ce8 ; 
+ 279   :  2ce5 ; 
+ 27a   :  2ce2 ; 
+ 27b   :  2cde ; 
+ 27c   :  2cdb ; 
+ 27d   :  2cd7 ; 
+ 27e   :  2cd4 ; 
+ 27f   :  2cd0 ; 
+ 280   :  2ccc ; 
+ 281   :  2cc8 ; 
+ 282   :  2cc4 ; 
+ 283   :  2cc0 ; 
+ 284   :  2cbc ; 
+ 285   :  2cb7 ; 
+ 286   :  2cb3 ; 
+ 287   :  2cae ; 
+ 288   :  2ca9 ; 
+ 289   :  2ca5 ; 
+ 28a   :  2ca0 ; 
+ 28b   :  2c9a ; 
+ 28c   :  2c95 ; 
+ 28d   :  2c90 ; 
+ 28e   :  2c8a ; 
+ 28f   :  2c85 ; 
+ 290   :  2c7f ; 
+ 291   :  2c79 ; 
+ 292   :  2c73 ; 
+ 293   :  2c6d ; 
+ 294   :  2c67 ; 
+ 295   :  2c60 ; 
+ 296   :  2c5a ; 
+ 297   :  2c53 ; 
+ 298   :  2c4c ; 
+ 299   :  2c45 ; 
+ 29a   :  2c3e ; 
+ 29b   :  2c37 ; 
+ 29c   :  2c30 ; 
+ 29d   :  2c29 ; 
+ 29e   :  2c21 ; 
+ 29f   :  2c1a ; 
+ 2a0   :  2c12 ; 
+ 2a1   :  2c0a ; 
+ 2a2   :  2c02 ; 
+ 2a3   :  2bfa ; 
+ 2a4   :  2bf2 ; 
+ 2a5   :  2be9 ; 
+ 2a6   :  2be1 ; 
+ 2a7   :  2bd8 ; 
+ 2a8   :  2bd0 ; 
+ 2a9   :  2bc7 ; 
+ 2aa   :  2bbe ; 
+ 2ab   :  2bb5 ; 
+ 2ac   :  2bac ; 
+ 2ad   :  2ba2 ; 
+ 2ae   :  2b99 ; 
+ 2af   :  2b8f ; 
+ 2b0   :  2b85 ; 
+ 2b1   :  2b7c ; 
+ 2b2   :  2b72 ; 
+ 2b3   :  2b68 ; 
+ 2b4   :  2b5d ; 
+ 2b5   :  2b53 ; 
+ 2b6   :  2b48 ; 
+ 2b7   :  2b3e ; 
+ 2b8   :  2b33 ; 
+ 2b9   :  2b28 ; 
+ 2ba   :  2b1d ; 
+ 2bb   :  2b12 ; 
+ 2bc   :  2b07 ; 
+ 2bd   :  2afc ; 
+ 2be   :  2af0 ; 
+ 2bf   :  2ae5 ; 
+ 2c0   :  2ad9 ; 
+ 2c1   :  2acd ; 
+ 2c2   :  2ac1 ; 
+ 2c3   :  2ab5 ; 
+ 2c4   :  2aa9 ; 
+ 2c5   :  2a9c ; 
+ 2c6   :  2a90 ; 
+ 2c7   :  2a83 ; 
+ 2c8   :  2a76 ; 
+ 2c9   :  2a6a ; 
+ 2ca   :  2a5d ; 
+ 2cb   :  2a4f ; 
+ 2cc   :  2a42 ; 
+ 2cd   :  2a35 ; 
+ 2ce   :  2a27 ; 
+ 2cf   :  2a1a ; 
+ 2d0   :  2a0c ; 
+ 2d1   :  29fe ; 
+ 2d2   :  29f0 ; 
+ 2d3   :  29e2 ; 
+ 2d4   :  29d4 ; 
+ 2d5   :  29c5 ; 
+ 2d6   :  29b7 ; 
+ 2d7   :  29a8 ; 
+ 2d8   :  2999 ; 
+ 2d9   :  298a ; 
+ 2da   :  297b ; 
+ 2db   :  296c ; 
+ 2dc   :  295d ; 
+ 2dd   :  294d ; 
+ 2de   :  293e ; 
+ 2df   :  292e ; 
+ 2e0   :  291e ; 
+ 2e1   :  290e ; 
+ 2e2   :  28fe ; 
+ 2e3   :  28ee ; 
+ 2e4   :  28de ; 
+ 2e5   :  28cd ; 
+ 2e6   :  28bd ; 
+ 2e7   :  28ac ; 
+ 2e8   :  289b ; 
+ 2e9   :  288a ; 
+ 2ea   :  2879 ; 
+ 2eb   :  2868 ; 
+ 2ec   :  2857 ; 
+ 2ed   :  2845 ; 
+ 2ee   :  2834 ; 
+ 2ef   :  2822 ; 
+ 2f0   :  2810 ; 
+ 2f1   :  27fe ; 
+ 2f2   :  27ec ; 
+ 2f3   :  27da ; 
+ 2f4   :  27c7 ; 
+ 2f5   :  27b5 ; 
+ 2f6   :  27a2 ; 
+ 2f7   :  278f ; 
+ 2f8   :  277d ; 
+ 2f9   :  276a ; 
+ 2fa   :  2756 ; 
+ 2fb   :  2743 ; 
+ 2fc   :  2730 ; 
+ 2fd   :  271c ; 
+ 2fe   :  2709 ; 
+ 2ff   :  26f5 ; 
+ 300   :  26e1 ; 
+ 301   :  26cd ; 
+ 302   :  26b9 ; 
+ 303   :  26a4 ; 
+ 304   :  2690 ; 
+ 305   :  267b ; 
+ 306   :  2667 ; 
+ 307   :  2652 ; 
+ 308   :  263d ; 
+ 309   :  2628 ; 
+ 30a   :  2613 ; 
+ 30b   :  25fd ; 
+ 30c   :  25e8 ; 
+ 30d   :  25d2 ; 
+ 30e   :  25bd ; 
+ 30f   :  25a7 ; 
+ 310   :  2591 ; 
+ 311   :  257b ; 
+ 312   :  2565 ; 
+ 313   :  254e ; 
+ 314   :  2538 ; 
+ 315   :  2521 ; 
+ 316   :  250b ; 
+ 317   :  24f4 ; 
+ 318   :  24dd ; 
+ 319   :  24c6 ; 
+ 31a   :  24ae ; 
+ 31b   :  2497 ; 
+ 31c   :  247f ; 
+ 31d   :  2468 ; 
+ 31e   :  2450 ; 
+ 31f   :  2438 ; 
+ 320   :  2420 ; 
+ 321   :  2408 ; 
+ 322   :  23f0 ; 
+ 323   :  23d8 ; 
+ 324   :  23bf ; 
+ 325   :  23a6 ; 
+ 326   :  238e ; 
+ 327   :  2375 ; 
+ 328   :  235c ; 
+ 329   :  2343 ; 
+ 32a   :  2329 ; 
+ 32b   :  2310 ; 
+ 32c   :  22f6 ; 
+ 32d   :  22dd ; 
+ 32e   :  22c3 ; 
+ 32f   :  22a9 ; 
+ 330   :  228f ; 
+ 331   :  2275 ; 
+ 332   :  225b ; 
+ 333   :  2240 ; 
+ 334   :  2226 ; 
+ 335   :  220b ; 
+ 336   :  21f0 ; 
+ 337   :  21d5 ; 
+ 338   :  21ba ; 
+ 339   :  219f ; 
+ 33a   :  2184 ; 
+ 33b   :  2169 ; 
+ 33c   :  214d ; 
+ 33d   :  2131 ; 
+ 33e   :  2116 ; 
+ 33f   :  20fa ; 
+ 340   :  20de ; 
+ 341   :  20c2 ; 
+ 342   :  20a5 ; 
+ 343   :  2089 ; 
+ 344   :  206c ; 
+ 345   :  2050 ; 
+ 346   :  2033 ; 
+ 347   :  2016 ; 
+ 348   :  1ff9 ; 
+ 349   :  1fdc ; 
+ 34a   :  1fbf ; 
+ 34b   :  1fa1 ; 
+ 34c   :  1f84 ; 
+ 34d   :  1f66 ; 
+ 34e   :  1f48 ; 
+ 34f   :  1f2a ; 
+ 350   :  1f0c ; 
+ 351   :  1eee ; 
+ 352   :  1ed0 ; 
+ 353   :  1eb2 ; 
+ 354   :  1e93 ; 
+ 355   :  1e74 ; 
+ 356   :  1e56 ; 
+ 357   :  1e37 ; 
+ 358   :  1e18 ; 
+ 359   :  1df9 ; 
+ 35a   :  1dd9 ; 
+ 35b   :  1dba ; 
+ 35c   :  1d9b ; 
+ 35d   :  1d7b ; 
+ 35e   :  1d5b ; 
+ 35f   :  1d3b ; 
+ 360   :  1d1b ; 
+ 361   :  1cfb ; 
+ 362   :  1cdb ; 
+ 363   :  1cbb ; 
+ 364   :  1c9a ; 
+ 365   :  1c7a ; 
+ 366   :  1c59 ; 
+ 367   :  1c38 ; 
+ 368   :  1c17 ; 
+ 369   :  1bf6 ; 
+ 36a   :  1bd5 ; 
+ 36b   :  1bb4 ; 
+ 36c   :  1b92 ; 
+ 36d   :  1b71 ; 
+ 36e   :  1b4f ; 
+ 36f   :  1b2e ; 
+ 370   :  1b0c ; 
+ 371   :  1aea ; 
+ 372   :  1ac8 ; 
+ 373   :  1aa5 ; 
+ 374   :  1a83 ; 
+ 375   :  1a60 ; 
+ 376   :  1a3e ; 
+ 377   :  1a1b ; 
+ 378   :  19f8 ; 
+ 379   :  19d5 ; 
+ 37a   :  19b2 ; 
+ 37b   :  198f ; 
+ 37c   :  196c ; 
+ 37d   :  1948 ; 
+ 37e   :  1925 ; 
+ 37f   :  1901 ; 
+ 380   :  18de ; 
+ 381   :  18ba ; 
+ 382   :  1896 ; 
+ 383   :  1872 ; 
+ 384   :  184d ; 
+ 385   :  1829 ; 
+ 386   :  1805 ; 
+ 387   :  17e0 ; 
+ 388   :  17bb ; 
+ 389   :  1797 ; 
+ 38a   :  1772 ; 
+ 38b   :  174d ; 
+ 38c   :  1727 ; 
+ 38d   :  1702 ; 
+ 38e   :  16dd ; 
+ 38f   :  16b7 ; 
+ 390   :  1692 ; 
+ 391   :  166c ; 
+ 392   :  1646 ; 
+ 393   :  1620 ; 
+ 394   :  15fa ; 
+ 395   :  15d4 ; 
+ 396   :  15ae ; 
+ 397   :  1587 ; 
+ 398   :  1561 ; 
+ 399   :  153a ; 
+ 39a   :  1514 ; 
+ 39b   :  14ed ; 
+ 39c   :  14c6 ; 
+ 39d   :  149f ; 
+ 39e   :  1478 ; 
+ 39f   :  1451 ; 
+ 3a0   :  1429 ; 
+ 3a1   :  1402 ; 
+ 3a2   :  13da ; 
+ 3a3   :  13b2 ; 
+ 3a4   :  138b ; 
+ 3a5   :  1363 ; 
+ 3a6   :  133b ; 
+ 3a7   :  1313 ; 
+ 3a8   :  12ea ; 
+ 3a9   :  12c2 ; 
+ 3aa   :  129a ; 
+ 3ab   :  1271 ; 
+ 3ac   :  1248 ; 
+ 3ad   :  1220 ; 
+ 3ae   :  11f7 ; 
+ 3af   :  11ce ; 
+ 3b0   :  11a5 ; 
+ 3b1   :  117b ; 
+ 3b2   :  1152 ; 
+ 3b3   :  1129 ; 
+ 3b4   :  10ff ; 
+ 3b5   :  10d6 ; 
+ 3b6   :  10ac ; 
+ 3b7   :  1082 ; 
+ 3b8   :  1058 ; 
+ 3b9   :  102e ; 
+ 3ba   :  1004 ; 
+ 3bb   :  fda ; 
+ 3bc   :  faf ; 
+ 3bd   :  f85 ; 
+ 3be   :  f5a ; 
+ 3bf   :  f30 ; 
+ 3c0   :  f05 ; 
+ 3c1   :  eda ; 
+ 3c2   :  eaf ; 
+ 3c3   :  e84 ; 
+ 3c4   :  e59 ; 
+ 3c5   :  e2e ; 
+ 3c6   :  e02 ; 
+ 3c7   :  dd7 ; 
+ 3c8   :  dab ; 
+ 3c9   :  d80 ; 
+ 3ca   :  d54 ; 
+ 3cb   :  d28 ; 
+ 3cc   :  cfc ; 
+ 3cd   :  cd0 ; 
+ 3ce   :  ca4 ; 
+ 3cf   :  c78 ; 
+ 3d0   :  c4c ; 
+ 3d1   :  c1f ; 
+ 3d2   :  bf3 ; 
+ 3d3   :  bc6 ; 
+ 3d4   :  b99 ; 
+ 3d5   :  b6c ; 
+ 3d6   :  b40 ; 
+ 3d7   :  b13 ; 
+ 3d8   :  ae5 ; 
+ 3d9   :  ab8 ; 
+ 3da   :  a8b ; 
+ 3db   :  a5e ; 
+ 3dc   :  a30 ; 
+ 3dd   :  a03 ; 
+ 3de   :  9d5 ; 
+ 3df   :  9a7 ; 
+ 3e0   :  979 ; 
+ 3e1   :  94b ; 
+ 3e2   :  91d ; 
+ 3e3   :  8ef ; 
+ 3e4   :  8c1 ; 
+ 3e5   :  893 ; 
+ 3e6   :  864 ; 
+ 3e7   :  836 ; 
+ 3e8   :  807 ; 
+ 3e9   :  7d9 ; 
+ 3ea   :  7aa ; 
+ 3eb   :  77b ; 
+ 3ec   :  74c ; 
+ 3ed   :  71d ; 
+ 3ee   :  6ee ; 
+ 3ef   :  6bf ; 
+ 3f0   :  690 ; 
+ 3f1   :  660 ; 
+ 3f2   :  631 ; 
+ 3f3   :  601 ; 
+ 3f4   :  5d2 ; 
+ 3f5   :  5a2 ; 
+ 3f6   :  572 ; 
+ 3f7   :  542 ; 
+ 3f8   :  512 ; 
+ 3f9   :  4e2 ; 
+ 3fa   :  4b2 ; 
+ 3fb   :  482 ; 
+ 3fc   :  452 ; 
+ 3fd   :  421 ; 
+ 3fe   :  3f1 ; 
+ 3ff   :  3c0 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_11.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_11.mif
new file mode 100644
index 0000000000000000000000000000000000000000..d79cd97d63ce699abb582e728731f8ad62739370
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_11.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  b4 ; 
+ 1   :  a9 ; 
+ 2   :  9e ; 
+ 3   :  93 ; 
+ 4   :  88 ; 
+ 5   :  7e ; 
+ 6   :  73 ; 
+ 7   :  68 ; 
+ 8   :  5d ; 
+ 9   :  52 ; 
+ a   :  47 ; 
+ b   :  3c ; 
+ c   :  31 ; 
+ d   :  26 ; 
+ e   :  1b ; 
+ f   :  f ; 
+ 10   :  4 ; 
+ 11   :  3fff9 ; 
+ 12   :  3ffee ; 
+ 13   :  3ffe3 ; 
+ 14   :  3ffd8 ; 
+ 15   :  3ffcd ; 
+ 16   :  3ffc1 ; 
+ 17   :  3ffb6 ; 
+ 18   :  3ffab ; 
+ 19   :  3ffa0 ; 
+ 1a   :  3ff94 ; 
+ 1b   :  3ff89 ; 
+ 1c   :  3ff7e ; 
+ 1d   :  3ff72 ; 
+ 1e   :  3ff67 ; 
+ 1f   :  3ff5c ; 
+ 20   :  3ff50 ; 
+ 21   :  3ff45 ; 
+ 22   :  3ff3a ; 
+ 23   :  3ff2e ; 
+ 24   :  3ff23 ; 
+ 25   :  3ff17 ; 
+ 26   :  3ff0c ; 
+ 27   :  3ff00 ; 
+ 28   :  3fef5 ; 
+ 29   :  3fee9 ; 
+ 2a   :  3fede ; 
+ 2b   :  3fed2 ; 
+ 2c   :  3fec7 ; 
+ 2d   :  3febb ; 
+ 2e   :  3feaf ; 
+ 2f   :  3fea4 ; 
+ 30   :  3fe98 ; 
+ 31   :  3fe8d ; 
+ 32   :  3fe81 ; 
+ 33   :  3fe75 ; 
+ 34   :  3fe6a ; 
+ 35   :  3fe5e ; 
+ 36   :  3fe52 ; 
+ 37   :  3fe46 ; 
+ 38   :  3fe3b ; 
+ 39   :  3fe2f ; 
+ 3a   :  3fe23 ; 
+ 3b   :  3fe17 ; 
+ 3c   :  3fe0c ; 
+ 3d   :  3fe00 ; 
+ 3e   :  3fdf4 ; 
+ 3f   :  3fde8 ; 
+ 40   :  3fddc ; 
+ 41   :  3fdd0 ; 
+ 42   :  3fdc5 ; 
+ 43   :  3fdb9 ; 
+ 44   :  3fdad ; 
+ 45   :  3fda1 ; 
+ 46   :  3fd95 ; 
+ 47   :  3fd89 ; 
+ 48   :  3fd7d ; 
+ 49   :  3fd71 ; 
+ 4a   :  3fd65 ; 
+ 4b   :  3fd59 ; 
+ 4c   :  3fd4d ; 
+ 4d   :  3fd41 ; 
+ 4e   :  3fd35 ; 
+ 4f   :  3fd29 ; 
+ 50   :  3fd1d ; 
+ 51   :  3fd11 ; 
+ 52   :  3fd05 ; 
+ 53   :  3fcf9 ; 
+ 54   :  3fced ; 
+ 55   :  3fce1 ; 
+ 56   :  3fcd5 ; 
+ 57   :  3fcc8 ; 
+ 58   :  3fcbc ; 
+ 59   :  3fcb0 ; 
+ 5a   :  3fca4 ; 
+ 5b   :  3fc98 ; 
+ 5c   :  3fc8c ; 
+ 5d   :  3fc7f ; 
+ 5e   :  3fc73 ; 
+ 5f   :  3fc67 ; 
+ 60   :  3fc5b ; 
+ 61   :  3fc4f ; 
+ 62   :  3fc42 ; 
+ 63   :  3fc36 ; 
+ 64   :  3fc2a ; 
+ 65   :  3fc1e ; 
+ 66   :  3fc11 ; 
+ 67   :  3fc05 ; 
+ 68   :  3fbf9 ; 
+ 69   :  3fbec ; 
+ 6a   :  3fbe0 ; 
+ 6b   :  3fbd4 ; 
+ 6c   :  3fbc8 ; 
+ 6d   :  3fbbb ; 
+ 6e   :  3fbaf ; 
+ 6f   :  3fba3 ; 
+ 70   :  3fb96 ; 
+ 71   :  3fb8a ; 
+ 72   :  3fb7d ; 
+ 73   :  3fb71 ; 
+ 74   :  3fb65 ; 
+ 75   :  3fb58 ; 
+ 76   :  3fb4c ; 
+ 77   :  3fb3f ; 
+ 78   :  3fb33 ; 
+ 79   :  3fb27 ; 
+ 7a   :  3fb1a ; 
+ 7b   :  3fb0e ; 
+ 7c   :  3fb01 ; 
+ 7d   :  3faf5 ; 
+ 7e   :  3fae8 ; 
+ 7f   :  3fadc ; 
+ 80   :  3facf ; 
+ 81   :  3fac3 ; 
+ 82   :  3fab6 ; 
+ 83   :  3faaa ; 
+ 84   :  3fa9d ; 
+ 85   :  3fa91 ; 
+ 86   :  3fa84 ; 
+ 87   :  3fa78 ; 
+ 88   :  3fa6b ; 
+ 89   :  3fa5f ; 
+ 8a   :  3fa52 ; 
+ 8b   :  3fa46 ; 
+ 8c   :  3fa39 ; 
+ 8d   :  3fa2d ; 
+ 8e   :  3fa20 ; 
+ 8f   :  3fa14 ; 
+ 90   :  3fa07 ; 
+ 91   :  3f9fa ; 
+ 92   :  3f9ee ; 
+ 93   :  3f9e1 ; 
+ 94   :  3f9d5 ; 
+ 95   :  3f9c8 ; 
+ 96   :  3f9bc ; 
+ 97   :  3f9af ; 
+ 98   :  3f9a2 ; 
+ 99   :  3f996 ; 
+ 9a   :  3f989 ; 
+ 9b   :  3f97d ; 
+ 9c   :  3f970 ; 
+ 9d   :  3f963 ; 
+ 9e   :  3f957 ; 
+ 9f   :  3f94a ; 
+ a0   :  3f93e ; 
+ a1   :  3f931 ; 
+ a2   :  3f924 ; 
+ a3   :  3f918 ; 
+ a4   :  3f90b ; 
+ a5   :  3f8fe ; 
+ a6   :  3f8f2 ; 
+ a7   :  3f8e5 ; 
+ a8   :  3f8d9 ; 
+ a9   :  3f8cc ; 
+ aa   :  3f8bf ; 
+ ab   :  3f8b3 ; 
+ ac   :  3f8a6 ; 
+ ad   :  3f899 ; 
+ ae   :  3f88d ; 
+ af   :  3f880 ; 
+ b0   :  3f873 ; 
+ b1   :  3f867 ; 
+ b2   :  3f85a ; 
+ b3   :  3f84e ; 
+ b4   :  3f841 ; 
+ b5   :  3f834 ; 
+ b6   :  3f828 ; 
+ b7   :  3f81b ; 
+ b8   :  3f80e ; 
+ b9   :  3f802 ; 
+ ba   :  3f7f5 ; 
+ bb   :  3f7e8 ; 
+ bc   :  3f7dc ; 
+ bd   :  3f7cf ; 
+ be   :  3f7c3 ; 
+ bf   :  3f7b6 ; 
+ c0   :  3f7a9 ; 
+ c1   :  3f79d ; 
+ c2   :  3f790 ; 
+ c3   :  3f783 ; 
+ c4   :  3f777 ; 
+ c5   :  3f76a ; 
+ c6   :  3f75e ; 
+ c7   :  3f751 ; 
+ c8   :  3f744 ; 
+ c9   :  3f738 ; 
+ ca   :  3f72b ; 
+ cb   :  3f71f ; 
+ cc   :  3f712 ; 
+ cd   :  3f705 ; 
+ ce   :  3f6f9 ; 
+ cf   :  3f6ec ; 
+ d0   :  3f6e0 ; 
+ d1   :  3f6d3 ; 
+ d2   :  3f6c6 ; 
+ d3   :  3f6ba ; 
+ d4   :  3f6ad ; 
+ d5   :  3f6a1 ; 
+ d6   :  3f694 ; 
+ d7   :  3f688 ; 
+ d8   :  3f67b ; 
+ d9   :  3f66e ; 
+ da   :  3f662 ; 
+ db   :  3f655 ; 
+ dc   :  3f649 ; 
+ dd   :  3f63c ; 
+ de   :  3f630 ; 
+ df   :  3f623 ; 
+ e0   :  3f617 ; 
+ e1   :  3f60a ; 
+ e2   :  3f5fe ; 
+ e3   :  3f5f1 ; 
+ e4   :  3f5e5 ; 
+ e5   :  3f5d8 ; 
+ e6   :  3f5cc ; 
+ e7   :  3f5bf ; 
+ e8   :  3f5b3 ; 
+ e9   :  3f5a6 ; 
+ ea   :  3f59a ; 
+ eb   :  3f58e ; 
+ ec   :  3f581 ; 
+ ed   :  3f575 ; 
+ ee   :  3f568 ; 
+ ef   :  3f55c ; 
+ f0   :  3f54f ; 
+ f1   :  3f543 ; 
+ f2   :  3f537 ; 
+ f3   :  3f52a ; 
+ f4   :  3f51e ; 
+ f5   :  3f512 ; 
+ f6   :  3f505 ; 
+ f7   :  3f4f9 ; 
+ f8   :  3f4ed ; 
+ f9   :  3f4e0 ; 
+ fa   :  3f4d4 ; 
+ fb   :  3f4c8 ; 
+ fc   :  3f4bb ; 
+ fd   :  3f4af ; 
+ fe   :  3f4a3 ; 
+ ff   :  3f496 ; 
+ 100   :  3f48a ; 
+ 101   :  3f47e ; 
+ 102   :  3f472 ; 
+ 103   :  3f465 ; 
+ 104   :  3f459 ; 
+ 105   :  3f44d ; 
+ 106   :  3f441 ; 
+ 107   :  3f435 ; 
+ 108   :  3f429 ; 
+ 109   :  3f41c ; 
+ 10a   :  3f410 ; 
+ 10b   :  3f404 ; 
+ 10c   :  3f3f8 ; 
+ 10d   :  3f3ec ; 
+ 10e   :  3f3e0 ; 
+ 10f   :  3f3d4 ; 
+ 110   :  3f3c8 ; 
+ 111   :  3f3bc ; 
+ 112   :  3f3af ; 
+ 113   :  3f3a3 ; 
+ 114   :  3f397 ; 
+ 115   :  3f38b ; 
+ 116   :  3f37f ; 
+ 117   :  3f373 ; 
+ 118   :  3f367 ; 
+ 119   :  3f35c ; 
+ 11a   :  3f350 ; 
+ 11b   :  3f344 ; 
+ 11c   :  3f338 ; 
+ 11d   :  3f32c ; 
+ 11e   :  3f320 ; 
+ 11f   :  3f314 ; 
+ 120   :  3f308 ; 
+ 121   :  3f2fc ; 
+ 122   :  3f2f1 ; 
+ 123   :  3f2e5 ; 
+ 124   :  3f2d9 ; 
+ 125   :  3f2cd ; 
+ 126   :  3f2c1 ; 
+ 127   :  3f2b6 ; 
+ 128   :  3f2aa ; 
+ 129   :  3f29e ; 
+ 12a   :  3f292 ; 
+ 12b   :  3f287 ; 
+ 12c   :  3f27b ; 
+ 12d   :  3f26f ; 
+ 12e   :  3f264 ; 
+ 12f   :  3f258 ; 
+ 130   :  3f24d ; 
+ 131   :  3f241 ; 
+ 132   :  3f235 ; 
+ 133   :  3f22a ; 
+ 134   :  3f21e ; 
+ 135   :  3f213 ; 
+ 136   :  3f207 ; 
+ 137   :  3f1fc ; 
+ 138   :  3f1f0 ; 
+ 139   :  3f1e5 ; 
+ 13a   :  3f1da ; 
+ 13b   :  3f1ce ; 
+ 13c   :  3f1c3 ; 
+ 13d   :  3f1b7 ; 
+ 13e   :  3f1ac ; 
+ 13f   :  3f1a1 ; 
+ 140   :  3f195 ; 
+ 141   :  3f18a ; 
+ 142   :  3f17f ; 
+ 143   :  3f174 ; 
+ 144   :  3f168 ; 
+ 145   :  3f15d ; 
+ 146   :  3f152 ; 
+ 147   :  3f147 ; 
+ 148   :  3f13c ; 
+ 149   :  3f130 ; 
+ 14a   :  3f125 ; 
+ 14b   :  3f11a ; 
+ 14c   :  3f10f ; 
+ 14d   :  3f104 ; 
+ 14e   :  3f0f9 ; 
+ 14f   :  3f0ee ; 
+ 150   :  3f0e3 ; 
+ 151   :  3f0d8 ; 
+ 152   :  3f0cd ; 
+ 153   :  3f0c2 ; 
+ 154   :  3f0b7 ; 
+ 155   :  3f0ac ; 
+ 156   :  3f0a2 ; 
+ 157   :  3f097 ; 
+ 158   :  3f08c ; 
+ 159   :  3f081 ; 
+ 15a   :  3f076 ; 
+ 15b   :  3f06c ; 
+ 15c   :  3f061 ; 
+ 15d   :  3f056 ; 
+ 15e   :  3f04c ; 
+ 15f   :  3f041 ; 
+ 160   :  3f036 ; 
+ 161   :  3f02c ; 
+ 162   :  3f021 ; 
+ 163   :  3f017 ; 
+ 164   :  3f00c ; 
+ 165   :  3f002 ; 
+ 166   :  3eff7 ; 
+ 167   :  3efed ; 
+ 168   :  3efe2 ; 
+ 169   :  3efd8 ; 
+ 16a   :  3efcd ; 
+ 16b   :  3efc3 ; 
+ 16c   :  3efb9 ; 
+ 16d   :  3efae ; 
+ 16e   :  3efa4 ; 
+ 16f   :  3ef9a ; 
+ 170   :  3ef90 ; 
+ 171   :  3ef86 ; 
+ 172   :  3ef7b ; 
+ 173   :  3ef71 ; 
+ 174   :  3ef67 ; 
+ 175   :  3ef5d ; 
+ 176   :  3ef53 ; 
+ 177   :  3ef49 ; 
+ 178   :  3ef3f ; 
+ 179   :  3ef35 ; 
+ 17a   :  3ef2b ; 
+ 17b   :  3ef21 ; 
+ 17c   :  3ef17 ; 
+ 17d   :  3ef0d ; 
+ 17e   :  3ef03 ; 
+ 17f   :  3eefa ; 
+ 180   :  3eef0 ; 
+ 181   :  3eee6 ; 
+ 182   :  3eedc ; 
+ 183   :  3eed3 ; 
+ 184   :  3eec9 ; 
+ 185   :  3eebf ; 
+ 186   :  3eeb6 ; 
+ 187   :  3eeac ; 
+ 188   :  3eea3 ; 
+ 189   :  3ee99 ; 
+ 18a   :  3ee90 ; 
+ 18b   :  3ee86 ; 
+ 18c   :  3ee7d ; 
+ 18d   :  3ee73 ; 
+ 18e   :  3ee6a ; 
+ 18f   :  3ee61 ; 
+ 190   :  3ee57 ; 
+ 191   :  3ee4e ; 
+ 192   :  3ee45 ; 
+ 193   :  3ee3c ; 
+ 194   :  3ee32 ; 
+ 195   :  3ee29 ; 
+ 196   :  3ee20 ; 
+ 197   :  3ee17 ; 
+ 198   :  3ee0e ; 
+ 199   :  3ee05 ; 
+ 19a   :  3edfc ; 
+ 19b   :  3edf3 ; 
+ 19c   :  3edea ; 
+ 19d   :  3ede1 ; 
+ 19e   :  3edd8 ; 
+ 19f   :  3edcf ; 
+ 1a0   :  3edc7 ; 
+ 1a1   :  3edbe ; 
+ 1a2   :  3edb5 ; 
+ 1a3   :  3edac ; 
+ 1a4   :  3eda4 ; 
+ 1a5   :  3ed9b ; 
+ 1a6   :  3ed92 ; 
+ 1a7   :  3ed8a ; 
+ 1a8   :  3ed81 ; 
+ 1a9   :  3ed79 ; 
+ 1aa   :  3ed70 ; 
+ 1ab   :  3ed68 ; 
+ 1ac   :  3ed60 ; 
+ 1ad   :  3ed57 ; 
+ 1ae   :  3ed4f ; 
+ 1af   :  3ed47 ; 
+ 1b0   :  3ed3e ; 
+ 1b1   :  3ed36 ; 
+ 1b2   :  3ed2e ; 
+ 1b3   :  3ed26 ; 
+ 1b4   :  3ed1e ; 
+ 1b5   :  3ed16 ; 
+ 1b6   :  3ed0d ; 
+ 1b7   :  3ed05 ; 
+ 1b8   :  3ecfd ; 
+ 1b9   :  3ecf6 ; 
+ 1ba   :  3ecee ; 
+ 1bb   :  3ece6 ; 
+ 1bc   :  3ecde ; 
+ 1bd   :  3ecd6 ; 
+ 1be   :  3ecce ; 
+ 1bf   :  3ecc7 ; 
+ 1c0   :  3ecbf ; 
+ 1c1   :  3ecb7 ; 
+ 1c2   :  3ecb0 ; 
+ 1c3   :  3eca8 ; 
+ 1c4   :  3eca1 ; 
+ 1c5   :  3ec99 ; 
+ 1c6   :  3ec92 ; 
+ 1c7   :  3ec8a ; 
+ 1c8   :  3ec83 ; 
+ 1c9   :  3ec7c ; 
+ 1ca   :  3ec74 ; 
+ 1cb   :  3ec6d ; 
+ 1cc   :  3ec66 ; 
+ 1cd   :  3ec5f ; 
+ 1ce   :  3ec57 ; 
+ 1cf   :  3ec50 ; 
+ 1d0   :  3ec49 ; 
+ 1d1   :  3ec42 ; 
+ 1d2   :  3ec3b ; 
+ 1d3   :  3ec34 ; 
+ 1d4   :  3ec2d ; 
+ 1d5   :  3ec27 ; 
+ 1d6   :  3ec20 ; 
+ 1d7   :  3ec19 ; 
+ 1d8   :  3ec12 ; 
+ 1d9   :  3ec0c ; 
+ 1da   :  3ec05 ; 
+ 1db   :  3ebfe ; 
+ 1dc   :  3ebf8 ; 
+ 1dd   :  3ebf1 ; 
+ 1de   :  3ebeb ; 
+ 1df   :  3ebe4 ; 
+ 1e0   :  3ebde ; 
+ 1e1   :  3ebd7 ; 
+ 1e2   :  3ebd1 ; 
+ 1e3   :  3ebcb ; 
+ 1e4   :  3ebc4 ; 
+ 1e5   :  3ebbe ; 
+ 1e6   :  3ebb8 ; 
+ 1e7   :  3ebb2 ; 
+ 1e8   :  3ebac ; 
+ 1e9   :  3eba6 ; 
+ 1ea   :  3eba0 ; 
+ 1eb   :  3eb9a ; 
+ 1ec   :  3eb94 ; 
+ 1ed   :  3eb8e ; 
+ 1ee   :  3eb88 ; 
+ 1ef   :  3eb82 ; 
+ 1f0   :  3eb7d ; 
+ 1f1   :  3eb77 ; 
+ 1f2   :  3eb71 ; 
+ 1f3   :  3eb6c ; 
+ 1f4   :  3eb66 ; 
+ 1f5   :  3eb61 ; 
+ 1f6   :  3eb5b ; 
+ 1f7   :  3eb56 ; 
+ 1f8   :  3eb50 ; 
+ 1f9   :  3eb4b ; 
+ 1fa   :  3eb46 ; 
+ 1fb   :  3eb40 ; 
+ 1fc   :  3eb3b ; 
+ 1fd   :  3eb36 ; 
+ 1fe   :  3eb31 ; 
+ 1ff   :  3eb2c ; 
+ 200   :  3eb27 ; 
+ 201   :  3eb22 ; 
+ 202   :  3eb1d ; 
+ 203   :  3eb18 ; 
+ 204   :  3eb13 ; 
+ 205   :  3eb0e ; 
+ 206   :  3eb0a ; 
+ 207   :  3eb05 ; 
+ 208   :  3eb00 ; 
+ 209   :  3eafc ; 
+ 20a   :  3eaf7 ; 
+ 20b   :  3eaf3 ; 
+ 20c   :  3eaee ; 
+ 20d   :  3eaea ; 
+ 20e   :  3eae5 ; 
+ 20f   :  3eae1 ; 
+ 210   :  3eadd ; 
+ 211   :  3ead8 ; 
+ 212   :  3ead4 ; 
+ 213   :  3ead0 ; 
+ 214   :  3eacc ; 
+ 215   :  3eac8 ; 
+ 216   :  3eac4 ; 
+ 217   :  3eac0 ; 
+ 218   :  3eabc ; 
+ 219   :  3eab8 ; 
+ 21a   :  3eab4 ; 
+ 21b   :  3eab1 ; 
+ 21c   :  3eaad ; 
+ 21d   :  3eaa9 ; 
+ 21e   :  3eaa6 ; 
+ 21f   :  3eaa2 ; 
+ 220   :  3ea9f ; 
+ 221   :  3ea9b ; 
+ 222   :  3ea98 ; 
+ 223   :  3ea94 ; 
+ 224   :  3ea91 ; 
+ 225   :  3ea8e ; 
+ 226   :  3ea8a ; 
+ 227   :  3ea87 ; 
+ 228   :  3ea84 ; 
+ 229   :  3ea81 ; 
+ 22a   :  3ea7e ; 
+ 22b   :  3ea7b ; 
+ 22c   :  3ea78 ; 
+ 22d   :  3ea75 ; 
+ 22e   :  3ea73 ; 
+ 22f   :  3ea70 ; 
+ 230   :  3ea6d ; 
+ 231   :  3ea6a ; 
+ 232   :  3ea68 ; 
+ 233   :  3ea65 ; 
+ 234   :  3ea63 ; 
+ 235   :  3ea60 ; 
+ 236   :  3ea5e ; 
+ 237   :  3ea5b ; 
+ 238   :  3ea59 ; 
+ 239   :  3ea57 ; 
+ 23a   :  3ea55 ; 
+ 23b   :  3ea52 ; 
+ 23c   :  3ea50 ; 
+ 23d   :  3ea4e ; 
+ 23e   :  3ea4c ; 
+ 23f   :  3ea4a ; 
+ 240   :  3ea48 ; 
+ 241   :  3ea47 ; 
+ 242   :  3ea45 ; 
+ 243   :  3ea43 ; 
+ 244   :  3ea41 ; 
+ 245   :  3ea40 ; 
+ 246   :  3ea3e ; 
+ 247   :  3ea3d ; 
+ 248   :  3ea3b ; 
+ 249   :  3ea3a ; 
+ 24a   :  3ea38 ; 
+ 24b   :  3ea37 ; 
+ 24c   :  3ea36 ; 
+ 24d   :  3ea35 ; 
+ 24e   :  3ea33 ; 
+ 24f   :  3ea32 ; 
+ 250   :  3ea31 ; 
+ 251   :  3ea30 ; 
+ 252   :  3ea2f ; 
+ 253   :  3ea2e ; 
+ 254   :  3ea2e ; 
+ 255   :  3ea2d ; 
+ 256   :  3ea2c ; 
+ 257   :  3ea2b ; 
+ 258   :  3ea2b ; 
+ 259   :  3ea2a ; 
+ 25a   :  3ea2a ; 
+ 25b   :  3ea29 ; 
+ 25c   :  3ea29 ; 
+ 25d   :  3ea29 ; 
+ 25e   :  3ea28 ; 
+ 25f   :  3ea28 ; 
+ 260   :  3ea28 ; 
+ 261   :  3ea28 ; 
+ 262   :  3ea28 ; 
+ 263   :  3ea28 ; 
+ 264   :  3ea28 ; 
+ 265   :  3ea28 ; 
+ 266   :  3ea28 ; 
+ 267   :  3ea28 ; 
+ 268   :  3ea28 ; 
+ 269   :  3ea29 ; 
+ 26a   :  3ea29 ; 
+ 26b   :  3ea2a ; 
+ 26c   :  3ea2a ; 
+ 26d   :  3ea2b ; 
+ 26e   :  3ea2b ; 
+ 26f   :  3ea2c ; 
+ 270   :  3ea2d ; 
+ 271   :  3ea2d ; 
+ 272   :  3ea2e ; 
+ 273   :  3ea2f ; 
+ 274   :  3ea30 ; 
+ 275   :  3ea31 ; 
+ 276   :  3ea32 ; 
+ 277   :  3ea33 ; 
+ 278   :  3ea34 ; 
+ 279   :  3ea36 ; 
+ 27a   :  3ea37 ; 
+ 27b   :  3ea38 ; 
+ 27c   :  3ea3a ; 
+ 27d   :  3ea3b ; 
+ 27e   :  3ea3d ; 
+ 27f   :  3ea3e ; 
+ 280   :  3ea40 ; 
+ 281   :  3ea42 ; 
+ 282   :  3ea43 ; 
+ 283   :  3ea45 ; 
+ 284   :  3ea47 ; 
+ 285   :  3ea49 ; 
+ 286   :  3ea4b ; 
+ 287   :  3ea4d ; 
+ 288   :  3ea4f ; 
+ 289   :  3ea51 ; 
+ 28a   :  3ea53 ; 
+ 28b   :  3ea56 ; 
+ 28c   :  3ea58 ; 
+ 28d   :  3ea5a ; 
+ 28e   :  3ea5d ; 
+ 28f   :  3ea5f ; 
+ 290   :  3ea62 ; 
+ 291   :  3ea64 ; 
+ 292   :  3ea67 ; 
+ 293   :  3ea6a ; 
+ 294   :  3ea6d ; 
+ 295   :  3ea6f ; 
+ 296   :  3ea72 ; 
+ 297   :  3ea75 ; 
+ 298   :  3ea78 ; 
+ 299   :  3ea7b ; 
+ 29a   :  3ea7f ; 
+ 29b   :  3ea82 ; 
+ 29c   :  3ea85 ; 
+ 29d   :  3ea88 ; 
+ 29e   :  3ea8c ; 
+ 29f   :  3ea8f ; 
+ 2a0   :  3ea93 ; 
+ 2a1   :  3ea96 ; 
+ 2a2   :  3ea9a ; 
+ 2a3   :  3ea9e ; 
+ 2a4   :  3eaa1 ; 
+ 2a5   :  3eaa5 ; 
+ 2a6   :  3eaa9 ; 
+ 2a7   :  3eaad ; 
+ 2a8   :  3eab1 ; 
+ 2a9   :  3eab5 ; 
+ 2aa   :  3eab9 ; 
+ 2ab   :  3eabd ; 
+ 2ac   :  3eac1 ; 
+ 2ad   :  3eac6 ; 
+ 2ae   :  3eaca ; 
+ 2af   :  3eacf ; 
+ 2b0   :  3ead3 ; 
+ 2b1   :  3ead8 ; 
+ 2b2   :  3eadc ; 
+ 2b3   :  3eae1 ; 
+ 2b4   :  3eae5 ; 
+ 2b5   :  3eaea ; 
+ 2b6   :  3eaef ; 
+ 2b7   :  3eaf4 ; 
+ 2b8   :  3eaf9 ; 
+ 2b9   :  3eafe ; 
+ 2ba   :  3eb03 ; 
+ 2bb   :  3eb08 ; 
+ 2bc   :  3eb0d ; 
+ 2bd   :  3eb13 ; 
+ 2be   :  3eb18 ; 
+ 2bf   :  3eb1d ; 
+ 2c0   :  3eb23 ; 
+ 2c1   :  3eb28 ; 
+ 2c2   :  3eb2e ; 
+ 2c3   :  3eb33 ; 
+ 2c4   :  3eb39 ; 
+ 2c5   :  3eb3f ; 
+ 2c6   :  3eb45 ; 
+ 2c7   :  3eb4a ; 
+ 2c8   :  3eb50 ; 
+ 2c9   :  3eb56 ; 
+ 2ca   :  3eb5c ; 
+ 2cb   :  3eb62 ; 
+ 2cc   :  3eb69 ; 
+ 2cd   :  3eb6f ; 
+ 2ce   :  3eb75 ; 
+ 2cf   :  3eb7b ; 
+ 2d0   :  3eb82 ; 
+ 2d1   :  3eb88 ; 
+ 2d2   :  3eb8f ; 
+ 2d3   :  3eb96 ; 
+ 2d4   :  3eb9c ; 
+ 2d5   :  3eba3 ; 
+ 2d6   :  3ebaa ; 
+ 2d7   :  3ebb1 ; 
+ 2d8   :  3ebb7 ; 
+ 2d9   :  3ebbe ; 
+ 2da   :  3ebc5 ; 
+ 2db   :  3ebcc ; 
+ 2dc   :  3ebd4 ; 
+ 2dd   :  3ebdb ; 
+ 2de   :  3ebe2 ; 
+ 2df   :  3ebe9 ; 
+ 2e0   :  3ebf1 ; 
+ 2e1   :  3ebf8 ; 
+ 2e2   :  3ec00 ; 
+ 2e3   :  3ec07 ; 
+ 2e4   :  3ec0f ; 
+ 2e5   :  3ec17 ; 
+ 2e6   :  3ec1f ; 
+ 2e7   :  3ec26 ; 
+ 2e8   :  3ec2e ; 
+ 2e9   :  3ec36 ; 
+ 2ea   :  3ec3e ; 
+ 2eb   :  3ec46 ; 
+ 2ec   :  3ec4e ; 
+ 2ed   :  3ec57 ; 
+ 2ee   :  3ec5f ; 
+ 2ef   :  3ec67 ; 
+ 2f0   :  3ec70 ; 
+ 2f1   :  3ec78 ; 
+ 2f2   :  3ec81 ; 
+ 2f3   :  3ec89 ; 
+ 2f4   :  3ec92 ; 
+ 2f5   :  3ec9b ; 
+ 2f6   :  3eca3 ; 
+ 2f7   :  3ecac ; 
+ 2f8   :  3ecb5 ; 
+ 2f9   :  3ecbe ; 
+ 2fa   :  3ecc7 ; 
+ 2fb   :  3ecd0 ; 
+ 2fc   :  3ecd9 ; 
+ 2fd   :  3ece2 ; 
+ 2fe   :  3ecec ; 
+ 2ff   :  3ecf5 ; 
+ 300   :  3ecfe ; 
+ 301   :  3ed08 ; 
+ 302   :  3ed11 ; 
+ 303   :  3ed1b ; 
+ 304   :  3ed24 ; 
+ 305   :  3ed2e ; 
+ 306   :  3ed38 ; 
+ 307   :  3ed42 ; 
+ 308   :  3ed4c ; 
+ 309   :  3ed55 ; 
+ 30a   :  3ed5f ; 
+ 30b   :  3ed6a ; 
+ 30c   :  3ed74 ; 
+ 30d   :  3ed7e ; 
+ 30e   :  3ed88 ; 
+ 30f   :  3ed92 ; 
+ 310   :  3ed9d ; 
+ 311   :  3eda7 ; 
+ 312   :  3edb2 ; 
+ 313   :  3edbc ; 
+ 314   :  3edc7 ; 
+ 315   :  3edd2 ; 
+ 316   :  3eddc ; 
+ 317   :  3ede7 ; 
+ 318   :  3edf2 ; 
+ 319   :  3edfd ; 
+ 31a   :  3ee08 ; 
+ 31b   :  3ee13 ; 
+ 31c   :  3ee1e ; 
+ 31d   :  3ee29 ; 
+ 31e   :  3ee34 ; 
+ 31f   :  3ee40 ; 
+ 320   :  3ee4b ; 
+ 321   :  3ee56 ; 
+ 322   :  3ee62 ; 
+ 323   :  3ee6d ; 
+ 324   :  3ee79 ; 
+ 325   :  3ee85 ; 
+ 326   :  3ee90 ; 
+ 327   :  3ee9c ; 
+ 328   :  3eea8 ; 
+ 329   :  3eeb4 ; 
+ 32a   :  3eec0 ; 
+ 32b   :  3eecc ; 
+ 32c   :  3eed8 ; 
+ 32d   :  3eee4 ; 
+ 32e   :  3eef0 ; 
+ 32f   :  3eefd ; 
+ 330   :  3ef09 ; 
+ 331   :  3ef15 ; 
+ 332   :  3ef22 ; 
+ 333   :  3ef2e ; 
+ 334   :  3ef3b ; 
+ 335   :  3ef48 ; 
+ 336   :  3ef54 ; 
+ 337   :  3ef61 ; 
+ 338   :  3ef6e ; 
+ 339   :  3ef7b ; 
+ 33a   :  3ef88 ; 
+ 33b   :  3ef95 ; 
+ 33c   :  3efa2 ; 
+ 33d   :  3efaf ; 
+ 33e   :  3efbc ; 
+ 33f   :  3efc9 ; 
+ 340   :  3efd7 ; 
+ 341   :  3efe4 ; 
+ 342   :  3eff1 ; 
+ 343   :  3efff ; 
+ 344   :  3f00d ; 
+ 345   :  3f01a ; 
+ 346   :  3f028 ; 
+ 347   :  3f036 ; 
+ 348   :  3f043 ; 
+ 349   :  3f051 ; 
+ 34a   :  3f05f ; 
+ 34b   :  3f06d ; 
+ 34c   :  3f07b ; 
+ 34d   :  3f089 ; 
+ 34e   :  3f097 ; 
+ 34f   :  3f0a5 ; 
+ 350   :  3f0b4 ; 
+ 351   :  3f0c2 ; 
+ 352   :  3f0d0 ; 
+ 353   :  3f0df ; 
+ 354   :  3f0ed ; 
+ 355   :  3f0fc ; 
+ 356   :  3f10b ; 
+ 357   :  3f119 ; 
+ 358   :  3f128 ; 
+ 359   :  3f137 ; 
+ 35a   :  3f146 ; 
+ 35b   :  3f155 ; 
+ 35c   :  3f164 ; 
+ 35d   :  3f173 ; 
+ 35e   :  3f182 ; 
+ 35f   :  3f191 ; 
+ 360   :  3f1a0 ; 
+ 361   :  3f1af ; 
+ 362   :  3f1bf ; 
+ 363   :  3f1ce ; 
+ 364   :  3f1dd ; 
+ 365   :  3f1ed ; 
+ 366   :  3f1fc ; 
+ 367   :  3f20c ; 
+ 368   :  3f21c ; 
+ 369   :  3f22c ; 
+ 36a   :  3f23b ; 
+ 36b   :  3f24b ; 
+ 36c   :  3f25b ; 
+ 36d   :  3f26b ; 
+ 36e   :  3f27b ; 
+ 36f   :  3f28b ; 
+ 370   :  3f29b ; 
+ 371   :  3f2ab ; 
+ 372   :  3f2bc ; 
+ 373   :  3f2cc ; 
+ 374   :  3f2dc ; 
+ 375   :  3f2ed ; 
+ 376   :  3f2fd ; 
+ 377   :  3f30e ; 
+ 378   :  3f31e ; 
+ 379   :  3f32f ; 
+ 37a   :  3f340 ; 
+ 37b   :  3f351 ; 
+ 37c   :  3f361 ; 
+ 37d   :  3f372 ; 
+ 37e   :  3f383 ; 
+ 37f   :  3f394 ; 
+ 380   :  3f3a5 ; 
+ 381   :  3f3b6 ; 
+ 382   :  3f3c7 ; 
+ 383   :  3f3d9 ; 
+ 384   :  3f3ea ; 
+ 385   :  3f3fb ; 
+ 386   :  3f40d ; 
+ 387   :  3f41e ; 
+ 388   :  3f42f ; 
+ 389   :  3f441 ; 
+ 38a   :  3f453 ; 
+ 38b   :  3f464 ; 
+ 38c   :  3f476 ; 
+ 38d   :  3f488 ; 
+ 38e   :  3f49a ; 
+ 38f   :  3f4ab ; 
+ 390   :  3f4bd ; 
+ 391   :  3f4cf ; 
+ 392   :  3f4e1 ; 
+ 393   :  3f4f3 ; 
+ 394   :  3f506 ; 
+ 395   :  3f518 ; 
+ 396   :  3f52a ; 
+ 397   :  3f53c ; 
+ 398   :  3f54f ; 
+ 399   :  3f561 ; 
+ 39a   :  3f574 ; 
+ 39b   :  3f586 ; 
+ 39c   :  3f599 ; 
+ 39d   :  3f5ab ; 
+ 39e   :  3f5be ; 
+ 39f   :  3f5d1 ; 
+ 3a0   :  3f5e3 ; 
+ 3a1   :  3f5f6 ; 
+ 3a2   :  3f609 ; 
+ 3a3   :  3f61c ; 
+ 3a4   :  3f62f ; 
+ 3a5   :  3f642 ; 
+ 3a6   :  3f655 ; 
+ 3a7   :  3f668 ; 
+ 3a8   :  3f67c ; 
+ 3a9   :  3f68f ; 
+ 3aa   :  3f6a2 ; 
+ 3ab   :  3f6b6 ; 
+ 3ac   :  3f6c9 ; 
+ 3ad   :  3f6dc ; 
+ 3ae   :  3f6f0 ; 
+ 3af   :  3f703 ; 
+ 3b0   :  3f717 ; 
+ 3b1   :  3f72b ; 
+ 3b2   :  3f73e ; 
+ 3b3   :  3f752 ; 
+ 3b4   :  3f766 ; 
+ 3b5   :  3f77a ; 
+ 3b6   :  3f78e ; 
+ 3b7   :  3f7a2 ; 
+ 3b8   :  3f7b6 ; 
+ 3b9   :  3f7ca ; 
+ 3ba   :  3f7de ; 
+ 3bb   :  3f7f2 ; 
+ 3bc   :  3f806 ; 
+ 3bd   :  3f81b ; 
+ 3be   :  3f82f ; 
+ 3bf   :  3f843 ; 
+ 3c0   :  3f858 ; 
+ 3c1   :  3f86c ; 
+ 3c2   :  3f881 ; 
+ 3c3   :  3f895 ; 
+ 3c4   :  3f8aa ; 
+ 3c5   :  3f8be ; 
+ 3c6   :  3f8d3 ; 
+ 3c7   :  3f8e8 ; 
+ 3c8   :  3f8fd ; 
+ 3c9   :  3f912 ; 
+ 3ca   :  3f926 ; 
+ 3cb   :  3f93b ; 
+ 3cc   :  3f950 ; 
+ 3cd   :  3f965 ; 
+ 3ce   :  3f97a ; 
+ 3cf   :  3f990 ; 
+ 3d0   :  3f9a5 ; 
+ 3d1   :  3f9ba ; 
+ 3d2   :  3f9cf ; 
+ 3d3   :  3f9e4 ; 
+ 3d4   :  3f9fa ; 
+ 3d5   :  3fa0f ; 
+ 3d6   :  3fa25 ; 
+ 3d7   :  3fa3a ; 
+ 3d8   :  3fa50 ; 
+ 3d9   :  3fa65 ; 
+ 3da   :  3fa7b ; 
+ 3db   :  3fa91 ; 
+ 3dc   :  3faa6 ; 
+ 3dd   :  3fabc ; 
+ 3de   :  3fad2 ; 
+ 3df   :  3fae8 ; 
+ 3e0   :  3fafe ; 
+ 3e1   :  3fb14 ; 
+ 3e2   :  3fb2a ; 
+ 3e3   :  3fb40 ; 
+ 3e4   :  3fb56 ; 
+ 3e5   :  3fb6c ; 
+ 3e6   :  3fb82 ; 
+ 3e7   :  3fb98 ; 
+ 3e8   :  3fbae ; 
+ 3e9   :  3fbc5 ; 
+ 3ea   :  3fbdb ; 
+ 3eb   :  3fbf1 ; 
+ 3ec   :  3fc08 ; 
+ 3ed   :  3fc1e ; 
+ 3ee   :  3fc35 ; 
+ 3ef   :  3fc4b ; 
+ 3f0   :  3fc62 ; 
+ 3f1   :  3fc78 ; 
+ 3f2   :  3fc8f ; 
+ 3f3   :  3fca6 ; 
+ 3f4   :  3fcbd ; 
+ 3f5   :  3fcd3 ; 
+ 3f6   :  3fcea ; 
+ 3f7   :  3fd01 ; 
+ 3f8   :  3fd18 ; 
+ 3f9   :  3fd2f ; 
+ 3fa   :  3fd46 ; 
+ 3fb   :  3fd5d ; 
+ 3fc   :  3fd74 ; 
+ 3fd   :  3fd8b ; 
+ 3fe   :  3fda2 ; 
+ 3ff   :  3fdb9 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_12.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_12.mif
new file mode 100644
index 0000000000000000000000000000000000000000..cae98f690ba350b4d98339427a96a9c935288a76
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_12.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  6a ; 
+ 1   :  6e ; 
+ 2   :  73 ; 
+ 3   :  77 ; 
+ 4   :  7c ; 
+ 5   :  80 ; 
+ 6   :  85 ; 
+ 7   :  8a ; 
+ 8   :  8e ; 
+ 9   :  93 ; 
+ a   :  97 ; 
+ b   :  9c ; 
+ c   :  a0 ; 
+ d   :  a5 ; 
+ e   :  aa ; 
+ f   :  ae ; 
+ 10   :  b3 ; 
+ 11   :  b8 ; 
+ 12   :  bc ; 
+ 13   :  c1 ; 
+ 14   :  c5 ; 
+ 15   :  ca ; 
+ 16   :  cf ; 
+ 17   :  d3 ; 
+ 18   :  d8 ; 
+ 19   :  dd ; 
+ 1a   :  e2 ; 
+ 1b   :  e6 ; 
+ 1c   :  eb ; 
+ 1d   :  f0 ; 
+ 1e   :  f4 ; 
+ 1f   :  f9 ; 
+ 20   :  fe ; 
+ 21   :  103 ; 
+ 22   :  107 ; 
+ 23   :  10c ; 
+ 24   :  111 ; 
+ 25   :  116 ; 
+ 26   :  11a ; 
+ 27   :  11f ; 
+ 28   :  124 ; 
+ 29   :  129 ; 
+ 2a   :  12e ; 
+ 2b   :  132 ; 
+ 2c   :  137 ; 
+ 2d   :  13c ; 
+ 2e   :  141 ; 
+ 2f   :  146 ; 
+ 30   :  14a ; 
+ 31   :  14f ; 
+ 32   :  154 ; 
+ 33   :  159 ; 
+ 34   :  15e ; 
+ 35   :  163 ; 
+ 36   :  168 ; 
+ 37   :  16d ; 
+ 38   :  171 ; 
+ 39   :  176 ; 
+ 3a   :  17b ; 
+ 3b   :  180 ; 
+ 3c   :  185 ; 
+ 3d   :  18a ; 
+ 3e   :  18f ; 
+ 3f   :  194 ; 
+ 40   :  199 ; 
+ 41   :  19e ; 
+ 42   :  1a3 ; 
+ 43   :  1a8 ; 
+ 44   :  1ac ; 
+ 45   :  1b1 ; 
+ 46   :  1b6 ; 
+ 47   :  1bb ; 
+ 48   :  1c0 ; 
+ 49   :  1c5 ; 
+ 4a   :  1ca ; 
+ 4b   :  1cf ; 
+ 4c   :  1d4 ; 
+ 4d   :  1d9 ; 
+ 4e   :  1de ; 
+ 4f   :  1e3 ; 
+ 50   :  1e8 ; 
+ 51   :  1ed ; 
+ 52   :  1f2 ; 
+ 53   :  1f7 ; 
+ 54   :  1fc ; 
+ 55   :  201 ; 
+ 56   :  207 ; 
+ 57   :  20c ; 
+ 58   :  211 ; 
+ 59   :  216 ; 
+ 5a   :  21b ; 
+ 5b   :  220 ; 
+ 5c   :  225 ; 
+ 5d   :  22a ; 
+ 5e   :  22f ; 
+ 5f   :  234 ; 
+ 60   :  239 ; 
+ 61   :  23e ; 
+ 62   :  243 ; 
+ 63   :  249 ; 
+ 64   :  24e ; 
+ 65   :  253 ; 
+ 66   :  258 ; 
+ 67   :  25d ; 
+ 68   :  262 ; 
+ 69   :  267 ; 
+ 6a   :  26c ; 
+ 6b   :  271 ; 
+ 6c   :  277 ; 
+ 6d   :  27c ; 
+ 6e   :  281 ; 
+ 6f   :  286 ; 
+ 70   :  28b ; 
+ 71   :  290 ; 
+ 72   :  296 ; 
+ 73   :  29b ; 
+ 74   :  2a0 ; 
+ 75   :  2a5 ; 
+ 76   :  2aa ; 
+ 77   :  2af ; 
+ 78   :  2b5 ; 
+ 79   :  2ba ; 
+ 7a   :  2bf ; 
+ 7b   :  2c4 ; 
+ 7c   :  2c9 ; 
+ 7d   :  2ce ; 
+ 7e   :  2d4 ; 
+ 7f   :  2d9 ; 
+ 80   :  2de ; 
+ 81   :  2e3 ; 
+ 82   :  2e8 ; 
+ 83   :  2ee ; 
+ 84   :  2f3 ; 
+ 85   :  2f8 ; 
+ 86   :  2fd ; 
+ 87   :  303 ; 
+ 88   :  308 ; 
+ 89   :  30d ; 
+ 8a   :  312 ; 
+ 8b   :  317 ; 
+ 8c   :  31d ; 
+ 8d   :  322 ; 
+ 8e   :  327 ; 
+ 8f   :  32c ; 
+ 90   :  332 ; 
+ 91   :  337 ; 
+ 92   :  33c ; 
+ 93   :  341 ; 
+ 94   :  347 ; 
+ 95   :  34c ; 
+ 96   :  351 ; 
+ 97   :  356 ; 
+ 98   :  35c ; 
+ 99   :  361 ; 
+ 9a   :  366 ; 
+ 9b   :  36b ; 
+ 9c   :  371 ; 
+ 9d   :  376 ; 
+ 9e   :  37b ; 
+ 9f   :  380 ; 
+ a0   :  386 ; 
+ a1   :  38b ; 
+ a2   :  390 ; 
+ a3   :  396 ; 
+ a4   :  39b ; 
+ a5   :  3a0 ; 
+ a6   :  3a5 ; 
+ a7   :  3ab ; 
+ a8   :  3b0 ; 
+ a9   :  3b5 ; 
+ aa   :  3ba ; 
+ ab   :  3c0 ; 
+ ac   :  3c5 ; 
+ ad   :  3ca ; 
+ ae   :  3d0 ; 
+ af   :  3d5 ; 
+ b0   :  3da ; 
+ b1   :  3df ; 
+ b2   :  3e5 ; 
+ b3   :  3ea ; 
+ b4   :  3ef ; 
+ b5   :  3f5 ; 
+ b6   :  3fa ; 
+ b7   :  3ff ; 
+ b8   :  404 ; 
+ b9   :  40a ; 
+ ba   :  40f ; 
+ bb   :  414 ; 
+ bc   :  41a ; 
+ bd   :  41f ; 
+ be   :  424 ; 
+ bf   :  429 ; 
+ c0   :  42f ; 
+ c1   :  434 ; 
+ c2   :  439 ; 
+ c3   :  43e ; 
+ c4   :  444 ; 
+ c5   :  449 ; 
+ c6   :  44e ; 
+ c7   :  454 ; 
+ c8   :  459 ; 
+ c9   :  45e ; 
+ ca   :  463 ; 
+ cb   :  469 ; 
+ cc   :  46e ; 
+ cd   :  473 ; 
+ ce   :  479 ; 
+ cf   :  47e ; 
+ d0   :  483 ; 
+ d1   :  488 ; 
+ d2   :  48e ; 
+ d3   :  493 ; 
+ d4   :  498 ; 
+ d5   :  49d ; 
+ d6   :  4a3 ; 
+ d7   :  4a8 ; 
+ d8   :  4ad ; 
+ d9   :  4b2 ; 
+ da   :  4b8 ; 
+ db   :  4bd ; 
+ dc   :  4c2 ; 
+ dd   :  4c7 ; 
+ de   :  4cd ; 
+ df   :  4d2 ; 
+ e0   :  4d7 ; 
+ e1   :  4dc ; 
+ e2   :  4e2 ; 
+ e3   :  4e7 ; 
+ e4   :  4ec ; 
+ e5   :  4f1 ; 
+ e6   :  4f6 ; 
+ e7   :  4fc ; 
+ e8   :  501 ; 
+ e9   :  506 ; 
+ ea   :  50b ; 
+ eb   :  511 ; 
+ ec   :  516 ; 
+ ed   :  51b ; 
+ ee   :  520 ; 
+ ef   :  525 ; 
+ f0   :  52b ; 
+ f1   :  530 ; 
+ f2   :  535 ; 
+ f3   :  53a ; 
+ f4   :  53f ; 
+ f5   :  545 ; 
+ f6   :  54a ; 
+ f7   :  54f ; 
+ f8   :  554 ; 
+ f9   :  559 ; 
+ fa   :  55e ; 
+ fb   :  564 ; 
+ fc   :  569 ; 
+ fd   :  56e ; 
+ fe   :  573 ; 
+ ff   :  578 ; 
+ 100   :  57d ; 
+ 101   :  582 ; 
+ 102   :  588 ; 
+ 103   :  58d ; 
+ 104   :  592 ; 
+ 105   :  597 ; 
+ 106   :  59c ; 
+ 107   :  5a1 ; 
+ 108   :  5a6 ; 
+ 109   :  5ab ; 
+ 10a   :  5b0 ; 
+ 10b   :  5b6 ; 
+ 10c   :  5bb ; 
+ 10d   :  5c0 ; 
+ 10e   :  5c5 ; 
+ 10f   :  5ca ; 
+ 110   :  5cf ; 
+ 111   :  5d4 ; 
+ 112   :  5d9 ; 
+ 113   :  5de ; 
+ 114   :  5e3 ; 
+ 115   :  5e8 ; 
+ 116   :  5ed ; 
+ 117   :  5f2 ; 
+ 118   :  5f7 ; 
+ 119   :  5fc ; 
+ 11a   :  601 ; 
+ 11b   :  606 ; 
+ 11c   :  60b ; 
+ 11d   :  610 ; 
+ 11e   :  615 ; 
+ 11f   :  61a ; 
+ 120   :  61f ; 
+ 121   :  624 ; 
+ 122   :  629 ; 
+ 123   :  62e ; 
+ 124   :  633 ; 
+ 125   :  638 ; 
+ 126   :  63d ; 
+ 127   :  642 ; 
+ 128   :  647 ; 
+ 129   :  64c ; 
+ 12a   :  651 ; 
+ 12b   :  656 ; 
+ 12c   :  65b ; 
+ 12d   :  660 ; 
+ 12e   :  664 ; 
+ 12f   :  669 ; 
+ 130   :  66e ; 
+ 131   :  673 ; 
+ 132   :  678 ; 
+ 133   :  67d ; 
+ 134   :  682 ; 
+ 135   :  686 ; 
+ 136   :  68b ; 
+ 137   :  690 ; 
+ 138   :  695 ; 
+ 139   :  69a ; 
+ 13a   :  69f ; 
+ 13b   :  6a3 ; 
+ 13c   :  6a8 ; 
+ 13d   :  6ad ; 
+ 13e   :  6b2 ; 
+ 13f   :  6b6 ; 
+ 140   :  6bb ; 
+ 141   :  6c0 ; 
+ 142   :  6c5 ; 
+ 143   :  6c9 ; 
+ 144   :  6ce ; 
+ 145   :  6d3 ; 
+ 146   :  6d8 ; 
+ 147   :  6dc ; 
+ 148   :  6e1 ; 
+ 149   :  6e6 ; 
+ 14a   :  6ea ; 
+ 14b   :  6ef ; 
+ 14c   :  6f4 ; 
+ 14d   :  6f8 ; 
+ 14e   :  6fd ; 
+ 14f   :  702 ; 
+ 150   :  706 ; 
+ 151   :  70b ; 
+ 152   :  70f ; 
+ 153   :  714 ; 
+ 154   :  719 ; 
+ 155   :  71d ; 
+ 156   :  722 ; 
+ 157   :  726 ; 
+ 158   :  72b ; 
+ 159   :  72f ; 
+ 15a   :  734 ; 
+ 15b   :  738 ; 
+ 15c   :  73d ; 
+ 15d   :  741 ; 
+ 15e   :  746 ; 
+ 15f   :  74a ; 
+ 160   :  74f ; 
+ 161   :  753 ; 
+ 162   :  758 ; 
+ 163   :  75c ; 
+ 164   :  761 ; 
+ 165   :  765 ; 
+ 166   :  76a ; 
+ 167   :  76e ; 
+ 168   :  772 ; 
+ 169   :  777 ; 
+ 16a   :  77b ; 
+ 16b   :  77f ; 
+ 16c   :  784 ; 
+ 16d   :  788 ; 
+ 16e   :  78c ; 
+ 16f   :  791 ; 
+ 170   :  795 ; 
+ 171   :  799 ; 
+ 172   :  79e ; 
+ 173   :  7a2 ; 
+ 174   :  7a6 ; 
+ 175   :  7aa ; 
+ 176   :  7af ; 
+ 177   :  7b3 ; 
+ 178   :  7b7 ; 
+ 179   :  7bb ; 
+ 17a   :  7c0 ; 
+ 17b   :  7c4 ; 
+ 17c   :  7c8 ; 
+ 17d   :  7cc ; 
+ 17e   :  7d0 ; 
+ 17f   :  7d4 ; 
+ 180   :  7d8 ; 
+ 181   :  7dd ; 
+ 182   :  7e1 ; 
+ 183   :  7e5 ; 
+ 184   :  7e9 ; 
+ 185   :  7ed ; 
+ 186   :  7f1 ; 
+ 187   :  7f5 ; 
+ 188   :  7f9 ; 
+ 189   :  7fd ; 
+ 18a   :  801 ; 
+ 18b   :  805 ; 
+ 18c   :  809 ; 
+ 18d   :  80d ; 
+ 18e   :  811 ; 
+ 18f   :  815 ; 
+ 190   :  819 ; 
+ 191   :  81d ; 
+ 192   :  821 ; 
+ 193   :  824 ; 
+ 194   :  828 ; 
+ 195   :  82c ; 
+ 196   :  830 ; 
+ 197   :  834 ; 
+ 198   :  838 ; 
+ 199   :  83b ; 
+ 19a   :  83f ; 
+ 19b   :  843 ; 
+ 19c   :  847 ; 
+ 19d   :  84b ; 
+ 19e   :  84e ; 
+ 19f   :  852 ; 
+ 1a0   :  856 ; 
+ 1a1   :  859 ; 
+ 1a2   :  85d ; 
+ 1a3   :  861 ; 
+ 1a4   :  864 ; 
+ 1a5   :  868 ; 
+ 1a6   :  86c ; 
+ 1a7   :  86f ; 
+ 1a8   :  873 ; 
+ 1a9   :  876 ; 
+ 1aa   :  87a ; 
+ 1ab   :  87e ; 
+ 1ac   :  881 ; 
+ 1ad   :  885 ; 
+ 1ae   :  888 ; 
+ 1af   :  88c ; 
+ 1b0   :  88f ; 
+ 1b1   :  893 ; 
+ 1b2   :  896 ; 
+ 1b3   :  899 ; 
+ 1b4   :  89d ; 
+ 1b5   :  8a0 ; 
+ 1b6   :  8a4 ; 
+ 1b7   :  8a7 ; 
+ 1b8   :  8aa ; 
+ 1b9   :  8ae ; 
+ 1ba   :  8b1 ; 
+ 1bb   :  8b4 ; 
+ 1bc   :  8b8 ; 
+ 1bd   :  8bb ; 
+ 1be   :  8be ; 
+ 1bf   :  8c1 ; 
+ 1c0   :  8c5 ; 
+ 1c1   :  8c8 ; 
+ 1c2   :  8cb ; 
+ 1c3   :  8ce ; 
+ 1c4   :  8d1 ; 
+ 1c5   :  8d5 ; 
+ 1c6   :  8d8 ; 
+ 1c7   :  8db ; 
+ 1c8   :  8de ; 
+ 1c9   :  8e1 ; 
+ 1ca   :  8e4 ; 
+ 1cb   :  8e7 ; 
+ 1cc   :  8ea ; 
+ 1cd   :  8ed ; 
+ 1ce   :  8f0 ; 
+ 1cf   :  8f3 ; 
+ 1d0   :  8f6 ; 
+ 1d1   :  8f9 ; 
+ 1d2   :  8fc ; 
+ 1d3   :  8ff ; 
+ 1d4   :  902 ; 
+ 1d5   :  905 ; 
+ 1d6   :  908 ; 
+ 1d7   :  90a ; 
+ 1d8   :  90d ; 
+ 1d9   :  910 ; 
+ 1da   :  913 ; 
+ 1db   :  916 ; 
+ 1dc   :  918 ; 
+ 1dd   :  91b ; 
+ 1de   :  91e ; 
+ 1df   :  921 ; 
+ 1e0   :  923 ; 
+ 1e1   :  926 ; 
+ 1e2   :  929 ; 
+ 1e3   :  92b ; 
+ 1e4   :  92e ; 
+ 1e5   :  930 ; 
+ 1e6   :  933 ; 
+ 1e7   :  936 ; 
+ 1e8   :  938 ; 
+ 1e9   :  93b ; 
+ 1ea   :  93d ; 
+ 1eb   :  940 ; 
+ 1ec   :  942 ; 
+ 1ed   :  945 ; 
+ 1ee   :  947 ; 
+ 1ef   :  949 ; 
+ 1f0   :  94c ; 
+ 1f1   :  94e ; 
+ 1f2   :  950 ; 
+ 1f3   :  953 ; 
+ 1f4   :  955 ; 
+ 1f5   :  957 ; 
+ 1f6   :  95a ; 
+ 1f7   :  95c ; 
+ 1f8   :  95e ; 
+ 1f9   :  960 ; 
+ 1fa   :  963 ; 
+ 1fb   :  965 ; 
+ 1fc   :  967 ; 
+ 1fd   :  969 ; 
+ 1fe   :  96b ; 
+ 1ff   :  96d ; 
+ 200   :  96f ; 
+ 201   :  972 ; 
+ 202   :  974 ; 
+ 203   :  976 ; 
+ 204   :  978 ; 
+ 205   :  97a ; 
+ 206   :  97c ; 
+ 207   :  97e ; 
+ 208   :  97f ; 
+ 209   :  981 ; 
+ 20a   :  983 ; 
+ 20b   :  985 ; 
+ 20c   :  987 ; 
+ 20d   :  989 ; 
+ 20e   :  98b ; 
+ 20f   :  98c ; 
+ 210   :  98e ; 
+ 211   :  990 ; 
+ 212   :  992 ; 
+ 213   :  993 ; 
+ 214   :  995 ; 
+ 215   :  997 ; 
+ 216   :  998 ; 
+ 217   :  99a ; 
+ 218   :  99c ; 
+ 219   :  99d ; 
+ 21a   :  99f ; 
+ 21b   :  9a0 ; 
+ 21c   :  9a2 ; 
+ 21d   :  9a3 ; 
+ 21e   :  9a5 ; 
+ 21f   :  9a6 ; 
+ 220   :  9a8 ; 
+ 221   :  9a9 ; 
+ 222   :  9aa ; 
+ 223   :  9ac ; 
+ 224   :  9ad ; 
+ 225   :  9ae ; 
+ 226   :  9b0 ; 
+ 227   :  9b1 ; 
+ 228   :  9b2 ; 
+ 229   :  9b4 ; 
+ 22a   :  9b5 ; 
+ 22b   :  9b6 ; 
+ 22c   :  9b7 ; 
+ 22d   :  9b8 ; 
+ 22e   :  9b9 ; 
+ 22f   :  9ba ; 
+ 230   :  9bc ; 
+ 231   :  9bd ; 
+ 232   :  9be ; 
+ 233   :  9bf ; 
+ 234   :  9c0 ; 
+ 235   :  9c1 ; 
+ 236   :  9c2 ; 
+ 237   :  9c2 ; 
+ 238   :  9c3 ; 
+ 239   :  9c4 ; 
+ 23a   :  9c5 ; 
+ 23b   :  9c6 ; 
+ 23c   :  9c7 ; 
+ 23d   :  9c8 ; 
+ 23e   :  9c8 ; 
+ 23f   :  9c9 ; 
+ 240   :  9ca ; 
+ 241   :  9ca ; 
+ 242   :  9cb ; 
+ 243   :  9cc ; 
+ 244   :  9cc ; 
+ 245   :  9cd ; 
+ 246   :  9ce ; 
+ 247   :  9ce ; 
+ 248   :  9cf ; 
+ 249   :  9cf ; 
+ 24a   :  9d0 ; 
+ 24b   :  9d0 ; 
+ 24c   :  9d1 ; 
+ 24d   :  9d1 ; 
+ 24e   :  9d1 ; 
+ 24f   :  9d2 ; 
+ 250   :  9d2 ; 
+ 251   :  9d2 ; 
+ 252   :  9d3 ; 
+ 253   :  9d3 ; 
+ 254   :  9d3 ; 
+ 255   :  9d4 ; 
+ 256   :  9d4 ; 
+ 257   :  9d4 ; 
+ 258   :  9d4 ; 
+ 259   :  9d4 ; 
+ 25a   :  9d4 ; 
+ 25b   :  9d4 ; 
+ 25c   :  9d4 ; 
+ 25d   :  9d4 ; 
+ 25e   :  9d5 ; 
+ 25f   :  9d4 ; 
+ 260   :  9d4 ; 
+ 261   :  9d4 ; 
+ 262   :  9d4 ; 
+ 263   :  9d4 ; 
+ 264   :  9d4 ; 
+ 265   :  9d4 ; 
+ 266   :  9d4 ; 
+ 267   :  9d4 ; 
+ 268   :  9d3 ; 
+ 269   :  9d3 ; 
+ 26a   :  9d3 ; 
+ 26b   :  9d2 ; 
+ 26c   :  9d2 ; 
+ 26d   :  9d2 ; 
+ 26e   :  9d1 ; 
+ 26f   :  9d1 ; 
+ 270   :  9d0 ; 
+ 271   :  9d0 ; 
+ 272   :  9d0 ; 
+ 273   :  9cf ; 
+ 274   :  9cf ; 
+ 275   :  9ce ; 
+ 276   :  9cd ; 
+ 277   :  9cd ; 
+ 278   :  9cc ; 
+ 279   :  9cb ; 
+ 27a   :  9cb ; 
+ 27b   :  9ca ; 
+ 27c   :  9c9 ; 
+ 27d   :  9c9 ; 
+ 27e   :  9c8 ; 
+ 27f   :  9c7 ; 
+ 280   :  9c6 ; 
+ 281   :  9c5 ; 
+ 282   :  9c4 ; 
+ 283   :  9c3 ; 
+ 284   :  9c3 ; 
+ 285   :  9c2 ; 
+ 286   :  9c1 ; 
+ 287   :  9c0 ; 
+ 288   :  9be ; 
+ 289   :  9bd ; 
+ 28a   :  9bc ; 
+ 28b   :  9bb ; 
+ 28c   :  9ba ; 
+ 28d   :  9b9 ; 
+ 28e   :  9b8 ; 
+ 28f   :  9b6 ; 
+ 290   :  9b5 ; 
+ 291   :  9b4 ; 
+ 292   :  9b3 ; 
+ 293   :  9b1 ; 
+ 294   :  9b0 ; 
+ 295   :  9af ; 
+ 296   :  9ad ; 
+ 297   :  9ac ; 
+ 298   :  9aa ; 
+ 299   :  9a9 ; 
+ 29a   :  9a7 ; 
+ 29b   :  9a6 ; 
+ 29c   :  9a4 ; 
+ 29d   :  9a2 ; 
+ 29e   :  9a1 ; 
+ 29f   :  99f ; 
+ 2a0   :  99e ; 
+ 2a1   :  99c ; 
+ 2a2   :  99a ; 
+ 2a3   :  998 ; 
+ 2a4   :  997 ; 
+ 2a5   :  995 ; 
+ 2a6   :  993 ; 
+ 2a7   :  991 ; 
+ 2a8   :  98f ; 
+ 2a9   :  98d ; 
+ 2aa   :  98b ; 
+ 2ab   :  989 ; 
+ 2ac   :  987 ; 
+ 2ad   :  985 ; 
+ 2ae   :  983 ; 
+ 2af   :  981 ; 
+ 2b0   :  97f ; 
+ 2b1   :  97d ; 
+ 2b2   :  97b ; 
+ 2b3   :  979 ; 
+ 2b4   :  976 ; 
+ 2b5   :  974 ; 
+ 2b6   :  972 ; 
+ 2b7   :  970 ; 
+ 2b8   :  96d ; 
+ 2b9   :  96b ; 
+ 2ba   :  969 ; 
+ 2bb   :  966 ; 
+ 2bc   :  964 ; 
+ 2bd   :  961 ; 
+ 2be   :  95f ; 
+ 2bf   :  95c ; 
+ 2c0   :  95a ; 
+ 2c1   :  957 ; 
+ 2c2   :  954 ; 
+ 2c3   :  952 ; 
+ 2c4   :  94f ; 
+ 2c5   :  94d ; 
+ 2c6   :  94a ; 
+ 2c7   :  947 ; 
+ 2c8   :  944 ; 
+ 2c9   :  942 ; 
+ 2ca   :  93f ; 
+ 2cb   :  93c ; 
+ 2cc   :  939 ; 
+ 2cd   :  936 ; 
+ 2ce   :  933 ; 
+ 2cf   :  930 ; 
+ 2d0   :  92d ; 
+ 2d1   :  92a ; 
+ 2d2   :  927 ; 
+ 2d3   :  924 ; 
+ 2d4   :  921 ; 
+ 2d5   :  91e ; 
+ 2d6   :  91b ; 
+ 2d7   :  918 ; 
+ 2d8   :  914 ; 
+ 2d9   :  911 ; 
+ 2da   :  90e ; 
+ 2db   :  90b ; 
+ 2dc   :  907 ; 
+ 2dd   :  904 ; 
+ 2de   :  901 ; 
+ 2df   :  8fd ; 
+ 2e0   :  8fa ; 
+ 2e1   :  8f6 ; 
+ 2e2   :  8f3 ; 
+ 2e3   :  8ef ; 
+ 2e4   :  8ec ; 
+ 2e5   :  8e8 ; 
+ 2e6   :  8e5 ; 
+ 2e7   :  8e1 ; 
+ 2e8   :  8dd ; 
+ 2e9   :  8da ; 
+ 2ea   :  8d6 ; 
+ 2eb   :  8d2 ; 
+ 2ec   :  8ce ; 
+ 2ed   :  8cb ; 
+ 2ee   :  8c7 ; 
+ 2ef   :  8c3 ; 
+ 2f0   :  8bf ; 
+ 2f1   :  8bb ; 
+ 2f2   :  8b7 ; 
+ 2f3   :  8b3 ; 
+ 2f4   :  8af ; 
+ 2f5   :  8ab ; 
+ 2f6   :  8a7 ; 
+ 2f7   :  8a3 ; 
+ 2f8   :  89f ; 
+ 2f9   :  89b ; 
+ 2fa   :  897 ; 
+ 2fb   :  892 ; 
+ 2fc   :  88e ; 
+ 2fd   :  88a ; 
+ 2fe   :  886 ; 
+ 2ff   :  881 ; 
+ 300   :  87d ; 
+ 301   :  879 ; 
+ 302   :  874 ; 
+ 303   :  870 ; 
+ 304   :  86b ; 
+ 305   :  867 ; 
+ 306   :  862 ; 
+ 307   :  85e ; 
+ 308   :  859 ; 
+ 309   :  855 ; 
+ 30a   :  850 ; 
+ 30b   :  84c ; 
+ 30c   :  847 ; 
+ 30d   :  842 ; 
+ 30e   :  83d ; 
+ 30f   :  839 ; 
+ 310   :  834 ; 
+ 311   :  82f ; 
+ 312   :  82a ; 
+ 313   :  825 ; 
+ 314   :  820 ; 
+ 315   :  81c ; 
+ 316   :  817 ; 
+ 317   :  812 ; 
+ 318   :  80d ; 
+ 319   :  808 ; 
+ 31a   :  803 ; 
+ 31b   :  7fd ; 
+ 31c   :  7f8 ; 
+ 31d   :  7f3 ; 
+ 31e   :  7ee ; 
+ 31f   :  7e9 ; 
+ 320   :  7e4 ; 
+ 321   :  7de ; 
+ 322   :  7d9 ; 
+ 323   :  7d4 ; 
+ 324   :  7ce ; 
+ 325   :  7c9 ; 
+ 326   :  7c4 ; 
+ 327   :  7be ; 
+ 328   :  7b9 ; 
+ 329   :  7b3 ; 
+ 32a   :  7ae ; 
+ 32b   :  7a8 ; 
+ 32c   :  7a2 ; 
+ 32d   :  79d ; 
+ 32e   :  797 ; 
+ 32f   :  792 ; 
+ 330   :  78c ; 
+ 331   :  786 ; 
+ 332   :  780 ; 
+ 333   :  77b ; 
+ 334   :  775 ; 
+ 335   :  76f ; 
+ 336   :  769 ; 
+ 337   :  763 ; 
+ 338   :  75d ; 
+ 339   :  757 ; 
+ 33a   :  751 ; 
+ 33b   :  74c ; 
+ 33c   :  745 ; 
+ 33d   :  73f ; 
+ 33e   :  739 ; 
+ 33f   :  733 ; 
+ 340   :  72d ; 
+ 341   :  727 ; 
+ 342   :  721 ; 
+ 343   :  71b ; 
+ 344   :  714 ; 
+ 345   :  70e ; 
+ 346   :  708 ; 
+ 347   :  701 ; 
+ 348   :  6fb ; 
+ 349   :  6f5 ; 
+ 34a   :  6ee ; 
+ 34b   :  6e8 ; 
+ 34c   :  6e1 ; 
+ 34d   :  6db ; 
+ 34e   :  6d4 ; 
+ 34f   :  6ce ; 
+ 350   :  6c7 ; 
+ 351   :  6c1 ; 
+ 352   :  6ba ; 
+ 353   :  6b3 ; 
+ 354   :  6ad ; 
+ 355   :  6a6 ; 
+ 356   :  69f ; 
+ 357   :  698 ; 
+ 358   :  692 ; 
+ 359   :  68b ; 
+ 35a   :  684 ; 
+ 35b   :  67d ; 
+ 35c   :  676 ; 
+ 35d   :  66f ; 
+ 35e   :  668 ; 
+ 35f   :  661 ; 
+ 360   :  65a ; 
+ 361   :  653 ; 
+ 362   :  64c ; 
+ 363   :  645 ; 
+ 364   :  63e ; 
+ 365   :  637 ; 
+ 366   :  630 ; 
+ 367   :  628 ; 
+ 368   :  621 ; 
+ 369   :  61a ; 
+ 36a   :  613 ; 
+ 36b   :  60b ; 
+ 36c   :  604 ; 
+ 36d   :  5fd ; 
+ 36e   :  5f5 ; 
+ 36f   :  5ee ; 
+ 370   :  5e6 ; 
+ 371   :  5df ; 
+ 372   :  5d7 ; 
+ 373   :  5d0 ; 
+ 374   :  5c8 ; 
+ 375   :  5c1 ; 
+ 376   :  5b9 ; 
+ 377   :  5b1 ; 
+ 378   :  5aa ; 
+ 379   :  5a2 ; 
+ 37a   :  59a ; 
+ 37b   :  593 ; 
+ 37c   :  58b ; 
+ 37d   :  583 ; 
+ 37e   :  57b ; 
+ 37f   :  573 ; 
+ 380   :  56b ; 
+ 381   :  564 ; 
+ 382   :  55c ; 
+ 383   :  554 ; 
+ 384   :  54c ; 
+ 385   :  544 ; 
+ 386   :  53c ; 
+ 387   :  534 ; 
+ 388   :  52c ; 
+ 389   :  523 ; 
+ 38a   :  51b ; 
+ 38b   :  513 ; 
+ 38c   :  50b ; 
+ 38d   :  503 ; 
+ 38e   :  4fa ; 
+ 38f   :  4f2 ; 
+ 390   :  4ea ; 
+ 391   :  4e2 ; 
+ 392   :  4d9 ; 
+ 393   :  4d1 ; 
+ 394   :  4c9 ; 
+ 395   :  4c0 ; 
+ 396   :  4b8 ; 
+ 397   :  4af ; 
+ 398   :  4a7 ; 
+ 399   :  49e ; 
+ 39a   :  496 ; 
+ 39b   :  48d ; 
+ 39c   :  485 ; 
+ 39d   :  47c ; 
+ 39e   :  473 ; 
+ 39f   :  46b ; 
+ 3a0   :  462 ; 
+ 3a1   :  459 ; 
+ 3a2   :  450 ; 
+ 3a3   :  448 ; 
+ 3a4   :  43f ; 
+ 3a5   :  436 ; 
+ 3a6   :  42d ; 
+ 3a7   :  424 ; 
+ 3a8   :  41b ; 
+ 3a9   :  413 ; 
+ 3aa   :  40a ; 
+ 3ab   :  401 ; 
+ 3ac   :  3f8 ; 
+ 3ad   :  3ef ; 
+ 3ae   :  3e6 ; 
+ 3af   :  3dd ; 
+ 3b0   :  3d3 ; 
+ 3b1   :  3ca ; 
+ 3b2   :  3c1 ; 
+ 3b3   :  3b8 ; 
+ 3b4   :  3af ; 
+ 3b5   :  3a6 ; 
+ 3b6   :  39c ; 
+ 3b7   :  393 ; 
+ 3b8   :  38a ; 
+ 3b9   :  381 ; 
+ 3ba   :  377 ; 
+ 3bb   :  36e ; 
+ 3bc   :  365 ; 
+ 3bd   :  35b ; 
+ 3be   :  352 ; 
+ 3bf   :  348 ; 
+ 3c0   :  33f ; 
+ 3c1   :  335 ; 
+ 3c2   :  32c ; 
+ 3c3   :  322 ; 
+ 3c4   :  319 ; 
+ 3c5   :  30f ; 
+ 3c6   :  306 ; 
+ 3c7   :  2fc ; 
+ 3c8   :  2f2 ; 
+ 3c9   :  2e9 ; 
+ 3ca   :  2df ; 
+ 3cb   :  2d5 ; 
+ 3cc   :  2cc ; 
+ 3cd   :  2c2 ; 
+ 3ce   :  2b8 ; 
+ 3cf   :  2ae ; 
+ 3d0   :  2a4 ; 
+ 3d1   :  29b ; 
+ 3d2   :  291 ; 
+ 3d3   :  287 ; 
+ 3d4   :  27d ; 
+ 3d5   :  273 ; 
+ 3d6   :  269 ; 
+ 3d7   :  25f ; 
+ 3d8   :  255 ; 
+ 3d9   :  24b ; 
+ 3da   :  241 ; 
+ 3db   :  237 ; 
+ 3dc   :  22d ; 
+ 3dd   :  223 ; 
+ 3de   :  219 ; 
+ 3df   :  20e ; 
+ 3e0   :  204 ; 
+ 3e1   :  1fa ; 
+ 3e2   :  1f0 ; 
+ 3e3   :  1e6 ; 
+ 3e4   :  1db ; 
+ 3e5   :  1d1 ; 
+ 3e6   :  1c7 ; 
+ 3e7   :  1bc ; 
+ 3e8   :  1b2 ; 
+ 3e9   :  1a8 ; 
+ 3ea   :  19d ; 
+ 3eb   :  193 ; 
+ 3ec   :  188 ; 
+ 3ed   :  17e ; 
+ 3ee   :  174 ; 
+ 3ef   :  169 ; 
+ 3f0   :  15f ; 
+ 3f1   :  154 ; 
+ 3f2   :  14a ; 
+ 3f3   :  13f ; 
+ 3f4   :  134 ; 
+ 3f5   :  12a ; 
+ 3f6   :  11f ; 
+ 3f7   :  115 ; 
+ 3f8   :  10a ; 
+ 3f9   :  ff ; 
+ 3fa   :  f4 ; 
+ 3fb   :  ea ; 
+ 3fc   :  df ; 
+ 3fd   :  d4 ; 
+ 3fe   :  c9 ; 
+ 3ff   :  bf ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_13.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_13.mif
new file mode 100644
index 0000000000000000000000000000000000000000..234c7cbf2bea7ed8261ba876959b70ea72583b3f
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_13.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3ff3c ; 
+ 1   :  3ff3b ; 
+ 2   :  3ff39 ; 
+ 3   :  3ff38 ; 
+ 4   :  3ff36 ; 
+ 5   :  3ff35 ; 
+ 6   :  3ff33 ; 
+ 7   :  3ff32 ; 
+ 8   :  3ff30 ; 
+ 9   :  3ff2f ; 
+ a   :  3ff2d ; 
+ b   :  3ff2c ; 
+ c   :  3ff2b ; 
+ d   :  3ff29 ; 
+ e   :  3ff28 ; 
+ f   :  3ff26 ; 
+ 10   :  3ff25 ; 
+ 11   :  3ff23 ; 
+ 12   :  3ff22 ; 
+ 13   :  3ff20 ; 
+ 14   :  3ff1f ; 
+ 15   :  3ff1d ; 
+ 16   :  3ff1c ; 
+ 17   :  3ff1a ; 
+ 18   :  3ff19 ; 
+ 19   :  3ff17 ; 
+ 1a   :  3ff16 ; 
+ 1b   :  3ff14 ; 
+ 1c   :  3ff13 ; 
+ 1d   :  3ff11 ; 
+ 1e   :  3ff10 ; 
+ 1f   :  3ff0e ; 
+ 20   :  3ff0d ; 
+ 21   :  3ff0b ; 
+ 22   :  3ff0a ; 
+ 23   :  3ff08 ; 
+ 24   :  3ff07 ; 
+ 25   :  3ff05 ; 
+ 26   :  3ff04 ; 
+ 27   :  3ff02 ; 
+ 28   :  3ff00 ; 
+ 29   :  3feff ; 
+ 2a   :  3fefd ; 
+ 2b   :  3fefc ; 
+ 2c   :  3fefa ; 
+ 2d   :  3fef9 ; 
+ 2e   :  3fef7 ; 
+ 2f   :  3fef6 ; 
+ 30   :  3fef4 ; 
+ 31   :  3fef3 ; 
+ 32   :  3fef1 ; 
+ 33   :  3fef0 ; 
+ 34   :  3feee ; 
+ 35   :  3feec ; 
+ 36   :  3feeb ; 
+ 37   :  3fee9 ; 
+ 38   :  3fee8 ; 
+ 39   :  3fee6 ; 
+ 3a   :  3fee5 ; 
+ 3b   :  3fee3 ; 
+ 3c   :  3fee2 ; 
+ 3d   :  3fee0 ; 
+ 3e   :  3fede ; 
+ 3f   :  3fedd ; 
+ 40   :  3fedb ; 
+ 41   :  3feda ; 
+ 42   :  3fed8 ; 
+ 43   :  3fed7 ; 
+ 44   :  3fed5 ; 
+ 45   :  3fed3 ; 
+ 46   :  3fed2 ; 
+ 47   :  3fed0 ; 
+ 48   :  3fecf ; 
+ 49   :  3fecd ; 
+ 4a   :  3fecc ; 
+ 4b   :  3feca ; 
+ 4c   :  3fec8 ; 
+ 4d   :  3fec7 ; 
+ 4e   :  3fec5 ; 
+ 4f   :  3fec4 ; 
+ 50   :  3fec2 ; 
+ 51   :  3fec0 ; 
+ 52   :  3febf ; 
+ 53   :  3febd ; 
+ 54   :  3febc ; 
+ 55   :  3feba ; 
+ 56   :  3feb8 ; 
+ 57   :  3feb7 ; 
+ 58   :  3feb5 ; 
+ 59   :  3feb4 ; 
+ 5a   :  3feb2 ; 
+ 5b   :  3feb0 ; 
+ 5c   :  3feaf ; 
+ 5d   :  3fead ; 
+ 5e   :  3feac ; 
+ 5f   :  3feaa ; 
+ 60   :  3fea8 ; 
+ 61   :  3fea7 ; 
+ 62   :  3fea5 ; 
+ 63   :  3fea4 ; 
+ 64   :  3fea2 ; 
+ 65   :  3fea0 ; 
+ 66   :  3fe9f ; 
+ 67   :  3fe9d ; 
+ 68   :  3fe9b ; 
+ 69   :  3fe9a ; 
+ 6a   :  3fe98 ; 
+ 6b   :  3fe97 ; 
+ 6c   :  3fe95 ; 
+ 6d   :  3fe93 ; 
+ 6e   :  3fe92 ; 
+ 6f   :  3fe90 ; 
+ 70   :  3fe8e ; 
+ 71   :  3fe8d ; 
+ 72   :  3fe8b ; 
+ 73   :  3fe8a ; 
+ 74   :  3fe88 ; 
+ 75   :  3fe86 ; 
+ 76   :  3fe85 ; 
+ 77   :  3fe83 ; 
+ 78   :  3fe81 ; 
+ 79   :  3fe80 ; 
+ 7a   :  3fe7e ; 
+ 7b   :  3fe7c ; 
+ 7c   :  3fe7b ; 
+ 7d   :  3fe79 ; 
+ 7e   :  3fe77 ; 
+ 7f   :  3fe76 ; 
+ 80   :  3fe74 ; 
+ 81   :  3fe73 ; 
+ 82   :  3fe71 ; 
+ 83   :  3fe6f ; 
+ 84   :  3fe6e ; 
+ 85   :  3fe6c ; 
+ 86   :  3fe6a ; 
+ 87   :  3fe69 ; 
+ 88   :  3fe67 ; 
+ 89   :  3fe65 ; 
+ 8a   :  3fe64 ; 
+ 8b   :  3fe62 ; 
+ 8c   :  3fe60 ; 
+ 8d   :  3fe5f ; 
+ 8e   :  3fe5d ; 
+ 8f   :  3fe5b ; 
+ 90   :  3fe5a ; 
+ 91   :  3fe58 ; 
+ 92   :  3fe57 ; 
+ 93   :  3fe55 ; 
+ 94   :  3fe53 ; 
+ 95   :  3fe52 ; 
+ 96   :  3fe50 ; 
+ 97   :  3fe4e ; 
+ 98   :  3fe4d ; 
+ 99   :  3fe4b ; 
+ 9a   :  3fe49 ; 
+ 9b   :  3fe48 ; 
+ 9c   :  3fe46 ; 
+ 9d   :  3fe44 ; 
+ 9e   :  3fe43 ; 
+ 9f   :  3fe41 ; 
+ a0   :  3fe3f ; 
+ a1   :  3fe3e ; 
+ a2   :  3fe3c ; 
+ a3   :  3fe3a ; 
+ a4   :  3fe39 ; 
+ a5   :  3fe37 ; 
+ a6   :  3fe35 ; 
+ a7   :  3fe34 ; 
+ a8   :  3fe32 ; 
+ a9   :  3fe30 ; 
+ aa   :  3fe2f ; 
+ ab   :  3fe2d ; 
+ ac   :  3fe2b ; 
+ ad   :  3fe2a ; 
+ ae   :  3fe28 ; 
+ af   :  3fe26 ; 
+ b0   :  3fe25 ; 
+ b1   :  3fe23 ; 
+ b2   :  3fe21 ; 
+ b3   :  3fe20 ; 
+ b4   :  3fe1e ; 
+ b5   :  3fe1d ; 
+ b6   :  3fe1b ; 
+ b7   :  3fe19 ; 
+ b8   :  3fe18 ; 
+ b9   :  3fe16 ; 
+ ba   :  3fe14 ; 
+ bb   :  3fe13 ; 
+ bc   :  3fe11 ; 
+ bd   :  3fe0f ; 
+ be   :  3fe0e ; 
+ bf   :  3fe0c ; 
+ c0   :  3fe0a ; 
+ c1   :  3fe09 ; 
+ c2   :  3fe07 ; 
+ c3   :  3fe05 ; 
+ c4   :  3fe04 ; 
+ c5   :  3fe02 ; 
+ c6   :  3fe00 ; 
+ c7   :  3fdff ; 
+ c8   :  3fdfd ; 
+ c9   :  3fdfb ; 
+ ca   :  3fdfa ; 
+ cb   :  3fdf8 ; 
+ cc   :  3fdf6 ; 
+ cd   :  3fdf5 ; 
+ ce   :  3fdf3 ; 
+ cf   :  3fdf1 ; 
+ d0   :  3fdf0 ; 
+ d1   :  3fdee ; 
+ d2   :  3fded ; 
+ d3   :  3fdeb ; 
+ d4   :  3fde9 ; 
+ d5   :  3fde8 ; 
+ d6   :  3fde6 ; 
+ d7   :  3fde4 ; 
+ d8   :  3fde3 ; 
+ d9   :  3fde1 ; 
+ da   :  3fddf ; 
+ db   :  3fdde ; 
+ dc   :  3fddc ; 
+ dd   :  3fdda ; 
+ de   :  3fdd9 ; 
+ df   :  3fdd7 ; 
+ e0   :  3fdd6 ; 
+ e1   :  3fdd4 ; 
+ e2   :  3fdd2 ; 
+ e3   :  3fdd1 ; 
+ e4   :  3fdcf ; 
+ e5   :  3fdcd ; 
+ e6   :  3fdcc ; 
+ e7   :  3fdca ; 
+ e8   :  3fdc9 ; 
+ e9   :  3fdc7 ; 
+ ea   :  3fdc5 ; 
+ eb   :  3fdc4 ; 
+ ec   :  3fdc2 ; 
+ ed   :  3fdc0 ; 
+ ee   :  3fdbf ; 
+ ef   :  3fdbd ; 
+ f0   :  3fdbc ; 
+ f1   :  3fdba ; 
+ f2   :  3fdb8 ; 
+ f3   :  3fdb7 ; 
+ f4   :  3fdb5 ; 
+ f5   :  3fdb3 ; 
+ f6   :  3fdb2 ; 
+ f7   :  3fdb0 ; 
+ f8   :  3fdaf ; 
+ f9   :  3fdad ; 
+ fa   :  3fdab ; 
+ fb   :  3fdaa ; 
+ fc   :  3fda8 ; 
+ fd   :  3fda7 ; 
+ fe   :  3fda5 ; 
+ ff   :  3fda3 ; 
+ 100   :  3fda2 ; 
+ 101   :  3fda0 ; 
+ 102   :  3fd9f ; 
+ 103   :  3fd9d ; 
+ 104   :  3fd9b ; 
+ 105   :  3fd9a ; 
+ 106   :  3fd98 ; 
+ 107   :  3fd97 ; 
+ 108   :  3fd95 ; 
+ 109   :  3fd94 ; 
+ 10a   :  3fd92 ; 
+ 10b   :  3fd90 ; 
+ 10c   :  3fd8f ; 
+ 10d   :  3fd8d ; 
+ 10e   :  3fd8c ; 
+ 10f   :  3fd8a ; 
+ 110   :  3fd89 ; 
+ 111   :  3fd87 ; 
+ 112   :  3fd85 ; 
+ 113   :  3fd84 ; 
+ 114   :  3fd82 ; 
+ 115   :  3fd81 ; 
+ 116   :  3fd7f ; 
+ 117   :  3fd7e ; 
+ 118   :  3fd7c ; 
+ 119   :  3fd7a ; 
+ 11a   :  3fd79 ; 
+ 11b   :  3fd77 ; 
+ 11c   :  3fd76 ; 
+ 11d   :  3fd74 ; 
+ 11e   :  3fd73 ; 
+ 11f   :  3fd71 ; 
+ 120   :  3fd70 ; 
+ 121   :  3fd6e ; 
+ 122   :  3fd6d ; 
+ 123   :  3fd6b ; 
+ 124   :  3fd6a ; 
+ 125   :  3fd68 ; 
+ 126   :  3fd67 ; 
+ 127   :  3fd65 ; 
+ 128   :  3fd63 ; 
+ 129   :  3fd62 ; 
+ 12a   :  3fd60 ; 
+ 12b   :  3fd5f ; 
+ 12c   :  3fd5d ; 
+ 12d   :  3fd5c ; 
+ 12e   :  3fd5a ; 
+ 12f   :  3fd59 ; 
+ 130   :  3fd57 ; 
+ 131   :  3fd56 ; 
+ 132   :  3fd54 ; 
+ 133   :  3fd53 ; 
+ 134   :  3fd51 ; 
+ 135   :  3fd50 ; 
+ 136   :  3fd4e ; 
+ 137   :  3fd4d ; 
+ 138   :  3fd4c ; 
+ 139   :  3fd4a ; 
+ 13a   :  3fd49 ; 
+ 13b   :  3fd47 ; 
+ 13c   :  3fd46 ; 
+ 13d   :  3fd44 ; 
+ 13e   :  3fd43 ; 
+ 13f   :  3fd41 ; 
+ 140   :  3fd40 ; 
+ 141   :  3fd3e ; 
+ 142   :  3fd3d ; 
+ 143   :  3fd3b ; 
+ 144   :  3fd3a ; 
+ 145   :  3fd39 ; 
+ 146   :  3fd37 ; 
+ 147   :  3fd36 ; 
+ 148   :  3fd34 ; 
+ 149   :  3fd33 ; 
+ 14a   :  3fd31 ; 
+ 14b   :  3fd30 ; 
+ 14c   :  3fd2f ; 
+ 14d   :  3fd2d ; 
+ 14e   :  3fd2c ; 
+ 14f   :  3fd2a ; 
+ 150   :  3fd29 ; 
+ 151   :  3fd28 ; 
+ 152   :  3fd26 ; 
+ 153   :  3fd25 ; 
+ 154   :  3fd23 ; 
+ 155   :  3fd22 ; 
+ 156   :  3fd21 ; 
+ 157   :  3fd1f ; 
+ 158   :  3fd1e ; 
+ 159   :  3fd1c ; 
+ 15a   :  3fd1b ; 
+ 15b   :  3fd1a ; 
+ 15c   :  3fd18 ; 
+ 15d   :  3fd17 ; 
+ 15e   :  3fd16 ; 
+ 15f   :  3fd14 ; 
+ 160   :  3fd13 ; 
+ 161   :  3fd12 ; 
+ 162   :  3fd10 ; 
+ 163   :  3fd0f ; 
+ 164   :  3fd0e ; 
+ 165   :  3fd0c ; 
+ 166   :  3fd0b ; 
+ 167   :  3fd0a ; 
+ 168   :  3fd08 ; 
+ 169   :  3fd07 ; 
+ 16a   :  3fd06 ; 
+ 16b   :  3fd04 ; 
+ 16c   :  3fd03 ; 
+ 16d   :  3fd02 ; 
+ 16e   :  3fd00 ; 
+ 16f   :  3fcff ; 
+ 170   :  3fcfe ; 
+ 171   :  3fcfd ; 
+ 172   :  3fcfb ; 
+ 173   :  3fcfa ; 
+ 174   :  3fcf9 ; 
+ 175   :  3fcf7 ; 
+ 176   :  3fcf6 ; 
+ 177   :  3fcf5 ; 
+ 178   :  3fcf4 ; 
+ 179   :  3fcf2 ; 
+ 17a   :  3fcf1 ; 
+ 17b   :  3fcf0 ; 
+ 17c   :  3fcef ; 
+ 17d   :  3fced ; 
+ 17e   :  3fcec ; 
+ 17f   :  3fceb ; 
+ 180   :  3fcea ; 
+ 181   :  3fce9 ; 
+ 182   :  3fce7 ; 
+ 183   :  3fce6 ; 
+ 184   :  3fce5 ; 
+ 185   :  3fce4 ; 
+ 186   :  3fce3 ; 
+ 187   :  3fce1 ; 
+ 188   :  3fce0 ; 
+ 189   :  3fcdf ; 
+ 18a   :  3fcde ; 
+ 18b   :  3fcdd ; 
+ 18c   :  3fcdb ; 
+ 18d   :  3fcda ; 
+ 18e   :  3fcd9 ; 
+ 18f   :  3fcd8 ; 
+ 190   :  3fcd7 ; 
+ 191   :  3fcd6 ; 
+ 192   :  3fcd5 ; 
+ 193   :  3fcd3 ; 
+ 194   :  3fcd2 ; 
+ 195   :  3fcd1 ; 
+ 196   :  3fcd0 ; 
+ 197   :  3fccf ; 
+ 198   :  3fcce ; 
+ 199   :  3fccd ; 
+ 19a   :  3fccc ; 
+ 19b   :  3fcca ; 
+ 19c   :  3fcc9 ; 
+ 19d   :  3fcc8 ; 
+ 19e   :  3fcc7 ; 
+ 19f   :  3fcc6 ; 
+ 1a0   :  3fcc5 ; 
+ 1a1   :  3fcc4 ; 
+ 1a2   :  3fcc3 ; 
+ 1a3   :  3fcc2 ; 
+ 1a4   :  3fcc1 ; 
+ 1a5   :  3fcc0 ; 
+ 1a6   :  3fcbf ; 
+ 1a7   :  3fcbe ; 
+ 1a8   :  3fcbd ; 
+ 1a9   :  3fcbc ; 
+ 1aa   :  3fcbb ; 
+ 1ab   :  3fcba ; 
+ 1ac   :  3fcb9 ; 
+ 1ad   :  3fcb8 ; 
+ 1ae   :  3fcb7 ; 
+ 1af   :  3fcb6 ; 
+ 1b0   :  3fcb5 ; 
+ 1b1   :  3fcb4 ; 
+ 1b2   :  3fcb3 ; 
+ 1b3   :  3fcb2 ; 
+ 1b4   :  3fcb1 ; 
+ 1b5   :  3fcb0 ; 
+ 1b6   :  3fcaf ; 
+ 1b7   :  3fcae ; 
+ 1b8   :  3fcad ; 
+ 1b9   :  3fcac ; 
+ 1ba   :  3fcab ; 
+ 1bb   :  3fcaa ; 
+ 1bc   :  3fca9 ; 
+ 1bd   :  3fca8 ; 
+ 1be   :  3fca7 ; 
+ 1bf   :  3fca6 ; 
+ 1c0   :  3fca6 ; 
+ 1c1   :  3fca5 ; 
+ 1c2   :  3fca4 ; 
+ 1c3   :  3fca3 ; 
+ 1c4   :  3fca2 ; 
+ 1c5   :  3fca1 ; 
+ 1c6   :  3fca0 ; 
+ 1c7   :  3fc9f ; 
+ 1c8   :  3fc9f ; 
+ 1c9   :  3fc9e ; 
+ 1ca   :  3fc9d ; 
+ 1cb   :  3fc9c ; 
+ 1cc   :  3fc9b ; 
+ 1cd   :  3fc9a ; 
+ 1ce   :  3fc9a ; 
+ 1cf   :  3fc99 ; 
+ 1d0   :  3fc98 ; 
+ 1d1   :  3fc97 ; 
+ 1d2   :  3fc96 ; 
+ 1d3   :  3fc96 ; 
+ 1d4   :  3fc95 ; 
+ 1d5   :  3fc94 ; 
+ 1d6   :  3fc93 ; 
+ 1d7   :  3fc93 ; 
+ 1d8   :  3fc92 ; 
+ 1d9   :  3fc91 ; 
+ 1da   :  3fc90 ; 
+ 1db   :  3fc90 ; 
+ 1dc   :  3fc8f ; 
+ 1dd   :  3fc8e ; 
+ 1de   :  3fc8d ; 
+ 1df   :  3fc8d ; 
+ 1e0   :  3fc8c ; 
+ 1e1   :  3fc8b ; 
+ 1e2   :  3fc8b ; 
+ 1e3   :  3fc8a ; 
+ 1e4   :  3fc89 ; 
+ 1e5   :  3fc89 ; 
+ 1e6   :  3fc88 ; 
+ 1e7   :  3fc87 ; 
+ 1e8   :  3fc87 ; 
+ 1e9   :  3fc86 ; 
+ 1ea   :  3fc85 ; 
+ 1eb   :  3fc85 ; 
+ 1ec   :  3fc84 ; 
+ 1ed   :  3fc83 ; 
+ 1ee   :  3fc83 ; 
+ 1ef   :  3fc82 ; 
+ 1f0   :  3fc82 ; 
+ 1f1   :  3fc81 ; 
+ 1f2   :  3fc80 ; 
+ 1f3   :  3fc80 ; 
+ 1f4   :  3fc7f ; 
+ 1f5   :  3fc7f ; 
+ 1f6   :  3fc7e ; 
+ 1f7   :  3fc7e ; 
+ 1f8   :  3fc7d ; 
+ 1f9   :  3fc7d ; 
+ 1fa   :  3fc7c ; 
+ 1fb   :  3fc7c ; 
+ 1fc   :  3fc7b ; 
+ 1fd   :  3fc7b ; 
+ 1fe   :  3fc7a ; 
+ 1ff   :  3fc7a ; 
+ 200   :  3fc79 ; 
+ 201   :  3fc79 ; 
+ 202   :  3fc78 ; 
+ 203   :  3fc78 ; 
+ 204   :  3fc77 ; 
+ 205   :  3fc77 ; 
+ 206   :  3fc76 ; 
+ 207   :  3fc76 ; 
+ 208   :  3fc75 ; 
+ 209   :  3fc75 ; 
+ 20a   :  3fc75 ; 
+ 20b   :  3fc74 ; 
+ 20c   :  3fc74 ; 
+ 20d   :  3fc73 ; 
+ 20e   :  3fc73 ; 
+ 20f   :  3fc73 ; 
+ 210   :  3fc72 ; 
+ 211   :  3fc72 ; 
+ 212   :  3fc72 ; 
+ 213   :  3fc71 ; 
+ 214   :  3fc71 ; 
+ 215   :  3fc71 ; 
+ 216   :  3fc70 ; 
+ 217   :  3fc70 ; 
+ 218   :  3fc70 ; 
+ 219   :  3fc6f ; 
+ 21a   :  3fc6f ; 
+ 21b   :  3fc6f ; 
+ 21c   :  3fc6f ; 
+ 21d   :  3fc6e ; 
+ 21e   :  3fc6e ; 
+ 21f   :  3fc6e ; 
+ 220   :  3fc6e ; 
+ 221   :  3fc6d ; 
+ 222   :  3fc6d ; 
+ 223   :  3fc6d ; 
+ 224   :  3fc6d ; 
+ 225   :  3fc6d ; 
+ 226   :  3fc6c ; 
+ 227   :  3fc6c ; 
+ 228   :  3fc6c ; 
+ 229   :  3fc6c ; 
+ 22a   :  3fc6c ; 
+ 22b   :  3fc6c ; 
+ 22c   :  3fc6b ; 
+ 22d   :  3fc6b ; 
+ 22e   :  3fc6b ; 
+ 22f   :  3fc6b ; 
+ 230   :  3fc6b ; 
+ 231   :  3fc6b ; 
+ 232   :  3fc6b ; 
+ 233   :  3fc6b ; 
+ 234   :  3fc6b ; 
+ 235   :  3fc6a ; 
+ 236   :  3fc6a ; 
+ 237   :  3fc6a ; 
+ 238   :  3fc6a ; 
+ 239   :  3fc6a ; 
+ 23a   :  3fc6a ; 
+ 23b   :  3fc6a ; 
+ 23c   :  3fc6a ; 
+ 23d   :  3fc6a ; 
+ 23e   :  3fc6a ; 
+ 23f   :  3fc6a ; 
+ 240   :  3fc6a ; 
+ 241   :  3fc6a ; 
+ 242   :  3fc6a ; 
+ 243   :  3fc6a ; 
+ 244   :  3fc6a ; 
+ 245   :  3fc6a ; 
+ 246   :  3fc6b ; 
+ 247   :  3fc6b ; 
+ 248   :  3fc6b ; 
+ 249   :  3fc6b ; 
+ 24a   :  3fc6b ; 
+ 24b   :  3fc6b ; 
+ 24c   :  3fc6b ; 
+ 24d   :  3fc6b ; 
+ 24e   :  3fc6b ; 
+ 24f   :  3fc6c ; 
+ 250   :  3fc6c ; 
+ 251   :  3fc6c ; 
+ 252   :  3fc6c ; 
+ 253   :  3fc6c ; 
+ 254   :  3fc6d ; 
+ 255   :  3fc6d ; 
+ 256   :  3fc6d ; 
+ 257   :  3fc6d ; 
+ 258   :  3fc6d ; 
+ 259   :  3fc6e ; 
+ 25a   :  3fc6e ; 
+ 25b   :  3fc6e ; 
+ 25c   :  3fc6e ; 
+ 25d   :  3fc6f ; 
+ 25e   :  3fc6f ; 
+ 25f   :  3fc6f ; 
+ 260   :  3fc70 ; 
+ 261   :  3fc70 ; 
+ 262   :  3fc70 ; 
+ 263   :  3fc71 ; 
+ 264   :  3fc71 ; 
+ 265   :  3fc71 ; 
+ 266   :  3fc72 ; 
+ 267   :  3fc72 ; 
+ 268   :  3fc72 ; 
+ 269   :  3fc73 ; 
+ 26a   :  3fc73 ; 
+ 26b   :  3fc74 ; 
+ 26c   :  3fc74 ; 
+ 26d   :  3fc74 ; 
+ 26e   :  3fc75 ; 
+ 26f   :  3fc75 ; 
+ 270   :  3fc76 ; 
+ 271   :  3fc76 ; 
+ 272   :  3fc77 ; 
+ 273   :  3fc77 ; 
+ 274   :  3fc78 ; 
+ 275   :  3fc78 ; 
+ 276   :  3fc79 ; 
+ 277   :  3fc79 ; 
+ 278   :  3fc7a ; 
+ 279   :  3fc7a ; 
+ 27a   :  3fc7b ; 
+ 27b   :  3fc7b ; 
+ 27c   :  3fc7c ; 
+ 27d   :  3fc7c ; 
+ 27e   :  3fc7d ; 
+ 27f   :  3fc7e ; 
+ 280   :  3fc7e ; 
+ 281   :  3fc7f ; 
+ 282   :  3fc7f ; 
+ 283   :  3fc80 ; 
+ 284   :  3fc81 ; 
+ 285   :  3fc81 ; 
+ 286   :  3fc82 ; 
+ 287   :  3fc83 ; 
+ 288   :  3fc83 ; 
+ 289   :  3fc84 ; 
+ 28a   :  3fc85 ; 
+ 28b   :  3fc86 ; 
+ 28c   :  3fc86 ; 
+ 28d   :  3fc87 ; 
+ 28e   :  3fc88 ; 
+ 28f   :  3fc88 ; 
+ 290   :  3fc89 ; 
+ 291   :  3fc8a ; 
+ 292   :  3fc8b ; 
+ 293   :  3fc8c ; 
+ 294   :  3fc8c ; 
+ 295   :  3fc8d ; 
+ 296   :  3fc8e ; 
+ 297   :  3fc8f ; 
+ 298   :  3fc90 ; 
+ 299   :  3fc91 ; 
+ 29a   :  3fc91 ; 
+ 29b   :  3fc92 ; 
+ 29c   :  3fc93 ; 
+ 29d   :  3fc94 ; 
+ 29e   :  3fc95 ; 
+ 29f   :  3fc96 ; 
+ 2a0   :  3fc97 ; 
+ 2a1   :  3fc98 ; 
+ 2a2   :  3fc99 ; 
+ 2a3   :  3fc9a ; 
+ 2a4   :  3fc9b ; 
+ 2a5   :  3fc9c ; 
+ 2a6   :  3fc9c ; 
+ 2a7   :  3fc9d ; 
+ 2a8   :  3fc9e ; 
+ 2a9   :  3fc9f ; 
+ 2aa   :  3fca1 ; 
+ 2ab   :  3fca2 ; 
+ 2ac   :  3fca3 ; 
+ 2ad   :  3fca4 ; 
+ 2ae   :  3fca5 ; 
+ 2af   :  3fca6 ; 
+ 2b0   :  3fca7 ; 
+ 2b1   :  3fca8 ; 
+ 2b2   :  3fca9 ; 
+ 2b3   :  3fcaa ; 
+ 2b4   :  3fcab ; 
+ 2b5   :  3fcac ; 
+ 2b6   :  3fcae ; 
+ 2b7   :  3fcaf ; 
+ 2b8   :  3fcb0 ; 
+ 2b9   :  3fcb1 ; 
+ 2ba   :  3fcb2 ; 
+ 2bb   :  3fcb3 ; 
+ 2bc   :  3fcb5 ; 
+ 2bd   :  3fcb6 ; 
+ 2be   :  3fcb7 ; 
+ 2bf   :  3fcb8 ; 
+ 2c0   :  3fcba ; 
+ 2c1   :  3fcbb ; 
+ 2c2   :  3fcbc ; 
+ 2c3   :  3fcbd ; 
+ 2c4   :  3fcbf ; 
+ 2c5   :  3fcc0 ; 
+ 2c6   :  3fcc1 ; 
+ 2c7   :  3fcc3 ; 
+ 2c8   :  3fcc4 ; 
+ 2c9   :  3fcc5 ; 
+ 2ca   :  3fcc7 ; 
+ 2cb   :  3fcc8 ; 
+ 2cc   :  3fcc9 ; 
+ 2cd   :  3fccb ; 
+ 2ce   :  3fccc ; 
+ 2cf   :  3fcce ; 
+ 2d0   :  3fccf ; 
+ 2d1   :  3fcd0 ; 
+ 2d2   :  3fcd2 ; 
+ 2d3   :  3fcd3 ; 
+ 2d4   :  3fcd5 ; 
+ 2d5   :  3fcd6 ; 
+ 2d6   :  3fcd8 ; 
+ 2d7   :  3fcd9 ; 
+ 2d8   :  3fcdb ; 
+ 2d9   :  3fcdc ; 
+ 2da   :  3fcde ; 
+ 2db   :  3fcdf ; 
+ 2dc   :  3fce1 ; 
+ 2dd   :  3fce2 ; 
+ 2de   :  3fce4 ; 
+ 2df   :  3fce6 ; 
+ 2e0   :  3fce7 ; 
+ 2e1   :  3fce9 ; 
+ 2e2   :  3fcea ; 
+ 2e3   :  3fcec ; 
+ 2e4   :  3fcee ; 
+ 2e5   :  3fcef ; 
+ 2e6   :  3fcf1 ; 
+ 2e7   :  3fcf3 ; 
+ 2e8   :  3fcf4 ; 
+ 2e9   :  3fcf6 ; 
+ 2ea   :  3fcf8 ; 
+ 2eb   :  3fcf9 ; 
+ 2ec   :  3fcfb ; 
+ 2ed   :  3fcfd ; 
+ 2ee   :  3fcfe ; 
+ 2ef   :  3fd00 ; 
+ 2f0   :  3fd02 ; 
+ 2f1   :  3fd04 ; 
+ 2f2   :  3fd06 ; 
+ 2f3   :  3fd07 ; 
+ 2f4   :  3fd09 ; 
+ 2f5   :  3fd0b ; 
+ 2f6   :  3fd0d ; 
+ 2f7   :  3fd0f ; 
+ 2f8   :  3fd10 ; 
+ 2f9   :  3fd12 ; 
+ 2fa   :  3fd14 ; 
+ 2fb   :  3fd16 ; 
+ 2fc   :  3fd18 ; 
+ 2fd   :  3fd1a ; 
+ 2fe   :  3fd1c ; 
+ 2ff   :  3fd1e ; 
+ 300   :  3fd20 ; 
+ 301   :  3fd22 ; 
+ 302   :  3fd24 ; 
+ 303   :  3fd25 ; 
+ 304   :  3fd27 ; 
+ 305   :  3fd29 ; 
+ 306   :  3fd2b ; 
+ 307   :  3fd2d ; 
+ 308   :  3fd2f ; 
+ 309   :  3fd32 ; 
+ 30a   :  3fd34 ; 
+ 30b   :  3fd36 ; 
+ 30c   :  3fd38 ; 
+ 30d   :  3fd3a ; 
+ 30e   :  3fd3c ; 
+ 30f   :  3fd3e ; 
+ 310   :  3fd40 ; 
+ 311   :  3fd42 ; 
+ 312   :  3fd44 ; 
+ 313   :  3fd46 ; 
+ 314   :  3fd49 ; 
+ 315   :  3fd4b ; 
+ 316   :  3fd4d ; 
+ 317   :  3fd4f ; 
+ 318   :  3fd51 ; 
+ 319   :  3fd54 ; 
+ 31a   :  3fd56 ; 
+ 31b   :  3fd58 ; 
+ 31c   :  3fd5a ; 
+ 31d   :  3fd5c ; 
+ 31e   :  3fd5f ; 
+ 31f   :  3fd61 ; 
+ 320   :  3fd63 ; 
+ 321   :  3fd66 ; 
+ 322   :  3fd68 ; 
+ 323   :  3fd6a ; 
+ 324   :  3fd6c ; 
+ 325   :  3fd6f ; 
+ 326   :  3fd71 ; 
+ 327   :  3fd74 ; 
+ 328   :  3fd76 ; 
+ 329   :  3fd78 ; 
+ 32a   :  3fd7b ; 
+ 32b   :  3fd7d ; 
+ 32c   :  3fd80 ; 
+ 32d   :  3fd82 ; 
+ 32e   :  3fd84 ; 
+ 32f   :  3fd87 ; 
+ 330   :  3fd89 ; 
+ 331   :  3fd8c ; 
+ 332   :  3fd8e ; 
+ 333   :  3fd91 ; 
+ 334   :  3fd93 ; 
+ 335   :  3fd96 ; 
+ 336   :  3fd98 ; 
+ 337   :  3fd9b ; 
+ 338   :  3fd9d ; 
+ 339   :  3fda0 ; 
+ 33a   :  3fda2 ; 
+ 33b   :  3fda5 ; 
+ 33c   :  3fda8 ; 
+ 33d   :  3fdaa ; 
+ 33e   :  3fdad ; 
+ 33f   :  3fdaf ; 
+ 340   :  3fdb2 ; 
+ 341   :  3fdb5 ; 
+ 342   :  3fdb7 ; 
+ 343   :  3fdba ; 
+ 344   :  3fdbd ; 
+ 345   :  3fdbf ; 
+ 346   :  3fdc2 ; 
+ 347   :  3fdc5 ; 
+ 348   :  3fdc8 ; 
+ 349   :  3fdca ; 
+ 34a   :  3fdcd ; 
+ 34b   :  3fdd0 ; 
+ 34c   :  3fdd3 ; 
+ 34d   :  3fdd5 ; 
+ 34e   :  3fdd8 ; 
+ 34f   :  3fddb ; 
+ 350   :  3fdde ; 
+ 351   :  3fde1 ; 
+ 352   :  3fde3 ; 
+ 353   :  3fde6 ; 
+ 354   :  3fde9 ; 
+ 355   :  3fdec ; 
+ 356   :  3fdef ; 
+ 357   :  3fdf2 ; 
+ 358   :  3fdf5 ; 
+ 359   :  3fdf7 ; 
+ 35a   :  3fdfa ; 
+ 35b   :  3fdfd ; 
+ 35c   :  3fe00 ; 
+ 35d   :  3fe03 ; 
+ 35e   :  3fe06 ; 
+ 35f   :  3fe09 ; 
+ 360   :  3fe0c ; 
+ 361   :  3fe0f ; 
+ 362   :  3fe12 ; 
+ 363   :  3fe15 ; 
+ 364   :  3fe18 ; 
+ 365   :  3fe1b ; 
+ 366   :  3fe1e ; 
+ 367   :  3fe21 ; 
+ 368   :  3fe24 ; 
+ 369   :  3fe27 ; 
+ 36a   :  3fe2a ; 
+ 36b   :  3fe2e ; 
+ 36c   :  3fe31 ; 
+ 36d   :  3fe34 ; 
+ 36e   :  3fe37 ; 
+ 36f   :  3fe3a ; 
+ 370   :  3fe3d ; 
+ 371   :  3fe40 ; 
+ 372   :  3fe44 ; 
+ 373   :  3fe47 ; 
+ 374   :  3fe4a ; 
+ 375   :  3fe4d ; 
+ 376   :  3fe50 ; 
+ 377   :  3fe54 ; 
+ 378   :  3fe57 ; 
+ 379   :  3fe5a ; 
+ 37a   :  3fe5d ; 
+ 37b   :  3fe61 ; 
+ 37c   :  3fe64 ; 
+ 37d   :  3fe67 ; 
+ 37e   :  3fe6a ; 
+ 37f   :  3fe6e ; 
+ 380   :  3fe71 ; 
+ 381   :  3fe74 ; 
+ 382   :  3fe78 ; 
+ 383   :  3fe7b ; 
+ 384   :  3fe7e ; 
+ 385   :  3fe82 ; 
+ 386   :  3fe85 ; 
+ 387   :  3fe89 ; 
+ 388   :  3fe8c ; 
+ 389   :  3fe8f ; 
+ 38a   :  3fe93 ; 
+ 38b   :  3fe96 ; 
+ 38c   :  3fe9a ; 
+ 38d   :  3fe9d ; 
+ 38e   :  3fea1 ; 
+ 38f   :  3fea4 ; 
+ 390   :  3fea8 ; 
+ 391   :  3feab ; 
+ 392   :  3feaf ; 
+ 393   :  3feb2 ; 
+ 394   :  3feb6 ; 
+ 395   :  3feb9 ; 
+ 396   :  3febd ; 
+ 397   :  3fec0 ; 
+ 398   :  3fec4 ; 
+ 399   :  3fec7 ; 
+ 39a   :  3fecb ; 
+ 39b   :  3fecf ; 
+ 39c   :  3fed2 ; 
+ 39d   :  3fed6 ; 
+ 39e   :  3fed9 ; 
+ 39f   :  3fedd ; 
+ 3a0   :  3fee1 ; 
+ 3a1   :  3fee4 ; 
+ 3a2   :  3fee8 ; 
+ 3a3   :  3feec ; 
+ 3a4   :  3feef ; 
+ 3a5   :  3fef3 ; 
+ 3a6   :  3fef7 ; 
+ 3a7   :  3fefa ; 
+ 3a8   :  3fefe ; 
+ 3a9   :  3ff02 ; 
+ 3aa   :  3ff06 ; 
+ 3ab   :  3ff09 ; 
+ 3ac   :  3ff0d ; 
+ 3ad   :  3ff11 ; 
+ 3ae   :  3ff15 ; 
+ 3af   :  3ff18 ; 
+ 3b0   :  3ff1c ; 
+ 3b1   :  3ff20 ; 
+ 3b2   :  3ff24 ; 
+ 3b3   :  3ff28 ; 
+ 3b4   :  3ff2c ; 
+ 3b5   :  3ff2f ; 
+ 3b6   :  3ff33 ; 
+ 3b7   :  3ff37 ; 
+ 3b8   :  3ff3b ; 
+ 3b9   :  3ff3f ; 
+ 3ba   :  3ff43 ; 
+ 3bb   :  3ff47 ; 
+ 3bc   :  3ff4b ; 
+ 3bd   :  3ff4f ; 
+ 3be   :  3ff52 ; 
+ 3bf   :  3ff56 ; 
+ 3c0   :  3ff5a ; 
+ 3c1   :  3ff5e ; 
+ 3c2   :  3ff62 ; 
+ 3c3   :  3ff66 ; 
+ 3c4   :  3ff6a ; 
+ 3c5   :  3ff6e ; 
+ 3c6   :  3ff72 ; 
+ 3c7   :  3ff76 ; 
+ 3c8   :  3ff7a ; 
+ 3c9   :  3ff7e ; 
+ 3ca   :  3ff82 ; 
+ 3cb   :  3ff86 ; 
+ 3cc   :  3ff8b ; 
+ 3cd   :  3ff8f ; 
+ 3ce   :  3ff93 ; 
+ 3cf   :  3ff97 ; 
+ 3d0   :  3ff9b ; 
+ 3d1   :  3ff9f ; 
+ 3d2   :  3ffa3 ; 
+ 3d3   :  3ffa7 ; 
+ 3d4   :  3ffab ; 
+ 3d5   :  3ffb0 ; 
+ 3d6   :  3ffb4 ; 
+ 3d7   :  3ffb8 ; 
+ 3d8   :  3ffbc ; 
+ 3d9   :  3ffc0 ; 
+ 3da   :  3ffc4 ; 
+ 3db   :  3ffc9 ; 
+ 3dc   :  3ffcd ; 
+ 3dd   :  3ffd1 ; 
+ 3de   :  3ffd5 ; 
+ 3df   :  3ffd9 ; 
+ 3e0   :  3ffde ; 
+ 3e1   :  3ffe2 ; 
+ 3e2   :  3ffe6 ; 
+ 3e3   :  3ffea ; 
+ 3e4   :  3ffef ; 
+ 3e5   :  3fff3 ; 
+ 3e6   :  3fff7 ; 
+ 3e7   :  3fffc ; 
+ 3e8   :  0 ; 
+ 3e9   :  4 ; 
+ 3ea   :  9 ; 
+ 3eb   :  d ; 
+ 3ec   :  11 ; 
+ 3ed   :  16 ; 
+ 3ee   :  1a ; 
+ 3ef   :  1e ; 
+ 3f0   :  23 ; 
+ 3f1   :  27 ; 
+ 3f2   :  2b ; 
+ 3f3   :  30 ; 
+ 3f4   :  34 ; 
+ 3f5   :  39 ; 
+ 3f6   :  3d ; 
+ 3f7   :  42 ; 
+ 3f8   :  46 ; 
+ 3f9   :  4a ; 
+ 3fa   :  4f ; 
+ 3fb   :  53 ; 
+ 3fc   :  58 ; 
+ 3fd   :  5c ; 
+ 3fe   :  61 ; 
+ 3ff   :  65 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_14.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_14.mif
new file mode 100644
index 0000000000000000000000000000000000000000..1cddfc9b303050089d0d69d08ac4a8fe4e9d3693
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_14.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  4e ; 
+ 1   :  4e ; 
+ 2   :  4f ; 
+ 3   :  4f ; 
+ 4   :  4f ; 
+ 5   :  4f ; 
+ 6   :  50 ; 
+ 7   :  50 ; 
+ 8   :  50 ; 
+ 9   :  50 ; 
+ a   :  51 ; 
+ b   :  51 ; 
+ c   :  51 ; 
+ d   :  51 ; 
+ e   :  52 ; 
+ f   :  52 ; 
+ 10   :  52 ; 
+ 11   :  52 ; 
+ 12   :  53 ; 
+ 13   :  53 ; 
+ 14   :  53 ; 
+ 15   :  53 ; 
+ 16   :  54 ; 
+ 17   :  54 ; 
+ 18   :  54 ; 
+ 19   :  54 ; 
+ 1a   :  55 ; 
+ 1b   :  55 ; 
+ 1c   :  55 ; 
+ 1d   :  55 ; 
+ 1e   :  56 ; 
+ 1f   :  56 ; 
+ 20   :  56 ; 
+ 21   :  56 ; 
+ 22   :  57 ; 
+ 23   :  57 ; 
+ 24   :  57 ; 
+ 25   :  57 ; 
+ 26   :  58 ; 
+ 27   :  58 ; 
+ 28   :  58 ; 
+ 29   :  58 ; 
+ 2a   :  59 ; 
+ 2b   :  59 ; 
+ 2c   :  59 ; 
+ 2d   :  59 ; 
+ 2e   :  5a ; 
+ 2f   :  5a ; 
+ 30   :  5a ; 
+ 31   :  5b ; 
+ 32   :  5b ; 
+ 33   :  5b ; 
+ 34   :  5b ; 
+ 35   :  5c ; 
+ 36   :  5c ; 
+ 37   :  5c ; 
+ 38   :  5c ; 
+ 39   :  5d ; 
+ 3a   :  5d ; 
+ 3b   :  5d ; 
+ 3c   :  5d ; 
+ 3d   :  5e ; 
+ 3e   :  5e ; 
+ 3f   :  5e ; 
+ 40   :  5e ; 
+ 41   :  5f ; 
+ 42   :  5f ; 
+ 43   :  5f ; 
+ 44   :  5f ; 
+ 45   :  60 ; 
+ 46   :  60 ; 
+ 47   :  60 ; 
+ 48   :  61 ; 
+ 49   :  61 ; 
+ 4a   :  61 ; 
+ 4b   :  61 ; 
+ 4c   :  62 ; 
+ 4d   :  62 ; 
+ 4e   :  62 ; 
+ 4f   :  62 ; 
+ 50   :  63 ; 
+ 51   :  63 ; 
+ 52   :  63 ; 
+ 53   :  63 ; 
+ 54   :  64 ; 
+ 55   :  64 ; 
+ 56   :  64 ; 
+ 57   :  65 ; 
+ 58   :  65 ; 
+ 59   :  65 ; 
+ 5a   :  65 ; 
+ 5b   :  66 ; 
+ 5c   :  66 ; 
+ 5d   :  66 ; 
+ 5e   :  66 ; 
+ 5f   :  67 ; 
+ 60   :  67 ; 
+ 61   :  67 ; 
+ 62   :  67 ; 
+ 63   :  68 ; 
+ 64   :  68 ; 
+ 65   :  68 ; 
+ 66   :  69 ; 
+ 67   :  69 ; 
+ 68   :  69 ; 
+ 69   :  69 ; 
+ 6a   :  6a ; 
+ 6b   :  6a ; 
+ 6c   :  6a ; 
+ 6d   :  6a ; 
+ 6e   :  6b ; 
+ 6f   :  6b ; 
+ 70   :  6b ; 
+ 71   :  6c ; 
+ 72   :  6c ; 
+ 73   :  6c ; 
+ 74   :  6c ; 
+ 75   :  6d ; 
+ 76   :  6d ; 
+ 77   :  6d ; 
+ 78   :  6d ; 
+ 79   :  6e ; 
+ 7a   :  6e ; 
+ 7b   :  6e ; 
+ 7c   :  6f ; 
+ 7d   :  6f ; 
+ 7e   :  6f ; 
+ 7f   :  6f ; 
+ 80   :  70 ; 
+ 81   :  70 ; 
+ 82   :  70 ; 
+ 83   :  70 ; 
+ 84   :  71 ; 
+ 85   :  71 ; 
+ 86   :  71 ; 
+ 87   :  71 ; 
+ 88   :  72 ; 
+ 89   :  72 ; 
+ 8a   :  72 ; 
+ 8b   :  73 ; 
+ 8c   :  73 ; 
+ 8d   :  73 ; 
+ 8e   :  73 ; 
+ 8f   :  74 ; 
+ 90   :  74 ; 
+ 91   :  74 ; 
+ 92   :  74 ; 
+ 93   :  75 ; 
+ 94   :  75 ; 
+ 95   :  75 ; 
+ 96   :  76 ; 
+ 97   :  76 ; 
+ 98   :  76 ; 
+ 99   :  76 ; 
+ 9a   :  77 ; 
+ 9b   :  77 ; 
+ 9c   :  77 ; 
+ 9d   :  77 ; 
+ 9e   :  78 ; 
+ 9f   :  78 ; 
+ a0   :  78 ; 
+ a1   :  79 ; 
+ a2   :  79 ; 
+ a3   :  79 ; 
+ a4   :  79 ; 
+ a5   :  7a ; 
+ a6   :  7a ; 
+ a7   :  7a ; 
+ a8   :  7a ; 
+ a9   :  7b ; 
+ aa   :  7b ; 
+ ab   :  7b ; 
+ ac   :  7b ; 
+ ad   :  7c ; 
+ ae   :  7c ; 
+ af   :  7c ; 
+ b0   :  7d ; 
+ b1   :  7d ; 
+ b2   :  7d ; 
+ b3   :  7d ; 
+ b4   :  7e ; 
+ b5   :  7e ; 
+ b6   :  7e ; 
+ b7   :  7e ; 
+ b8   :  7f ; 
+ b9   :  7f ; 
+ ba   :  7f ; 
+ bb   :  7f ; 
+ bc   :  80 ; 
+ bd   :  80 ; 
+ be   :  80 ; 
+ bf   :  81 ; 
+ c0   :  81 ; 
+ c1   :  81 ; 
+ c2   :  81 ; 
+ c3   :  82 ; 
+ c4   :  82 ; 
+ c5   :  82 ; 
+ c6   :  82 ; 
+ c7   :  83 ; 
+ c8   :  83 ; 
+ c9   :  83 ; 
+ ca   :  83 ; 
+ cb   :  84 ; 
+ cc   :  84 ; 
+ cd   :  84 ; 
+ ce   :  85 ; 
+ cf   :  85 ; 
+ d0   :  85 ; 
+ d1   :  85 ; 
+ d2   :  86 ; 
+ d3   :  86 ; 
+ d4   :  86 ; 
+ d5   :  86 ; 
+ d6   :  87 ; 
+ d7   :  87 ; 
+ d8   :  87 ; 
+ d9   :  87 ; 
+ da   :  88 ; 
+ db   :  88 ; 
+ dc   :  88 ; 
+ dd   :  88 ; 
+ de   :  89 ; 
+ df   :  89 ; 
+ e0   :  89 ; 
+ e1   :  89 ; 
+ e2   :  8a ; 
+ e3   :  8a ; 
+ e4   :  8a ; 
+ e5   :  8a ; 
+ e6   :  8b ; 
+ e7   :  8b ; 
+ e8   :  8b ; 
+ e9   :  8b ; 
+ ea   :  8c ; 
+ eb   :  8c ; 
+ ec   :  8c ; 
+ ed   :  8c ; 
+ ee   :  8d ; 
+ ef   :  8d ; 
+ f0   :  8d ; 
+ f1   :  8d ; 
+ f2   :  8e ; 
+ f3   :  8e ; 
+ f4   :  8e ; 
+ f5   :  8e ; 
+ f6   :  8f ; 
+ f7   :  8f ; 
+ f8   :  8f ; 
+ f9   :  8f ; 
+ fa   :  90 ; 
+ fb   :  90 ; 
+ fc   :  90 ; 
+ fd   :  90 ; 
+ fe   :  91 ; 
+ ff   :  91 ; 
+ 100   :  91 ; 
+ 101   :  91 ; 
+ 102   :  92 ; 
+ 103   :  92 ; 
+ 104   :  92 ; 
+ 105   :  92 ; 
+ 106   :  93 ; 
+ 107   :  93 ; 
+ 108   :  93 ; 
+ 109   :  93 ; 
+ 10a   :  94 ; 
+ 10b   :  94 ; 
+ 10c   :  94 ; 
+ 10d   :  94 ; 
+ 10e   :  95 ; 
+ 10f   :  95 ; 
+ 110   :  95 ; 
+ 111   :  95 ; 
+ 112   :  95 ; 
+ 113   :  96 ; 
+ 114   :  96 ; 
+ 115   :  96 ; 
+ 116   :  96 ; 
+ 117   :  97 ; 
+ 118   :  97 ; 
+ 119   :  97 ; 
+ 11a   :  97 ; 
+ 11b   :  98 ; 
+ 11c   :  98 ; 
+ 11d   :  98 ; 
+ 11e   :  98 ; 
+ 11f   :  98 ; 
+ 120   :  99 ; 
+ 121   :  99 ; 
+ 122   :  99 ; 
+ 123   :  99 ; 
+ 124   :  9a ; 
+ 125   :  9a ; 
+ 126   :  9a ; 
+ 127   :  9a ; 
+ 128   :  9a ; 
+ 129   :  9b ; 
+ 12a   :  9b ; 
+ 12b   :  9b ; 
+ 12c   :  9b ; 
+ 12d   :  9c ; 
+ 12e   :  9c ; 
+ 12f   :  9c ; 
+ 130   :  9c ; 
+ 131   :  9c ; 
+ 132   :  9d ; 
+ 133   :  9d ; 
+ 134   :  9d ; 
+ 135   :  9d ; 
+ 136   :  9e ; 
+ 137   :  9e ; 
+ 138   :  9e ; 
+ 139   :  9e ; 
+ 13a   :  9e ; 
+ 13b   :  9f ; 
+ 13c   :  9f ; 
+ 13d   :  9f ; 
+ 13e   :  9f ; 
+ 13f   :  9f ; 
+ 140   :  a0 ; 
+ 141   :  a0 ; 
+ 142   :  a0 ; 
+ 143   :  a0 ; 
+ 144   :  a0 ; 
+ 145   :  a1 ; 
+ 146   :  a1 ; 
+ 147   :  a1 ; 
+ 148   :  a1 ; 
+ 149   :  a1 ; 
+ 14a   :  a2 ; 
+ 14b   :  a2 ; 
+ 14c   :  a2 ; 
+ 14d   :  a2 ; 
+ 14e   :  a2 ; 
+ 14f   :  a3 ; 
+ 150   :  a3 ; 
+ 151   :  a3 ; 
+ 152   :  a3 ; 
+ 153   :  a3 ; 
+ 154   :  a3 ; 
+ 155   :  a4 ; 
+ 156   :  a4 ; 
+ 157   :  a4 ; 
+ 158   :  a4 ; 
+ 159   :  a4 ; 
+ 15a   :  a5 ; 
+ 15b   :  a5 ; 
+ 15c   :  a5 ; 
+ 15d   :  a5 ; 
+ 15e   :  a5 ; 
+ 15f   :  a5 ; 
+ 160   :  a6 ; 
+ 161   :  a6 ; 
+ 162   :  a6 ; 
+ 163   :  a6 ; 
+ 164   :  a6 ; 
+ 165   :  a6 ; 
+ 166   :  a7 ; 
+ 167   :  a7 ; 
+ 168   :  a7 ; 
+ 169   :  a7 ; 
+ 16a   :  a7 ; 
+ 16b   :  a7 ; 
+ 16c   :  a8 ; 
+ 16d   :  a8 ; 
+ 16e   :  a8 ; 
+ 16f   :  a8 ; 
+ 170   :  a8 ; 
+ 171   :  a8 ; 
+ 172   :  a9 ; 
+ 173   :  a9 ; 
+ 174   :  a9 ; 
+ 175   :  a9 ; 
+ 176   :  a9 ; 
+ 177   :  a9 ; 
+ 178   :  aa ; 
+ 179   :  aa ; 
+ 17a   :  aa ; 
+ 17b   :  aa ; 
+ 17c   :  aa ; 
+ 17d   :  aa ; 
+ 17e   :  aa ; 
+ 17f   :  ab ; 
+ 180   :  ab ; 
+ 181   :  ab ; 
+ 182   :  ab ; 
+ 183   :  ab ; 
+ 184   :  ab ; 
+ 185   :  ab ; 
+ 186   :  ab ; 
+ 187   :  ac ; 
+ 188   :  ac ; 
+ 189   :  ac ; 
+ 18a   :  ac ; 
+ 18b   :  ac ; 
+ 18c   :  ac ; 
+ 18d   :  ac ; 
+ 18e   :  ad ; 
+ 18f   :  ad ; 
+ 190   :  ad ; 
+ 191   :  ad ; 
+ 192   :  ad ; 
+ 193   :  ad ; 
+ 194   :  ad ; 
+ 195   :  ad ; 
+ 196   :  ad ; 
+ 197   :  ae ; 
+ 198   :  ae ; 
+ 199   :  ae ; 
+ 19a   :  ae ; 
+ 19b   :  ae ; 
+ 19c   :  ae ; 
+ 19d   :  ae ; 
+ 19e   :  ae ; 
+ 19f   :  ae ; 
+ 1a0   :  af ; 
+ 1a1   :  af ; 
+ 1a2   :  af ; 
+ 1a3   :  af ; 
+ 1a4   :  af ; 
+ 1a5   :  af ; 
+ 1a6   :  af ; 
+ 1a7   :  af ; 
+ 1a8   :  af ; 
+ 1a9   :  af ; 
+ 1aa   :  af ; 
+ 1ab   :  b0 ; 
+ 1ac   :  b0 ; 
+ 1ad   :  b0 ; 
+ 1ae   :  b0 ; 
+ 1af   :  b0 ; 
+ 1b0   :  b0 ; 
+ 1b1   :  b0 ; 
+ 1b2   :  b0 ; 
+ 1b3   :  b0 ; 
+ 1b4   :  b0 ; 
+ 1b5   :  b0 ; 
+ 1b6   :  b0 ; 
+ 1b7   :  b0 ; 
+ 1b8   :  b1 ; 
+ 1b9   :  b1 ; 
+ 1ba   :  b1 ; 
+ 1bb   :  b1 ; 
+ 1bc   :  b1 ; 
+ 1bd   :  b1 ; 
+ 1be   :  b1 ; 
+ 1bf   :  b1 ; 
+ 1c0   :  b1 ; 
+ 1c1   :  b1 ; 
+ 1c2   :  b1 ; 
+ 1c3   :  b1 ; 
+ 1c4   :  b1 ; 
+ 1c5   :  b1 ; 
+ 1c6   :  b1 ; 
+ 1c7   :  b1 ; 
+ 1c8   :  b1 ; 
+ 1c9   :  b1 ; 
+ 1ca   :  b1 ; 
+ 1cb   :  b2 ; 
+ 1cc   :  b2 ; 
+ 1cd   :  b2 ; 
+ 1ce   :  b2 ; 
+ 1cf   :  b2 ; 
+ 1d0   :  b2 ; 
+ 1d1   :  b2 ; 
+ 1d2   :  b2 ; 
+ 1d3   :  b2 ; 
+ 1d4   :  b2 ; 
+ 1d5   :  b2 ; 
+ 1d6   :  b2 ; 
+ 1d7   :  b2 ; 
+ 1d8   :  b2 ; 
+ 1d9   :  b2 ; 
+ 1da   :  b2 ; 
+ 1db   :  b2 ; 
+ 1dc   :  b2 ; 
+ 1dd   :  b2 ; 
+ 1de   :  b2 ; 
+ 1df   :  b2 ; 
+ 1e0   :  b2 ; 
+ 1e1   :  b2 ; 
+ 1e2   :  b2 ; 
+ 1e3   :  b2 ; 
+ 1e4   :  b2 ; 
+ 1e5   :  b2 ; 
+ 1e6   :  b2 ; 
+ 1e7   :  b2 ; 
+ 1e8   :  b2 ; 
+ 1e9   :  b2 ; 
+ 1ea   :  b2 ; 
+ 1eb   :  b2 ; 
+ 1ec   :  b2 ; 
+ 1ed   :  b2 ; 
+ 1ee   :  b2 ; 
+ 1ef   :  b2 ; 
+ 1f0   :  b2 ; 
+ 1f1   :  b2 ; 
+ 1f2   :  b2 ; 
+ 1f3   :  b2 ; 
+ 1f4   :  b2 ; 
+ 1f5   :  b2 ; 
+ 1f6   :  b2 ; 
+ 1f7   :  b2 ; 
+ 1f8   :  b1 ; 
+ 1f9   :  b1 ; 
+ 1fa   :  b1 ; 
+ 1fb   :  b1 ; 
+ 1fc   :  b1 ; 
+ 1fd   :  b1 ; 
+ 1fe   :  b1 ; 
+ 1ff   :  b1 ; 
+ 200   :  b1 ; 
+ 201   :  b1 ; 
+ 202   :  b1 ; 
+ 203   :  b1 ; 
+ 204   :  b1 ; 
+ 205   :  b1 ; 
+ 206   :  b1 ; 
+ 207   :  b1 ; 
+ 208   :  b1 ; 
+ 209   :  b1 ; 
+ 20a   :  b0 ; 
+ 20b   :  b0 ; 
+ 20c   :  b0 ; 
+ 20d   :  b0 ; 
+ 20e   :  b0 ; 
+ 20f   :  b0 ; 
+ 210   :  b0 ; 
+ 211   :  b0 ; 
+ 212   :  b0 ; 
+ 213   :  b0 ; 
+ 214   :  b0 ; 
+ 215   :  af ; 
+ 216   :  af ; 
+ 217   :  af ; 
+ 218   :  af ; 
+ 219   :  af ; 
+ 21a   :  af ; 
+ 21b   :  af ; 
+ 21c   :  af ; 
+ 21d   :  af ; 
+ 21e   :  af ; 
+ 21f   :  ae ; 
+ 220   :  ae ; 
+ 221   :  ae ; 
+ 222   :  ae ; 
+ 223   :  ae ; 
+ 224   :  ae ; 
+ 225   :  ae ; 
+ 226   :  ae ; 
+ 227   :  ad ; 
+ 228   :  ad ; 
+ 229   :  ad ; 
+ 22a   :  ad ; 
+ 22b   :  ad ; 
+ 22c   :  ad ; 
+ 22d   :  ad ; 
+ 22e   :  ac ; 
+ 22f   :  ac ; 
+ 230   :  ac ; 
+ 231   :  ac ; 
+ 232   :  ac ; 
+ 233   :  ac ; 
+ 234   :  ab ; 
+ 235   :  ab ; 
+ 236   :  ab ; 
+ 237   :  ab ; 
+ 238   :  ab ; 
+ 239   :  ab ; 
+ 23a   :  aa ; 
+ 23b   :  aa ; 
+ 23c   :  aa ; 
+ 23d   :  aa ; 
+ 23e   :  aa ; 
+ 23f   :  aa ; 
+ 240   :  a9 ; 
+ 241   :  a9 ; 
+ 242   :  a9 ; 
+ 243   :  a9 ; 
+ 244   :  a9 ; 
+ 245   :  a8 ; 
+ 246   :  a8 ; 
+ 247   :  a8 ; 
+ 248   :  a8 ; 
+ 249   :  a8 ; 
+ 24a   :  a7 ; 
+ 24b   :  a7 ; 
+ 24c   :  a7 ; 
+ 24d   :  a7 ; 
+ 24e   :  a6 ; 
+ 24f   :  a6 ; 
+ 250   :  a6 ; 
+ 251   :  a6 ; 
+ 252   :  a6 ; 
+ 253   :  a5 ; 
+ 254   :  a5 ; 
+ 255   :  a5 ; 
+ 256   :  a5 ; 
+ 257   :  a4 ; 
+ 258   :  a4 ; 
+ 259   :  a4 ; 
+ 25a   :  a4 ; 
+ 25b   :  a3 ; 
+ 25c   :  a3 ; 
+ 25d   :  a3 ; 
+ 25e   :  a3 ; 
+ 25f   :  a2 ; 
+ 260   :  a2 ; 
+ 261   :  a2 ; 
+ 262   :  a1 ; 
+ 263   :  a1 ; 
+ 264   :  a1 ; 
+ 265   :  a1 ; 
+ 266   :  a0 ; 
+ 267   :  a0 ; 
+ 268   :  a0 ; 
+ 269   :  a0 ; 
+ 26a   :  9f ; 
+ 26b   :  9f ; 
+ 26c   :  9f ; 
+ 26d   :  9e ; 
+ 26e   :  9e ; 
+ 26f   :  9e ; 
+ 270   :  9d ; 
+ 271   :  9d ; 
+ 272   :  9d ; 
+ 273   :  9c ; 
+ 274   :  9c ; 
+ 275   :  9c ; 
+ 276   :  9c ; 
+ 277   :  9b ; 
+ 278   :  9b ; 
+ 279   :  9b ; 
+ 27a   :  9a ; 
+ 27b   :  9a ; 
+ 27c   :  9a ; 
+ 27d   :  99 ; 
+ 27e   :  99 ; 
+ 27f   :  99 ; 
+ 280   :  98 ; 
+ 281   :  98 ; 
+ 282   :  97 ; 
+ 283   :  97 ; 
+ 284   :  97 ; 
+ 285   :  96 ; 
+ 286   :  96 ; 
+ 287   :  96 ; 
+ 288   :  95 ; 
+ 289   :  95 ; 
+ 28a   :  95 ; 
+ 28b   :  94 ; 
+ 28c   :  94 ; 
+ 28d   :  93 ; 
+ 28e   :  93 ; 
+ 28f   :  93 ; 
+ 290   :  92 ; 
+ 291   :  92 ; 
+ 292   :  91 ; 
+ 293   :  91 ; 
+ 294   :  91 ; 
+ 295   :  90 ; 
+ 296   :  90 ; 
+ 297   :  8f ; 
+ 298   :  8f ; 
+ 299   :  8f ; 
+ 29a   :  8e ; 
+ 29b   :  8e ; 
+ 29c   :  8d ; 
+ 29d   :  8d ; 
+ 29e   :  8d ; 
+ 29f   :  8c ; 
+ 2a0   :  8c ; 
+ 2a1   :  8b ; 
+ 2a2   :  8b ; 
+ 2a3   :  8a ; 
+ 2a4   :  8a ; 
+ 2a5   :  89 ; 
+ 2a6   :  89 ; 
+ 2a7   :  89 ; 
+ 2a8   :  88 ; 
+ 2a9   :  88 ; 
+ 2aa   :  87 ; 
+ 2ab   :  87 ; 
+ 2ac   :  86 ; 
+ 2ad   :  86 ; 
+ 2ae   :  85 ; 
+ 2af   :  85 ; 
+ 2b0   :  84 ; 
+ 2b1   :  84 ; 
+ 2b2   :  83 ; 
+ 2b3   :  83 ; 
+ 2b4   :  82 ; 
+ 2b5   :  82 ; 
+ 2b6   :  81 ; 
+ 2b7   :  81 ; 
+ 2b8   :  80 ; 
+ 2b9   :  80 ; 
+ 2ba   :  7f ; 
+ 2bb   :  7f ; 
+ 2bc   :  7e ; 
+ 2bd   :  7e ; 
+ 2be   :  7d ; 
+ 2bf   :  7d ; 
+ 2c0   :  7c ; 
+ 2c1   :  7c ; 
+ 2c2   :  7b ; 
+ 2c3   :  7b ; 
+ 2c4   :  7a ; 
+ 2c5   :  7a ; 
+ 2c6   :  79 ; 
+ 2c7   :  79 ; 
+ 2c8   :  78 ; 
+ 2c9   :  78 ; 
+ 2ca   :  77 ; 
+ 2cb   :  76 ; 
+ 2cc   :  76 ; 
+ 2cd   :  75 ; 
+ 2ce   :  75 ; 
+ 2cf   :  74 ; 
+ 2d0   :  74 ; 
+ 2d1   :  73 ; 
+ 2d2   :  72 ; 
+ 2d3   :  72 ; 
+ 2d4   :  71 ; 
+ 2d5   :  71 ; 
+ 2d6   :  70 ; 
+ 2d7   :  70 ; 
+ 2d8   :  6f ; 
+ 2d9   :  6e ; 
+ 2da   :  6e ; 
+ 2db   :  6d ; 
+ 2dc   :  6d ; 
+ 2dd   :  6c ; 
+ 2de   :  6b ; 
+ 2df   :  6b ; 
+ 2e0   :  6a ; 
+ 2e1   :  6a ; 
+ 2e2   :  69 ; 
+ 2e3   :  68 ; 
+ 2e4   :  68 ; 
+ 2e5   :  67 ; 
+ 2e6   :  66 ; 
+ 2e7   :  66 ; 
+ 2e8   :  65 ; 
+ 2e9   :  64 ; 
+ 2ea   :  64 ; 
+ 2eb   :  63 ; 
+ 2ec   :  63 ; 
+ 2ed   :  62 ; 
+ 2ee   :  61 ; 
+ 2ef   :  61 ; 
+ 2f0   :  60 ; 
+ 2f1   :  5f ; 
+ 2f2   :  5f ; 
+ 2f3   :  5e ; 
+ 2f4   :  5d ; 
+ 2f5   :  5d ; 
+ 2f6   :  5c ; 
+ 2f7   :  5b ; 
+ 2f8   :  5a ; 
+ 2f9   :  5a ; 
+ 2fa   :  59 ; 
+ 2fb   :  58 ; 
+ 2fc   :  58 ; 
+ 2fd   :  57 ; 
+ 2fe   :  56 ; 
+ 2ff   :  56 ; 
+ 300   :  55 ; 
+ 301   :  54 ; 
+ 302   :  53 ; 
+ 303   :  53 ; 
+ 304   :  52 ; 
+ 305   :  51 ; 
+ 306   :  50 ; 
+ 307   :  50 ; 
+ 308   :  4f ; 
+ 309   :  4e ; 
+ 30a   :  4e ; 
+ 30b   :  4d ; 
+ 30c   :  4c ; 
+ 30d   :  4b ; 
+ 30e   :  4b ; 
+ 30f   :  4a ; 
+ 310   :  49 ; 
+ 311   :  48 ; 
+ 312   :  47 ; 
+ 313   :  47 ; 
+ 314   :  46 ; 
+ 315   :  45 ; 
+ 316   :  44 ; 
+ 317   :  44 ; 
+ 318   :  43 ; 
+ 319   :  42 ; 
+ 31a   :  41 ; 
+ 31b   :  40 ; 
+ 31c   :  40 ; 
+ 31d   :  3f ; 
+ 31e   :  3e ; 
+ 31f   :  3d ; 
+ 320   :  3c ; 
+ 321   :  3c ; 
+ 322   :  3b ; 
+ 323   :  3a ; 
+ 324   :  39 ; 
+ 325   :  38 ; 
+ 326   :  38 ; 
+ 327   :  37 ; 
+ 328   :  36 ; 
+ 329   :  35 ; 
+ 32a   :  34 ; 
+ 32b   :  33 ; 
+ 32c   :  32 ; 
+ 32d   :  32 ; 
+ 32e   :  31 ; 
+ 32f   :  30 ; 
+ 330   :  2f ; 
+ 331   :  2e ; 
+ 332   :  2d ; 
+ 333   :  2c ; 
+ 334   :  2c ; 
+ 335   :  2b ; 
+ 336   :  2a ; 
+ 337   :  29 ; 
+ 338   :  28 ; 
+ 339   :  27 ; 
+ 33a   :  26 ; 
+ 33b   :  25 ; 
+ 33c   :  25 ; 
+ 33d   :  24 ; 
+ 33e   :  23 ; 
+ 33f   :  22 ; 
+ 340   :  21 ; 
+ 341   :  20 ; 
+ 342   :  1f ; 
+ 343   :  1e ; 
+ 344   :  1d ; 
+ 345   :  1c ; 
+ 346   :  1b ; 
+ 347   :  1a ; 
+ 348   :  1a ; 
+ 349   :  19 ; 
+ 34a   :  18 ; 
+ 34b   :  17 ; 
+ 34c   :  16 ; 
+ 34d   :  15 ; 
+ 34e   :  14 ; 
+ 34f   :  13 ; 
+ 350   :  12 ; 
+ 351   :  11 ; 
+ 352   :  10 ; 
+ 353   :  f ; 
+ 354   :  e ; 
+ 355   :  d ; 
+ 356   :  c ; 
+ 357   :  b ; 
+ 358   :  a ; 
+ 359   :  9 ; 
+ 35a   :  8 ; 
+ 35b   :  7 ; 
+ 35c   :  6 ; 
+ 35d   :  5 ; 
+ 35e   :  4 ; 
+ 35f   :  3 ; 
+ 360   :  2 ; 
+ 361   :  1 ; 
+ 362   :  0 ; 
+ 363   :  3ffff ; 
+ 364   :  3fffe ; 
+ 365   :  3fffd ; 
+ 366   :  3fffc ; 
+ 367   :  3fffb ; 
+ 368   :  3fffa ; 
+ 369   :  3fff9 ; 
+ 36a   :  3fff8 ; 
+ 36b   :  3fff7 ; 
+ 36c   :  3fff6 ; 
+ 36d   :  3fff5 ; 
+ 36e   :  3fff4 ; 
+ 36f   :  3fff3 ; 
+ 370   :  3fff2 ; 
+ 371   :  3fff1 ; 
+ 372   :  3fff0 ; 
+ 373   :  3ffee ; 
+ 374   :  3ffed ; 
+ 375   :  3ffec ; 
+ 376   :  3ffeb ; 
+ 377   :  3ffea ; 
+ 378   :  3ffe9 ; 
+ 379   :  3ffe8 ; 
+ 37a   :  3ffe7 ; 
+ 37b   :  3ffe6 ; 
+ 37c   :  3ffe5 ; 
+ 37d   :  3ffe4 ; 
+ 37e   :  3ffe3 ; 
+ 37f   :  3ffe1 ; 
+ 380   :  3ffe0 ; 
+ 381   :  3ffdf ; 
+ 382   :  3ffde ; 
+ 383   :  3ffdd ; 
+ 384   :  3ffdc ; 
+ 385   :  3ffdb ; 
+ 386   :  3ffda ; 
+ 387   :  3ffd9 ; 
+ 388   :  3ffd7 ; 
+ 389   :  3ffd6 ; 
+ 38a   :  3ffd5 ; 
+ 38b   :  3ffd4 ; 
+ 38c   :  3ffd3 ; 
+ 38d   :  3ffd2 ; 
+ 38e   :  3ffd1 ; 
+ 38f   :  3ffcf ; 
+ 390   :  3ffce ; 
+ 391   :  3ffcd ; 
+ 392   :  3ffcc ; 
+ 393   :  3ffcb ; 
+ 394   :  3ffca ; 
+ 395   :  3ffc8 ; 
+ 396   :  3ffc7 ; 
+ 397   :  3ffc6 ; 
+ 398   :  3ffc5 ; 
+ 399   :  3ffc4 ; 
+ 39a   :  3ffc3 ; 
+ 39b   :  3ffc1 ; 
+ 39c   :  3ffc0 ; 
+ 39d   :  3ffbf ; 
+ 39e   :  3ffbe ; 
+ 39f   :  3ffbd ; 
+ 3a0   :  3ffbb ; 
+ 3a1   :  3ffba ; 
+ 3a2   :  3ffb9 ; 
+ 3a3   :  3ffb8 ; 
+ 3a4   :  3ffb7 ; 
+ 3a5   :  3ffb5 ; 
+ 3a6   :  3ffb4 ; 
+ 3a7   :  3ffb3 ; 
+ 3a8   :  3ffb2 ; 
+ 3a9   :  3ffb1 ; 
+ 3aa   :  3ffaf ; 
+ 3ab   :  3ffae ; 
+ 3ac   :  3ffad ; 
+ 3ad   :  3ffac ; 
+ 3ae   :  3ffaa ; 
+ 3af   :  3ffa9 ; 
+ 3b0   :  3ffa8 ; 
+ 3b1   :  3ffa7 ; 
+ 3b2   :  3ffa5 ; 
+ 3b3   :  3ffa4 ; 
+ 3b4   :  3ffa3 ; 
+ 3b5   :  3ffa2 ; 
+ 3b6   :  3ffa0 ; 
+ 3b7   :  3ff9f ; 
+ 3b8   :  3ff9e ; 
+ 3b9   :  3ff9d ; 
+ 3ba   :  3ff9b ; 
+ 3bb   :  3ff9a ; 
+ 3bc   :  3ff99 ; 
+ 3bd   :  3ff98 ; 
+ 3be   :  3ff96 ; 
+ 3bf   :  3ff95 ; 
+ 3c0   :  3ff94 ; 
+ 3c1   :  3ff92 ; 
+ 3c2   :  3ff91 ; 
+ 3c3   :  3ff90 ; 
+ 3c4   :  3ff8f ; 
+ 3c5   :  3ff8d ; 
+ 3c6   :  3ff8c ; 
+ 3c7   :  3ff8b ; 
+ 3c8   :  3ff89 ; 
+ 3c9   :  3ff88 ; 
+ 3ca   :  3ff87 ; 
+ 3cb   :  3ff85 ; 
+ 3cc   :  3ff84 ; 
+ 3cd   :  3ff83 ; 
+ 3ce   :  3ff81 ; 
+ 3cf   :  3ff80 ; 
+ 3d0   :  3ff7f ; 
+ 3d1   :  3ff7d ; 
+ 3d2   :  3ff7c ; 
+ 3d3   :  3ff7b ; 
+ 3d4   :  3ff79 ; 
+ 3d5   :  3ff78 ; 
+ 3d6   :  3ff77 ; 
+ 3d7   :  3ff75 ; 
+ 3d8   :  3ff74 ; 
+ 3d9   :  3ff73 ; 
+ 3da   :  3ff71 ; 
+ 3db   :  3ff70 ; 
+ 3dc   :  3ff6f ; 
+ 3dd   :  3ff6d ; 
+ 3de   :  3ff6c ; 
+ 3df   :  3ff6b ; 
+ 3e0   :  3ff69 ; 
+ 3e1   :  3ff68 ; 
+ 3e2   :  3ff66 ; 
+ 3e3   :  3ff65 ; 
+ 3e4   :  3ff64 ; 
+ 3e5   :  3ff62 ; 
+ 3e6   :  3ff61 ; 
+ 3e7   :  3ff5f ; 
+ 3e8   :  3ff5e ; 
+ 3e9   :  3ff5d ; 
+ 3ea   :  3ff5b ; 
+ 3eb   :  3ff5a ; 
+ 3ec   :  3ff59 ; 
+ 3ed   :  3ff57 ; 
+ 3ee   :  3ff56 ; 
+ 3ef   :  3ff54 ; 
+ 3f0   :  3ff53 ; 
+ 3f1   :  3ff51 ; 
+ 3f2   :  3ff50 ; 
+ 3f3   :  3ff4f ; 
+ 3f4   :  3ff4d ; 
+ 3f5   :  3ff4c ; 
+ 3f6   :  3ff4a ; 
+ 3f7   :  3ff49 ; 
+ 3f8   :  3ff48 ; 
+ 3f9   :  3ff46 ; 
+ 3fa   :  3ff45 ; 
+ 3fb   :  3ff43 ; 
+ 3fc   :  3ff42 ; 
+ 3fd   :  3ff40 ; 
+ 3fe   :  3ff3f ; 
+ 3ff   :  3ff3d ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_15.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_15.mif
new file mode 100644
index 0000000000000000000000000000000000000000..4ab568fc35f4a14f28f481cb09fc8b1849c73b88
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_15.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  0 ; 
+ 1   :  0 ; 
+ 2   :  0 ; 
+ 3   :  0 ; 
+ 4   :  0 ; 
+ 5   :  0 ; 
+ 6   :  0 ; 
+ 7   :  0 ; 
+ 8   :  0 ; 
+ 9   :  0 ; 
+ a   :  0 ; 
+ b   :  0 ; 
+ c   :  0 ; 
+ d   :  0 ; 
+ e   :  0 ; 
+ f   :  0 ; 
+ 10   :  0 ; 
+ 11   :  0 ; 
+ 12   :  0 ; 
+ 13   :  0 ; 
+ 14   :  0 ; 
+ 15   :  0 ; 
+ 16   :  0 ; 
+ 17   :  0 ; 
+ 18   :  0 ; 
+ 19   :  0 ; 
+ 1a   :  0 ; 
+ 1b   :  0 ; 
+ 1c   :  0 ; 
+ 1d   :  0 ; 
+ 1e   :  0 ; 
+ 1f   :  0 ; 
+ 20   :  0 ; 
+ 21   :  0 ; 
+ 22   :  0 ; 
+ 23   :  0 ; 
+ 24   :  0 ; 
+ 25   :  0 ; 
+ 26   :  0 ; 
+ 27   :  0 ; 
+ 28   :  0 ; 
+ 29   :  0 ; 
+ 2a   :  0 ; 
+ 2b   :  0 ; 
+ 2c   :  0 ; 
+ 2d   :  0 ; 
+ 2e   :  0 ; 
+ 2f   :  0 ; 
+ 30   :  0 ; 
+ 31   :  0 ; 
+ 32   :  0 ; 
+ 33   :  0 ; 
+ 34   :  0 ; 
+ 35   :  0 ; 
+ 36   :  0 ; 
+ 37   :  0 ; 
+ 38   :  0 ; 
+ 39   :  0 ; 
+ 3a   :  0 ; 
+ 3b   :  0 ; 
+ 3c   :  0 ; 
+ 3d   :  0 ; 
+ 3e   :  0 ; 
+ 3f   :  0 ; 
+ 40   :  0 ; 
+ 41   :  0 ; 
+ 42   :  0 ; 
+ 43   :  0 ; 
+ 44   :  0 ; 
+ 45   :  0 ; 
+ 46   :  0 ; 
+ 47   :  0 ; 
+ 48   :  0 ; 
+ 49   :  0 ; 
+ 4a   :  0 ; 
+ 4b   :  0 ; 
+ 4c   :  0 ; 
+ 4d   :  0 ; 
+ 4e   :  0 ; 
+ 4f   :  0 ; 
+ 50   :  0 ; 
+ 51   :  0 ; 
+ 52   :  0 ; 
+ 53   :  0 ; 
+ 54   :  0 ; 
+ 55   :  0 ; 
+ 56   :  0 ; 
+ 57   :  0 ; 
+ 58   :  0 ; 
+ 59   :  0 ; 
+ 5a   :  0 ; 
+ 5b   :  0 ; 
+ 5c   :  0 ; 
+ 5d   :  0 ; 
+ 5e   :  0 ; 
+ 5f   :  0 ; 
+ 60   :  0 ; 
+ 61   :  0 ; 
+ 62   :  0 ; 
+ 63   :  0 ; 
+ 64   :  0 ; 
+ 65   :  0 ; 
+ 66   :  0 ; 
+ 67   :  0 ; 
+ 68   :  0 ; 
+ 69   :  0 ; 
+ 6a   :  0 ; 
+ 6b   :  0 ; 
+ 6c   :  0 ; 
+ 6d   :  0 ; 
+ 6e   :  0 ; 
+ 6f   :  0 ; 
+ 70   :  0 ; 
+ 71   :  0 ; 
+ 72   :  0 ; 
+ 73   :  0 ; 
+ 74   :  0 ; 
+ 75   :  0 ; 
+ 76   :  0 ; 
+ 77   :  0 ; 
+ 78   :  0 ; 
+ 79   :  0 ; 
+ 7a   :  0 ; 
+ 7b   :  0 ; 
+ 7c   :  0 ; 
+ 7d   :  0 ; 
+ 7e   :  0 ; 
+ 7f   :  0 ; 
+ 80   :  0 ; 
+ 81   :  0 ; 
+ 82   :  0 ; 
+ 83   :  0 ; 
+ 84   :  0 ; 
+ 85   :  0 ; 
+ 86   :  0 ; 
+ 87   :  0 ; 
+ 88   :  0 ; 
+ 89   :  0 ; 
+ 8a   :  0 ; 
+ 8b   :  0 ; 
+ 8c   :  0 ; 
+ 8d   :  0 ; 
+ 8e   :  0 ; 
+ 8f   :  0 ; 
+ 90   :  0 ; 
+ 91   :  0 ; 
+ 92   :  0 ; 
+ 93   :  0 ; 
+ 94   :  0 ; 
+ 95   :  0 ; 
+ 96   :  0 ; 
+ 97   :  0 ; 
+ 98   :  0 ; 
+ 99   :  0 ; 
+ 9a   :  0 ; 
+ 9b   :  0 ; 
+ 9c   :  0 ; 
+ 9d   :  0 ; 
+ 9e   :  0 ; 
+ 9f   :  0 ; 
+ a0   :  0 ; 
+ a1   :  0 ; 
+ a2   :  0 ; 
+ a3   :  0 ; 
+ a4   :  0 ; 
+ a5   :  0 ; 
+ a6   :  0 ; 
+ a7   :  0 ; 
+ a8   :  0 ; 
+ a9   :  0 ; 
+ aa   :  0 ; 
+ ab   :  0 ; 
+ ac   :  0 ; 
+ ad   :  0 ; 
+ ae   :  0 ; 
+ af   :  0 ; 
+ b0   :  0 ; 
+ b1   :  0 ; 
+ b2   :  0 ; 
+ b3   :  0 ; 
+ b4   :  0 ; 
+ b5   :  0 ; 
+ b6   :  0 ; 
+ b7   :  0 ; 
+ b8   :  0 ; 
+ b9   :  0 ; 
+ ba   :  0 ; 
+ bb   :  0 ; 
+ bc   :  0 ; 
+ bd   :  0 ; 
+ be   :  0 ; 
+ bf   :  0 ; 
+ c0   :  0 ; 
+ c1   :  0 ; 
+ c2   :  0 ; 
+ c3   :  0 ; 
+ c4   :  0 ; 
+ c5   :  0 ; 
+ c6   :  0 ; 
+ c7   :  0 ; 
+ c8   :  0 ; 
+ c9   :  0 ; 
+ ca   :  0 ; 
+ cb   :  0 ; 
+ cc   :  0 ; 
+ cd   :  0 ; 
+ ce   :  0 ; 
+ cf   :  0 ; 
+ d0   :  0 ; 
+ d1   :  0 ; 
+ d2   :  0 ; 
+ d3   :  0 ; 
+ d4   :  0 ; 
+ d5   :  0 ; 
+ d6   :  0 ; 
+ d7   :  0 ; 
+ d8   :  0 ; 
+ d9   :  0 ; 
+ da   :  0 ; 
+ db   :  0 ; 
+ dc   :  0 ; 
+ dd   :  0 ; 
+ de   :  0 ; 
+ df   :  0 ; 
+ e0   :  0 ; 
+ e1   :  0 ; 
+ e2   :  0 ; 
+ e3   :  0 ; 
+ e4   :  0 ; 
+ e5   :  0 ; 
+ e6   :  0 ; 
+ e7   :  0 ; 
+ e8   :  0 ; 
+ e9   :  0 ; 
+ ea   :  0 ; 
+ eb   :  0 ; 
+ ec   :  0 ; 
+ ed   :  0 ; 
+ ee   :  0 ; 
+ ef   :  0 ; 
+ f0   :  0 ; 
+ f1   :  0 ; 
+ f2   :  0 ; 
+ f3   :  0 ; 
+ f4   :  0 ; 
+ f5   :  0 ; 
+ f6   :  1 ; 
+ f7   :  1 ; 
+ f8   :  1 ; 
+ f9   :  1 ; 
+ fa   :  1 ; 
+ fb   :  1 ; 
+ fc   :  1 ; 
+ fd   :  1 ; 
+ fe   :  1 ; 
+ ff   :  1 ; 
+ 100   :  1 ; 
+ 101   :  1 ; 
+ 102   :  1 ; 
+ 103   :  1 ; 
+ 104   :  1 ; 
+ 105   :  1 ; 
+ 106   :  1 ; 
+ 107   :  1 ; 
+ 108   :  1 ; 
+ 109   :  1 ; 
+ 10a   :  1 ; 
+ 10b   :  1 ; 
+ 10c   :  1 ; 
+ 10d   :  1 ; 
+ 10e   :  1 ; 
+ 10f   :  1 ; 
+ 110   :  1 ; 
+ 111   :  1 ; 
+ 112   :  1 ; 
+ 113   :  1 ; 
+ 114   :  1 ; 
+ 115   :  1 ; 
+ 116   :  1 ; 
+ 117   :  1 ; 
+ 118   :  1 ; 
+ 119   :  1 ; 
+ 11a   :  1 ; 
+ 11b   :  1 ; 
+ 11c   :  1 ; 
+ 11d   :  1 ; 
+ 11e   :  1 ; 
+ 11f   :  1 ; 
+ 120   :  1 ; 
+ 121   :  1 ; 
+ 122   :  1 ; 
+ 123   :  1 ; 
+ 124   :  1 ; 
+ 125   :  1 ; 
+ 126   :  1 ; 
+ 127   :  1 ; 
+ 128   :  1 ; 
+ 129   :  1 ; 
+ 12a   :  1 ; 
+ 12b   :  1 ; 
+ 12c   :  1 ; 
+ 12d   :  1 ; 
+ 12e   :  1 ; 
+ 12f   :  1 ; 
+ 130   :  1 ; 
+ 131   :  1 ; 
+ 132   :  1 ; 
+ 133   :  1 ; 
+ 134   :  1 ; 
+ 135   :  1 ; 
+ 136   :  1 ; 
+ 137   :  1 ; 
+ 138   :  1 ; 
+ 139   :  1 ; 
+ 13a   :  1 ; 
+ 13b   :  1 ; 
+ 13c   :  1 ; 
+ 13d   :  1 ; 
+ 13e   :  1 ; 
+ 13f   :  1 ; 
+ 140   :  1 ; 
+ 141   :  1 ; 
+ 142   :  1 ; 
+ 143   :  1 ; 
+ 144   :  1 ; 
+ 145   :  1 ; 
+ 146   :  1 ; 
+ 147   :  1 ; 
+ 148   :  1 ; 
+ 149   :  1 ; 
+ 14a   :  1 ; 
+ 14b   :  1 ; 
+ 14c   :  1 ; 
+ 14d   :  1 ; 
+ 14e   :  1 ; 
+ 14f   :  1 ; 
+ 150   :  1 ; 
+ 151   :  1 ; 
+ 152   :  1 ; 
+ 153   :  1 ; 
+ 154   :  1 ; 
+ 155   :  1 ; 
+ 156   :  2 ; 
+ 157   :  2 ; 
+ 158   :  2 ; 
+ 159   :  2 ; 
+ 15a   :  2 ; 
+ 15b   :  2 ; 
+ 15c   :  2 ; 
+ 15d   :  2 ; 
+ 15e   :  2 ; 
+ 15f   :  2 ; 
+ 160   :  2 ; 
+ 161   :  2 ; 
+ 162   :  2 ; 
+ 163   :  2 ; 
+ 164   :  2 ; 
+ 165   :  2 ; 
+ 166   :  2 ; 
+ 167   :  2 ; 
+ 168   :  2 ; 
+ 169   :  2 ; 
+ 16a   :  2 ; 
+ 16b   :  2 ; 
+ 16c   :  2 ; 
+ 16d   :  2 ; 
+ 16e   :  2 ; 
+ 16f   :  2 ; 
+ 170   :  2 ; 
+ 171   :  2 ; 
+ 172   :  2 ; 
+ 173   :  2 ; 
+ 174   :  2 ; 
+ 175   :  2 ; 
+ 176   :  2 ; 
+ 177   :  2 ; 
+ 178   :  2 ; 
+ 179   :  2 ; 
+ 17a   :  2 ; 
+ 17b   :  2 ; 
+ 17c   :  2 ; 
+ 17d   :  2 ; 
+ 17e   :  2 ; 
+ 17f   :  2 ; 
+ 180   :  2 ; 
+ 181   :  2 ; 
+ 182   :  2 ; 
+ 183   :  2 ; 
+ 184   :  2 ; 
+ 185   :  2 ; 
+ 186   :  2 ; 
+ 187   :  2 ; 
+ 188   :  2 ; 
+ 189   :  2 ; 
+ 18a   :  2 ; 
+ 18b   :  2 ; 
+ 18c   :  3 ; 
+ 18d   :  3 ; 
+ 18e   :  3 ; 
+ 18f   :  3 ; 
+ 190   :  3 ; 
+ 191   :  3 ; 
+ 192   :  3 ; 
+ 193   :  3 ; 
+ 194   :  3 ; 
+ 195   :  3 ; 
+ 196   :  3 ; 
+ 197   :  3 ; 
+ 198   :  3 ; 
+ 199   :  3 ; 
+ 19a   :  3 ; 
+ 19b   :  3 ; 
+ 19c   :  3 ; 
+ 19d   :  3 ; 
+ 19e   :  3 ; 
+ 19f   :  3 ; 
+ 1a0   :  3 ; 
+ 1a1   :  3 ; 
+ 1a2   :  3 ; 
+ 1a3   :  3 ; 
+ 1a4   :  3 ; 
+ 1a5   :  3 ; 
+ 1a6   :  3 ; 
+ 1a7   :  3 ; 
+ 1a8   :  3 ; 
+ 1a9   :  3 ; 
+ 1aa   :  3 ; 
+ 1ab   :  3 ; 
+ 1ac   :  3 ; 
+ 1ad   :  3 ; 
+ 1ae   :  3 ; 
+ 1af   :  3 ; 
+ 1b0   :  3 ; 
+ 1b1   :  3 ; 
+ 1b2   :  3 ; 
+ 1b3   :  4 ; 
+ 1b4   :  4 ; 
+ 1b5   :  4 ; 
+ 1b6   :  4 ; 
+ 1b7   :  4 ; 
+ 1b8   :  4 ; 
+ 1b9   :  4 ; 
+ 1ba   :  4 ; 
+ 1bb   :  4 ; 
+ 1bc   :  4 ; 
+ 1bd   :  4 ; 
+ 1be   :  4 ; 
+ 1bf   :  4 ; 
+ 1c0   :  4 ; 
+ 1c1   :  4 ; 
+ 1c2   :  4 ; 
+ 1c3   :  4 ; 
+ 1c4   :  4 ; 
+ 1c5   :  4 ; 
+ 1c6   :  4 ; 
+ 1c7   :  4 ; 
+ 1c8   :  4 ; 
+ 1c9   :  4 ; 
+ 1ca   :  4 ; 
+ 1cb   :  4 ; 
+ 1cc   :  4 ; 
+ 1cd   :  4 ; 
+ 1ce   :  4 ; 
+ 1cf   :  4 ; 
+ 1d0   :  4 ; 
+ 1d1   :  4 ; 
+ 1d2   :  5 ; 
+ 1d3   :  5 ; 
+ 1d4   :  5 ; 
+ 1d5   :  5 ; 
+ 1d6   :  5 ; 
+ 1d7   :  5 ; 
+ 1d8   :  5 ; 
+ 1d9   :  5 ; 
+ 1da   :  5 ; 
+ 1db   :  5 ; 
+ 1dc   :  5 ; 
+ 1dd   :  5 ; 
+ 1de   :  5 ; 
+ 1df   :  5 ; 
+ 1e0   :  5 ; 
+ 1e1   :  5 ; 
+ 1e2   :  5 ; 
+ 1e3   :  5 ; 
+ 1e4   :  5 ; 
+ 1e5   :  5 ; 
+ 1e6   :  5 ; 
+ 1e7   :  5 ; 
+ 1e8   :  5 ; 
+ 1e9   :  5 ; 
+ 1ea   :  5 ; 
+ 1eb   :  5 ; 
+ 1ec   :  5 ; 
+ 1ed   :  6 ; 
+ 1ee   :  6 ; 
+ 1ef   :  6 ; 
+ 1f0   :  6 ; 
+ 1f1   :  6 ; 
+ 1f2   :  6 ; 
+ 1f3   :  6 ; 
+ 1f4   :  6 ; 
+ 1f5   :  6 ; 
+ 1f6   :  6 ; 
+ 1f7   :  6 ; 
+ 1f8   :  6 ; 
+ 1f9   :  6 ; 
+ 1fa   :  6 ; 
+ 1fb   :  6 ; 
+ 1fc   :  6 ; 
+ 1fd   :  6 ; 
+ 1fe   :  6 ; 
+ 1ff   :  6 ; 
+ 200   :  6 ; 
+ 201   :  6 ; 
+ 202   :  6 ; 
+ 203   :  6 ; 
+ 204   :  7 ; 
+ 205   :  7 ; 
+ 206   :  7 ; 
+ 207   :  7 ; 
+ 208   :  7 ; 
+ 209   :  7 ; 
+ 20a   :  7 ; 
+ 20b   :  7 ; 
+ 20c   :  7 ; 
+ 20d   :  7 ; 
+ 20e   :  7 ; 
+ 20f   :  7 ; 
+ 210   :  7 ; 
+ 211   :  7 ; 
+ 212   :  7 ; 
+ 213   :  7 ; 
+ 214   :  7 ; 
+ 215   :  7 ; 
+ 216   :  7 ; 
+ 217   :  7 ; 
+ 218   :  8 ; 
+ 219   :  8 ; 
+ 21a   :  8 ; 
+ 21b   :  8 ; 
+ 21c   :  8 ; 
+ 21d   :  8 ; 
+ 21e   :  8 ; 
+ 21f   :  8 ; 
+ 220   :  8 ; 
+ 221   :  8 ; 
+ 222   :  8 ; 
+ 223   :  8 ; 
+ 224   :  8 ; 
+ 225   :  8 ; 
+ 226   :  8 ; 
+ 227   :  8 ; 
+ 228   :  8 ; 
+ 229   :  8 ; 
+ 22a   :  8 ; 
+ 22b   :  9 ; 
+ 22c   :  9 ; 
+ 22d   :  9 ; 
+ 22e   :  9 ; 
+ 22f   :  9 ; 
+ 230   :  9 ; 
+ 231   :  9 ; 
+ 232   :  9 ; 
+ 233   :  9 ; 
+ 234   :  9 ; 
+ 235   :  9 ; 
+ 236   :  9 ; 
+ 237   :  9 ; 
+ 238   :  9 ; 
+ 239   :  9 ; 
+ 23a   :  9 ; 
+ 23b   :  a ; 
+ 23c   :  a ; 
+ 23d   :  a ; 
+ 23e   :  a ; 
+ 23f   :  a ; 
+ 240   :  a ; 
+ 241   :  a ; 
+ 242   :  a ; 
+ 243   :  a ; 
+ 244   :  a ; 
+ 245   :  a ; 
+ 246   :  a ; 
+ 247   :  a ; 
+ 248   :  a ; 
+ 249   :  a ; 
+ 24a   :  a ; 
+ 24b   :  b ; 
+ 24c   :  b ; 
+ 24d   :  b ; 
+ 24e   :  b ; 
+ 24f   :  b ; 
+ 250   :  b ; 
+ 251   :  b ; 
+ 252   :  b ; 
+ 253   :  b ; 
+ 254   :  b ; 
+ 255   :  b ; 
+ 256   :  b ; 
+ 257   :  b ; 
+ 258   :  b ; 
+ 259   :  b ; 
+ 25a   :  c ; 
+ 25b   :  c ; 
+ 25c   :  c ; 
+ 25d   :  c ; 
+ 25e   :  c ; 
+ 25f   :  c ; 
+ 260   :  c ; 
+ 261   :  c ; 
+ 262   :  c ; 
+ 263   :  c ; 
+ 264   :  c ; 
+ 265   :  c ; 
+ 266   :  c ; 
+ 267   :  d ; 
+ 268   :  d ; 
+ 269   :  d ; 
+ 26a   :  d ; 
+ 26b   :  d ; 
+ 26c   :  d ; 
+ 26d   :  d ; 
+ 26e   :  d ; 
+ 26f   :  d ; 
+ 270   :  d ; 
+ 271   :  d ; 
+ 272   :  d ; 
+ 273   :  d ; 
+ 274   :  e ; 
+ 275   :  e ; 
+ 276   :  e ; 
+ 277   :  e ; 
+ 278   :  e ; 
+ 279   :  e ; 
+ 27a   :  e ; 
+ 27b   :  e ; 
+ 27c   :  e ; 
+ 27d   :  e ; 
+ 27e   :  e ; 
+ 27f   :  e ; 
+ 280   :  f ; 
+ 281   :  f ; 
+ 282   :  f ; 
+ 283   :  f ; 
+ 284   :  f ; 
+ 285   :  f ; 
+ 286   :  f ; 
+ 287   :  f ; 
+ 288   :  f ; 
+ 289   :  f ; 
+ 28a   :  f ; 
+ 28b   :  f ; 
+ 28c   :  10 ; 
+ 28d   :  10 ; 
+ 28e   :  10 ; 
+ 28f   :  10 ; 
+ 290   :  10 ; 
+ 291   :  10 ; 
+ 292   :  10 ; 
+ 293   :  10 ; 
+ 294   :  10 ; 
+ 295   :  10 ; 
+ 296   :  10 ; 
+ 297   :  11 ; 
+ 298   :  11 ; 
+ 299   :  11 ; 
+ 29a   :  11 ; 
+ 29b   :  11 ; 
+ 29c   :  11 ; 
+ 29d   :  11 ; 
+ 29e   :  11 ; 
+ 29f   :  11 ; 
+ 2a0   :  11 ; 
+ 2a1   :  12 ; 
+ 2a2   :  12 ; 
+ 2a3   :  12 ; 
+ 2a4   :  12 ; 
+ 2a5   :  12 ; 
+ 2a6   :  12 ; 
+ 2a7   :  12 ; 
+ 2a8   :  12 ; 
+ 2a9   :  12 ; 
+ 2aa   :  12 ; 
+ 2ab   :  12 ; 
+ 2ac   :  13 ; 
+ 2ad   :  13 ; 
+ 2ae   :  13 ; 
+ 2af   :  13 ; 
+ 2b0   :  13 ; 
+ 2b1   :  13 ; 
+ 2b2   :  13 ; 
+ 2b3   :  13 ; 
+ 2b4   :  13 ; 
+ 2b5   :  14 ; 
+ 2b6   :  14 ; 
+ 2b7   :  14 ; 
+ 2b8   :  14 ; 
+ 2b9   :  14 ; 
+ 2ba   :  14 ; 
+ 2bb   :  14 ; 
+ 2bc   :  14 ; 
+ 2bd   :  14 ; 
+ 2be   :  14 ; 
+ 2bf   :  15 ; 
+ 2c0   :  15 ; 
+ 2c1   :  15 ; 
+ 2c2   :  15 ; 
+ 2c3   :  15 ; 
+ 2c4   :  15 ; 
+ 2c5   :  15 ; 
+ 2c6   :  15 ; 
+ 2c7   :  15 ; 
+ 2c8   :  16 ; 
+ 2c9   :  16 ; 
+ 2ca   :  16 ; 
+ 2cb   :  16 ; 
+ 2cc   :  16 ; 
+ 2cd   :  16 ; 
+ 2ce   :  16 ; 
+ 2cf   :  16 ; 
+ 2d0   :  16 ; 
+ 2d1   :  17 ; 
+ 2d2   :  17 ; 
+ 2d3   :  17 ; 
+ 2d4   :  17 ; 
+ 2d5   :  17 ; 
+ 2d6   :  17 ; 
+ 2d7   :  17 ; 
+ 2d8   :  17 ; 
+ 2d9   :  18 ; 
+ 2da   :  18 ; 
+ 2db   :  18 ; 
+ 2dc   :  18 ; 
+ 2dd   :  18 ; 
+ 2de   :  18 ; 
+ 2df   :  18 ; 
+ 2e0   :  18 ; 
+ 2e1   :  19 ; 
+ 2e2   :  19 ; 
+ 2e3   :  19 ; 
+ 2e4   :  19 ; 
+ 2e5   :  19 ; 
+ 2e6   :  19 ; 
+ 2e7   :  19 ; 
+ 2e8   :  19 ; 
+ 2e9   :  1a ; 
+ 2ea   :  1a ; 
+ 2eb   :  1a ; 
+ 2ec   :  1a ; 
+ 2ed   :  1a ; 
+ 2ee   :  1a ; 
+ 2ef   :  1a ; 
+ 2f0   :  1a ; 
+ 2f1   :  1b ; 
+ 2f2   :  1b ; 
+ 2f3   :  1b ; 
+ 2f4   :  1b ; 
+ 2f5   :  1b ; 
+ 2f6   :  1b ; 
+ 2f7   :  1b ; 
+ 2f8   :  1b ; 
+ 2f9   :  1c ; 
+ 2fa   :  1c ; 
+ 2fb   :  1c ; 
+ 2fc   :  1c ; 
+ 2fd   :  1c ; 
+ 2fe   :  1c ; 
+ 2ff   :  1c ; 
+ 300   :  1d ; 
+ 301   :  1d ; 
+ 302   :  1d ; 
+ 303   :  1d ; 
+ 304   :  1d ; 
+ 305   :  1d ; 
+ 306   :  1d ; 
+ 307   :  1e ; 
+ 308   :  1e ; 
+ 309   :  1e ; 
+ 30a   :  1e ; 
+ 30b   :  1e ; 
+ 30c   :  1e ; 
+ 30d   :  1e ; 
+ 30e   :  1e ; 
+ 30f   :  1f ; 
+ 310   :  1f ; 
+ 311   :  1f ; 
+ 312   :  1f ; 
+ 313   :  1f ; 
+ 314   :  1f ; 
+ 315   :  20 ; 
+ 316   :  20 ; 
+ 317   :  20 ; 
+ 318   :  20 ; 
+ 319   :  20 ; 
+ 31a   :  20 ; 
+ 31b   :  20 ; 
+ 31c   :  21 ; 
+ 31d   :  21 ; 
+ 31e   :  21 ; 
+ 31f   :  21 ; 
+ 320   :  21 ; 
+ 321   :  21 ; 
+ 322   :  21 ; 
+ 323   :  22 ; 
+ 324   :  22 ; 
+ 325   :  22 ; 
+ 326   :  22 ; 
+ 327   :  22 ; 
+ 328   :  22 ; 
+ 329   :  23 ; 
+ 32a   :  23 ; 
+ 32b   :  23 ; 
+ 32c   :  23 ; 
+ 32d   :  23 ; 
+ 32e   :  23 ; 
+ 32f   :  23 ; 
+ 330   :  24 ; 
+ 331   :  24 ; 
+ 332   :  24 ; 
+ 333   :  24 ; 
+ 334   :  24 ; 
+ 335   :  24 ; 
+ 336   :  25 ; 
+ 337   :  25 ; 
+ 338   :  25 ; 
+ 339   :  25 ; 
+ 33a   :  25 ; 
+ 33b   :  25 ; 
+ 33c   :  26 ; 
+ 33d   :  26 ; 
+ 33e   :  26 ; 
+ 33f   :  26 ; 
+ 340   :  26 ; 
+ 341   :  26 ; 
+ 342   :  27 ; 
+ 343   :  27 ; 
+ 344   :  27 ; 
+ 345   :  27 ; 
+ 346   :  27 ; 
+ 347   :  27 ; 
+ 348   :  28 ; 
+ 349   :  28 ; 
+ 34a   :  28 ; 
+ 34b   :  28 ; 
+ 34c   :  28 ; 
+ 34d   :  28 ; 
+ 34e   :  29 ; 
+ 34f   :  29 ; 
+ 350   :  29 ; 
+ 351   :  29 ; 
+ 352   :  29 ; 
+ 353   :  29 ; 
+ 354   :  2a ; 
+ 355   :  2a ; 
+ 356   :  2a ; 
+ 357   :  2a ; 
+ 358   :  2a ; 
+ 359   :  2a ; 
+ 35a   :  2b ; 
+ 35b   :  2b ; 
+ 35c   :  2b ; 
+ 35d   :  2b ; 
+ 35e   :  2b ; 
+ 35f   :  2c ; 
+ 360   :  2c ; 
+ 361   :  2c ; 
+ 362   :  2c ; 
+ 363   :  2c ; 
+ 364   :  2c ; 
+ 365   :  2d ; 
+ 366   :  2d ; 
+ 367   :  2d ; 
+ 368   :  2d ; 
+ 369   :  2d ; 
+ 36a   :  2e ; 
+ 36b   :  2e ; 
+ 36c   :  2e ; 
+ 36d   :  2e ; 
+ 36e   :  2e ; 
+ 36f   :  2e ; 
+ 370   :  2f ; 
+ 371   :  2f ; 
+ 372   :  2f ; 
+ 373   :  2f ; 
+ 374   :  2f ; 
+ 375   :  30 ; 
+ 376   :  30 ; 
+ 377   :  30 ; 
+ 378   :  30 ; 
+ 379   :  30 ; 
+ 37a   :  31 ; 
+ 37b   :  31 ; 
+ 37c   :  31 ; 
+ 37d   :  31 ; 
+ 37e   :  31 ; 
+ 37f   :  32 ; 
+ 380   :  32 ; 
+ 381   :  32 ; 
+ 382   :  32 ; 
+ 383   :  32 ; 
+ 384   :  32 ; 
+ 385   :  33 ; 
+ 386   :  33 ; 
+ 387   :  33 ; 
+ 388   :  33 ; 
+ 389   :  33 ; 
+ 38a   :  34 ; 
+ 38b   :  34 ; 
+ 38c   :  34 ; 
+ 38d   :  34 ; 
+ 38e   :  34 ; 
+ 38f   :  35 ; 
+ 390   :  35 ; 
+ 391   :  35 ; 
+ 392   :  35 ; 
+ 393   :  35 ; 
+ 394   :  36 ; 
+ 395   :  36 ; 
+ 396   :  36 ; 
+ 397   :  36 ; 
+ 398   :  37 ; 
+ 399   :  37 ; 
+ 39a   :  37 ; 
+ 39b   :  37 ; 
+ 39c   :  37 ; 
+ 39d   :  38 ; 
+ 39e   :  38 ; 
+ 39f   :  38 ; 
+ 3a0   :  38 ; 
+ 3a1   :  38 ; 
+ 3a2   :  39 ; 
+ 3a3   :  39 ; 
+ 3a4   :  39 ; 
+ 3a5   :  39 ; 
+ 3a6   :  39 ; 
+ 3a7   :  3a ; 
+ 3a8   :  3a ; 
+ 3a9   :  3a ; 
+ 3aa   :  3a ; 
+ 3ab   :  3a ; 
+ 3ac   :  3b ; 
+ 3ad   :  3b ; 
+ 3ae   :  3b ; 
+ 3af   :  3b ; 
+ 3b0   :  3c ; 
+ 3b1   :  3c ; 
+ 3b2   :  3c ; 
+ 3b3   :  3c ; 
+ 3b4   :  3c ; 
+ 3b5   :  3d ; 
+ 3b6   :  3d ; 
+ 3b7   :  3d ; 
+ 3b8   :  3d ; 
+ 3b9   :  3e ; 
+ 3ba   :  3e ; 
+ 3bb   :  3e ; 
+ 3bc   :  3e ; 
+ 3bd   :  3e ; 
+ 3be   :  3f ; 
+ 3bf   :  3f ; 
+ 3c0   :  3f ; 
+ 3c1   :  3f ; 
+ 3c2   :  40 ; 
+ 3c3   :  40 ; 
+ 3c4   :  40 ; 
+ 3c5   :  40 ; 
+ 3c6   :  40 ; 
+ 3c7   :  41 ; 
+ 3c8   :  41 ; 
+ 3c9   :  41 ; 
+ 3ca   :  41 ; 
+ 3cb   :  42 ; 
+ 3cc   :  42 ; 
+ 3cd   :  42 ; 
+ 3ce   :  42 ; 
+ 3cf   :  42 ; 
+ 3d0   :  43 ; 
+ 3d1   :  43 ; 
+ 3d2   :  43 ; 
+ 3d3   :  43 ; 
+ 3d4   :  44 ; 
+ 3d5   :  44 ; 
+ 3d6   :  44 ; 
+ 3d7   :  44 ; 
+ 3d8   :  45 ; 
+ 3d9   :  45 ; 
+ 3da   :  45 ; 
+ 3db   :  45 ; 
+ 3dc   :  45 ; 
+ 3dd   :  46 ; 
+ 3de   :  46 ; 
+ 3df   :  46 ; 
+ 3e0   :  46 ; 
+ 3e1   :  47 ; 
+ 3e2   :  47 ; 
+ 3e3   :  47 ; 
+ 3e4   :  47 ; 
+ 3e5   :  48 ; 
+ 3e6   :  48 ; 
+ 3e7   :  48 ; 
+ 3e8   :  48 ; 
+ 3e9   :  49 ; 
+ 3ea   :  49 ; 
+ 3eb   :  49 ; 
+ 3ec   :  49 ; 
+ 3ed   :  49 ; 
+ 3ee   :  4a ; 
+ 3ef   :  4a ; 
+ 3f0   :  4a ; 
+ 3f1   :  4a ; 
+ 3f2   :  4b ; 
+ 3f3   :  4b ; 
+ 3f4   :  4b ; 
+ 3f5   :  4b ; 
+ 3f6   :  4c ; 
+ 3f7   :  4c ; 
+ 3f8   :  4c ; 
+ 3f9   :  4c ; 
+ 3fa   :  4d ; 
+ 3fb   :  4d ; 
+ 3fc   :  4d ; 
+ 3fd   :  4d ; 
+ 3fe   :  4e ; 
+ 3ff   :  4e ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_2.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_2.mif
new file mode 100644
index 0000000000000000000000000000000000000000..4cde602863aedd33b87c9d3a5c887b6bb1da5889
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_2.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  65 ; 
+ 1   :  61 ; 
+ 2   :  5c ; 
+ 3   :  58 ; 
+ 4   :  53 ; 
+ 5   :  4f ; 
+ 6   :  4a ; 
+ 7   :  46 ; 
+ 8   :  42 ; 
+ 9   :  3d ; 
+ a   :  39 ; 
+ b   :  34 ; 
+ c   :  30 ; 
+ d   :  2b ; 
+ e   :  27 ; 
+ f   :  23 ; 
+ 10   :  1e ; 
+ 11   :  1a ; 
+ 12   :  16 ; 
+ 13   :  11 ; 
+ 14   :  d ; 
+ 15   :  9 ; 
+ 16   :  4 ; 
+ 17   :  0 ; 
+ 18   :  3fffc ; 
+ 19   :  3fff7 ; 
+ 1a   :  3fff3 ; 
+ 1b   :  3ffef ; 
+ 1c   :  3ffea ; 
+ 1d   :  3ffe6 ; 
+ 1e   :  3ffe2 ; 
+ 1f   :  3ffde ; 
+ 20   :  3ffd9 ; 
+ 21   :  3ffd5 ; 
+ 22   :  3ffd1 ; 
+ 23   :  3ffcd ; 
+ 24   :  3ffc9 ; 
+ 25   :  3ffc4 ; 
+ 26   :  3ffc0 ; 
+ 27   :  3ffbc ; 
+ 28   :  3ffb8 ; 
+ 29   :  3ffb4 ; 
+ 2a   :  3ffb0 ; 
+ 2b   :  3ffab ; 
+ 2c   :  3ffa7 ; 
+ 2d   :  3ffa3 ; 
+ 2e   :  3ff9f ; 
+ 2f   :  3ff9b ; 
+ 30   :  3ff97 ; 
+ 31   :  3ff93 ; 
+ 32   :  3ff8f ; 
+ 33   :  3ff8b ; 
+ 34   :  3ff86 ; 
+ 35   :  3ff82 ; 
+ 36   :  3ff7e ; 
+ 37   :  3ff7a ; 
+ 38   :  3ff76 ; 
+ 39   :  3ff72 ; 
+ 3a   :  3ff6e ; 
+ 3b   :  3ff6a ; 
+ 3c   :  3ff66 ; 
+ 3d   :  3ff62 ; 
+ 3e   :  3ff5e ; 
+ 3f   :  3ff5a ; 
+ 40   :  3ff56 ; 
+ 41   :  3ff52 ; 
+ 42   :  3ff4f ; 
+ 43   :  3ff4b ; 
+ 44   :  3ff47 ; 
+ 45   :  3ff43 ; 
+ 46   :  3ff3f ; 
+ 47   :  3ff3b ; 
+ 48   :  3ff37 ; 
+ 49   :  3ff33 ; 
+ 4a   :  3ff2f ; 
+ 4b   :  3ff2c ; 
+ 4c   :  3ff28 ; 
+ 4d   :  3ff24 ; 
+ 4e   :  3ff20 ; 
+ 4f   :  3ff1c ; 
+ 50   :  3ff18 ; 
+ 51   :  3ff15 ; 
+ 52   :  3ff11 ; 
+ 53   :  3ff0d ; 
+ 54   :  3ff09 ; 
+ 55   :  3ff06 ; 
+ 56   :  3ff02 ; 
+ 57   :  3fefe ; 
+ 58   :  3fefa ; 
+ 59   :  3fef7 ; 
+ 5a   :  3fef3 ; 
+ 5b   :  3feef ; 
+ 5c   :  3feec ; 
+ 5d   :  3fee8 ; 
+ 5e   :  3fee4 ; 
+ 5f   :  3fee1 ; 
+ 60   :  3fedd ; 
+ 61   :  3fed9 ; 
+ 62   :  3fed6 ; 
+ 63   :  3fed2 ; 
+ 64   :  3fecf ; 
+ 65   :  3fecb ; 
+ 66   :  3fec7 ; 
+ 67   :  3fec4 ; 
+ 68   :  3fec0 ; 
+ 69   :  3febd ; 
+ 6a   :  3feb9 ; 
+ 6b   :  3feb6 ; 
+ 6c   :  3feb2 ; 
+ 6d   :  3feaf ; 
+ 6e   :  3feab ; 
+ 6f   :  3fea8 ; 
+ 70   :  3fea4 ; 
+ 71   :  3fea1 ; 
+ 72   :  3fe9d ; 
+ 73   :  3fe9a ; 
+ 74   :  3fe96 ; 
+ 75   :  3fe93 ; 
+ 76   :  3fe8f ; 
+ 77   :  3fe8c ; 
+ 78   :  3fe89 ; 
+ 79   :  3fe85 ; 
+ 7a   :  3fe82 ; 
+ 7b   :  3fe7e ; 
+ 7c   :  3fe7b ; 
+ 7d   :  3fe78 ; 
+ 7e   :  3fe74 ; 
+ 7f   :  3fe71 ; 
+ 80   :  3fe6e ; 
+ 81   :  3fe6a ; 
+ 82   :  3fe67 ; 
+ 83   :  3fe64 ; 
+ 84   :  3fe61 ; 
+ 85   :  3fe5d ; 
+ 86   :  3fe5a ; 
+ 87   :  3fe57 ; 
+ 88   :  3fe54 ; 
+ 89   :  3fe50 ; 
+ 8a   :  3fe4d ; 
+ 8b   :  3fe4a ; 
+ 8c   :  3fe47 ; 
+ 8d   :  3fe44 ; 
+ 8e   :  3fe40 ; 
+ 8f   :  3fe3d ; 
+ 90   :  3fe3a ; 
+ 91   :  3fe37 ; 
+ 92   :  3fe34 ; 
+ 93   :  3fe31 ; 
+ 94   :  3fe2e ; 
+ 95   :  3fe2a ; 
+ 96   :  3fe27 ; 
+ 97   :  3fe24 ; 
+ 98   :  3fe21 ; 
+ 99   :  3fe1e ; 
+ 9a   :  3fe1b ; 
+ 9b   :  3fe18 ; 
+ 9c   :  3fe15 ; 
+ 9d   :  3fe12 ; 
+ 9e   :  3fe0f ; 
+ 9f   :  3fe0c ; 
+ a0   :  3fe09 ; 
+ a1   :  3fe06 ; 
+ a2   :  3fe03 ; 
+ a3   :  3fe00 ; 
+ a4   :  3fdfd ; 
+ a5   :  3fdfa ; 
+ a6   :  3fdf7 ; 
+ a7   :  3fdf5 ; 
+ a8   :  3fdf2 ; 
+ a9   :  3fdef ; 
+ aa   :  3fdec ; 
+ ab   :  3fde9 ; 
+ ac   :  3fde6 ; 
+ ad   :  3fde3 ; 
+ ae   :  3fde1 ; 
+ af   :  3fdde ; 
+ b0   :  3fddb ; 
+ b1   :  3fdd8 ; 
+ b2   :  3fdd5 ; 
+ b3   :  3fdd3 ; 
+ b4   :  3fdd0 ; 
+ b5   :  3fdcd ; 
+ b6   :  3fdca ; 
+ b7   :  3fdc8 ; 
+ b8   :  3fdc5 ; 
+ b9   :  3fdc2 ; 
+ ba   :  3fdbf ; 
+ bb   :  3fdbd ; 
+ bc   :  3fdba ; 
+ bd   :  3fdb7 ; 
+ be   :  3fdb5 ; 
+ bf   :  3fdb2 ; 
+ c0   :  3fdaf ; 
+ c1   :  3fdad ; 
+ c2   :  3fdaa ; 
+ c3   :  3fda8 ; 
+ c4   :  3fda5 ; 
+ c5   :  3fda2 ; 
+ c6   :  3fda0 ; 
+ c7   :  3fd9d ; 
+ c8   :  3fd9b ; 
+ c9   :  3fd98 ; 
+ ca   :  3fd96 ; 
+ cb   :  3fd93 ; 
+ cc   :  3fd91 ; 
+ cd   :  3fd8e ; 
+ ce   :  3fd8c ; 
+ cf   :  3fd89 ; 
+ d0   :  3fd87 ; 
+ d1   :  3fd84 ; 
+ d2   :  3fd82 ; 
+ d3   :  3fd80 ; 
+ d4   :  3fd7d ; 
+ d5   :  3fd7b ; 
+ d6   :  3fd78 ; 
+ d7   :  3fd76 ; 
+ d8   :  3fd74 ; 
+ d9   :  3fd71 ; 
+ da   :  3fd6f ; 
+ db   :  3fd6c ; 
+ dc   :  3fd6a ; 
+ dd   :  3fd68 ; 
+ de   :  3fd66 ; 
+ df   :  3fd63 ; 
+ e0   :  3fd61 ; 
+ e1   :  3fd5f ; 
+ e2   :  3fd5c ; 
+ e3   :  3fd5a ; 
+ e4   :  3fd58 ; 
+ e5   :  3fd56 ; 
+ e6   :  3fd54 ; 
+ e7   :  3fd51 ; 
+ e8   :  3fd4f ; 
+ e9   :  3fd4d ; 
+ ea   :  3fd4b ; 
+ eb   :  3fd49 ; 
+ ec   :  3fd46 ; 
+ ed   :  3fd44 ; 
+ ee   :  3fd42 ; 
+ ef   :  3fd40 ; 
+ f0   :  3fd3e ; 
+ f1   :  3fd3c ; 
+ f2   :  3fd3a ; 
+ f3   :  3fd38 ; 
+ f4   :  3fd36 ; 
+ f5   :  3fd34 ; 
+ f6   :  3fd32 ; 
+ f7   :  3fd2f ; 
+ f8   :  3fd2d ; 
+ f9   :  3fd2b ; 
+ fa   :  3fd29 ; 
+ fb   :  3fd27 ; 
+ fc   :  3fd25 ; 
+ fd   :  3fd24 ; 
+ fe   :  3fd22 ; 
+ ff   :  3fd20 ; 
+ 100   :  3fd1e ; 
+ 101   :  3fd1c ; 
+ 102   :  3fd1a ; 
+ 103   :  3fd18 ; 
+ 104   :  3fd16 ; 
+ 105   :  3fd14 ; 
+ 106   :  3fd12 ; 
+ 107   :  3fd10 ; 
+ 108   :  3fd0f ; 
+ 109   :  3fd0d ; 
+ 10a   :  3fd0b ; 
+ 10b   :  3fd09 ; 
+ 10c   :  3fd07 ; 
+ 10d   :  3fd06 ; 
+ 10e   :  3fd04 ; 
+ 10f   :  3fd02 ; 
+ 110   :  3fd00 ; 
+ 111   :  3fcfe ; 
+ 112   :  3fcfd ; 
+ 113   :  3fcfb ; 
+ 114   :  3fcf9 ; 
+ 115   :  3fcf8 ; 
+ 116   :  3fcf6 ; 
+ 117   :  3fcf4 ; 
+ 118   :  3fcf3 ; 
+ 119   :  3fcf1 ; 
+ 11a   :  3fcef ; 
+ 11b   :  3fcee ; 
+ 11c   :  3fcec ; 
+ 11d   :  3fcea ; 
+ 11e   :  3fce9 ; 
+ 11f   :  3fce7 ; 
+ 120   :  3fce6 ; 
+ 121   :  3fce4 ; 
+ 122   :  3fce2 ; 
+ 123   :  3fce1 ; 
+ 124   :  3fcdf ; 
+ 125   :  3fcde ; 
+ 126   :  3fcdc ; 
+ 127   :  3fcdb ; 
+ 128   :  3fcd9 ; 
+ 129   :  3fcd8 ; 
+ 12a   :  3fcd6 ; 
+ 12b   :  3fcd5 ; 
+ 12c   :  3fcd3 ; 
+ 12d   :  3fcd2 ; 
+ 12e   :  3fcd0 ; 
+ 12f   :  3fccf ; 
+ 130   :  3fcce ; 
+ 131   :  3fccc ; 
+ 132   :  3fccb ; 
+ 133   :  3fcc9 ; 
+ 134   :  3fcc8 ; 
+ 135   :  3fcc7 ; 
+ 136   :  3fcc5 ; 
+ 137   :  3fcc4 ; 
+ 138   :  3fcc3 ; 
+ 139   :  3fcc1 ; 
+ 13a   :  3fcc0 ; 
+ 13b   :  3fcbf ; 
+ 13c   :  3fcbd ; 
+ 13d   :  3fcbc ; 
+ 13e   :  3fcbb ; 
+ 13f   :  3fcba ; 
+ 140   :  3fcb8 ; 
+ 141   :  3fcb7 ; 
+ 142   :  3fcb6 ; 
+ 143   :  3fcb5 ; 
+ 144   :  3fcb3 ; 
+ 145   :  3fcb2 ; 
+ 146   :  3fcb1 ; 
+ 147   :  3fcb0 ; 
+ 148   :  3fcaf ; 
+ 149   :  3fcae ; 
+ 14a   :  3fcac ; 
+ 14b   :  3fcab ; 
+ 14c   :  3fcaa ; 
+ 14d   :  3fca9 ; 
+ 14e   :  3fca8 ; 
+ 14f   :  3fca7 ; 
+ 150   :  3fca6 ; 
+ 151   :  3fca5 ; 
+ 152   :  3fca4 ; 
+ 153   :  3fca3 ; 
+ 154   :  3fca2 ; 
+ 155   :  3fca1 ; 
+ 156   :  3fc9f ; 
+ 157   :  3fc9e ; 
+ 158   :  3fc9d ; 
+ 159   :  3fc9c ; 
+ 15a   :  3fc9c ; 
+ 15b   :  3fc9b ; 
+ 15c   :  3fc9a ; 
+ 15d   :  3fc99 ; 
+ 15e   :  3fc98 ; 
+ 15f   :  3fc97 ; 
+ 160   :  3fc96 ; 
+ 161   :  3fc95 ; 
+ 162   :  3fc94 ; 
+ 163   :  3fc93 ; 
+ 164   :  3fc92 ; 
+ 165   :  3fc91 ; 
+ 166   :  3fc91 ; 
+ 167   :  3fc90 ; 
+ 168   :  3fc8f ; 
+ 169   :  3fc8e ; 
+ 16a   :  3fc8d ; 
+ 16b   :  3fc8c ; 
+ 16c   :  3fc8c ; 
+ 16d   :  3fc8b ; 
+ 16e   :  3fc8a ; 
+ 16f   :  3fc89 ; 
+ 170   :  3fc88 ; 
+ 171   :  3fc88 ; 
+ 172   :  3fc87 ; 
+ 173   :  3fc86 ; 
+ 174   :  3fc86 ; 
+ 175   :  3fc85 ; 
+ 176   :  3fc84 ; 
+ 177   :  3fc83 ; 
+ 178   :  3fc83 ; 
+ 179   :  3fc82 ; 
+ 17a   :  3fc81 ; 
+ 17b   :  3fc81 ; 
+ 17c   :  3fc80 ; 
+ 17d   :  3fc7f ; 
+ 17e   :  3fc7f ; 
+ 17f   :  3fc7e ; 
+ 180   :  3fc7e ; 
+ 181   :  3fc7d ; 
+ 182   :  3fc7c ; 
+ 183   :  3fc7c ; 
+ 184   :  3fc7b ; 
+ 185   :  3fc7b ; 
+ 186   :  3fc7a ; 
+ 187   :  3fc7a ; 
+ 188   :  3fc79 ; 
+ 189   :  3fc79 ; 
+ 18a   :  3fc78 ; 
+ 18b   :  3fc78 ; 
+ 18c   :  3fc77 ; 
+ 18d   :  3fc77 ; 
+ 18e   :  3fc76 ; 
+ 18f   :  3fc76 ; 
+ 190   :  3fc75 ; 
+ 191   :  3fc75 ; 
+ 192   :  3fc74 ; 
+ 193   :  3fc74 ; 
+ 194   :  3fc74 ; 
+ 195   :  3fc73 ; 
+ 196   :  3fc73 ; 
+ 197   :  3fc72 ; 
+ 198   :  3fc72 ; 
+ 199   :  3fc72 ; 
+ 19a   :  3fc71 ; 
+ 19b   :  3fc71 ; 
+ 19c   :  3fc71 ; 
+ 19d   :  3fc70 ; 
+ 19e   :  3fc70 ; 
+ 19f   :  3fc70 ; 
+ 1a0   :  3fc6f ; 
+ 1a1   :  3fc6f ; 
+ 1a2   :  3fc6f ; 
+ 1a3   :  3fc6e ; 
+ 1a4   :  3fc6e ; 
+ 1a5   :  3fc6e ; 
+ 1a6   :  3fc6e ; 
+ 1a7   :  3fc6d ; 
+ 1a8   :  3fc6d ; 
+ 1a9   :  3fc6d ; 
+ 1aa   :  3fc6d ; 
+ 1ab   :  3fc6d ; 
+ 1ac   :  3fc6c ; 
+ 1ad   :  3fc6c ; 
+ 1ae   :  3fc6c ; 
+ 1af   :  3fc6c ; 
+ 1b0   :  3fc6c ; 
+ 1b1   :  3fc6b ; 
+ 1b2   :  3fc6b ; 
+ 1b3   :  3fc6b ; 
+ 1b4   :  3fc6b ; 
+ 1b5   :  3fc6b ; 
+ 1b6   :  3fc6b ; 
+ 1b7   :  3fc6b ; 
+ 1b8   :  3fc6b ; 
+ 1b9   :  3fc6b ; 
+ 1ba   :  3fc6a ; 
+ 1bb   :  3fc6a ; 
+ 1bc   :  3fc6a ; 
+ 1bd   :  3fc6a ; 
+ 1be   :  3fc6a ; 
+ 1bf   :  3fc6a ; 
+ 1c0   :  3fc6a ; 
+ 1c1   :  3fc6a ; 
+ 1c2   :  3fc6a ; 
+ 1c3   :  3fc6a ; 
+ 1c4   :  3fc6a ; 
+ 1c5   :  3fc6a ; 
+ 1c6   :  3fc6a ; 
+ 1c7   :  3fc6a ; 
+ 1c8   :  3fc6a ; 
+ 1c9   :  3fc6a ; 
+ 1ca   :  3fc6a ; 
+ 1cb   :  3fc6b ; 
+ 1cc   :  3fc6b ; 
+ 1cd   :  3fc6b ; 
+ 1ce   :  3fc6b ; 
+ 1cf   :  3fc6b ; 
+ 1d0   :  3fc6b ; 
+ 1d1   :  3fc6b ; 
+ 1d2   :  3fc6b ; 
+ 1d3   :  3fc6b ; 
+ 1d4   :  3fc6c ; 
+ 1d5   :  3fc6c ; 
+ 1d6   :  3fc6c ; 
+ 1d7   :  3fc6c ; 
+ 1d8   :  3fc6c ; 
+ 1d9   :  3fc6c ; 
+ 1da   :  3fc6d ; 
+ 1db   :  3fc6d ; 
+ 1dc   :  3fc6d ; 
+ 1dd   :  3fc6d ; 
+ 1de   :  3fc6d ; 
+ 1df   :  3fc6e ; 
+ 1e0   :  3fc6e ; 
+ 1e1   :  3fc6e ; 
+ 1e2   :  3fc6e ; 
+ 1e3   :  3fc6f ; 
+ 1e4   :  3fc6f ; 
+ 1e5   :  3fc6f ; 
+ 1e6   :  3fc6f ; 
+ 1e7   :  3fc70 ; 
+ 1e8   :  3fc70 ; 
+ 1e9   :  3fc70 ; 
+ 1ea   :  3fc71 ; 
+ 1eb   :  3fc71 ; 
+ 1ec   :  3fc71 ; 
+ 1ed   :  3fc72 ; 
+ 1ee   :  3fc72 ; 
+ 1ef   :  3fc72 ; 
+ 1f0   :  3fc73 ; 
+ 1f1   :  3fc73 ; 
+ 1f2   :  3fc73 ; 
+ 1f3   :  3fc74 ; 
+ 1f4   :  3fc74 ; 
+ 1f5   :  3fc75 ; 
+ 1f6   :  3fc75 ; 
+ 1f7   :  3fc75 ; 
+ 1f8   :  3fc76 ; 
+ 1f9   :  3fc76 ; 
+ 1fa   :  3fc77 ; 
+ 1fb   :  3fc77 ; 
+ 1fc   :  3fc78 ; 
+ 1fd   :  3fc78 ; 
+ 1fe   :  3fc79 ; 
+ 1ff   :  3fc79 ; 
+ 200   :  3fc7a ; 
+ 201   :  3fc7a ; 
+ 202   :  3fc7b ; 
+ 203   :  3fc7b ; 
+ 204   :  3fc7c ; 
+ 205   :  3fc7c ; 
+ 206   :  3fc7d ; 
+ 207   :  3fc7d ; 
+ 208   :  3fc7e ; 
+ 209   :  3fc7e ; 
+ 20a   :  3fc7f ; 
+ 20b   :  3fc7f ; 
+ 20c   :  3fc80 ; 
+ 20d   :  3fc80 ; 
+ 20e   :  3fc81 ; 
+ 20f   :  3fc82 ; 
+ 210   :  3fc82 ; 
+ 211   :  3fc83 ; 
+ 212   :  3fc83 ; 
+ 213   :  3fc84 ; 
+ 214   :  3fc85 ; 
+ 215   :  3fc85 ; 
+ 216   :  3fc86 ; 
+ 217   :  3fc87 ; 
+ 218   :  3fc87 ; 
+ 219   :  3fc88 ; 
+ 21a   :  3fc89 ; 
+ 21b   :  3fc89 ; 
+ 21c   :  3fc8a ; 
+ 21d   :  3fc8b ; 
+ 21e   :  3fc8b ; 
+ 21f   :  3fc8c ; 
+ 220   :  3fc8d ; 
+ 221   :  3fc8d ; 
+ 222   :  3fc8e ; 
+ 223   :  3fc8f ; 
+ 224   :  3fc90 ; 
+ 225   :  3fc90 ; 
+ 226   :  3fc91 ; 
+ 227   :  3fc92 ; 
+ 228   :  3fc93 ; 
+ 229   :  3fc93 ; 
+ 22a   :  3fc94 ; 
+ 22b   :  3fc95 ; 
+ 22c   :  3fc96 ; 
+ 22d   :  3fc96 ; 
+ 22e   :  3fc97 ; 
+ 22f   :  3fc98 ; 
+ 230   :  3fc99 ; 
+ 231   :  3fc9a ; 
+ 232   :  3fc9a ; 
+ 233   :  3fc9b ; 
+ 234   :  3fc9c ; 
+ 235   :  3fc9d ; 
+ 236   :  3fc9e ; 
+ 237   :  3fc9f ; 
+ 238   :  3fc9f ; 
+ 239   :  3fca0 ; 
+ 23a   :  3fca1 ; 
+ 23b   :  3fca2 ; 
+ 23c   :  3fca3 ; 
+ 23d   :  3fca4 ; 
+ 23e   :  3fca5 ; 
+ 23f   :  3fca6 ; 
+ 240   :  3fca6 ; 
+ 241   :  3fca7 ; 
+ 242   :  3fca8 ; 
+ 243   :  3fca9 ; 
+ 244   :  3fcaa ; 
+ 245   :  3fcab ; 
+ 246   :  3fcac ; 
+ 247   :  3fcad ; 
+ 248   :  3fcae ; 
+ 249   :  3fcaf ; 
+ 24a   :  3fcb0 ; 
+ 24b   :  3fcb1 ; 
+ 24c   :  3fcb2 ; 
+ 24d   :  3fcb3 ; 
+ 24e   :  3fcb4 ; 
+ 24f   :  3fcb5 ; 
+ 250   :  3fcb6 ; 
+ 251   :  3fcb7 ; 
+ 252   :  3fcb8 ; 
+ 253   :  3fcb9 ; 
+ 254   :  3fcba ; 
+ 255   :  3fcbb ; 
+ 256   :  3fcbc ; 
+ 257   :  3fcbd ; 
+ 258   :  3fcbe ; 
+ 259   :  3fcbf ; 
+ 25a   :  3fcc0 ; 
+ 25b   :  3fcc1 ; 
+ 25c   :  3fcc2 ; 
+ 25d   :  3fcc3 ; 
+ 25e   :  3fcc4 ; 
+ 25f   :  3fcc5 ; 
+ 260   :  3fcc6 ; 
+ 261   :  3fcc7 ; 
+ 262   :  3fcc8 ; 
+ 263   :  3fcc9 ; 
+ 264   :  3fcca ; 
+ 265   :  3fccc ; 
+ 266   :  3fccd ; 
+ 267   :  3fcce ; 
+ 268   :  3fccf ; 
+ 269   :  3fcd0 ; 
+ 26a   :  3fcd1 ; 
+ 26b   :  3fcd2 ; 
+ 26c   :  3fcd3 ; 
+ 26d   :  3fcd5 ; 
+ 26e   :  3fcd6 ; 
+ 26f   :  3fcd7 ; 
+ 270   :  3fcd8 ; 
+ 271   :  3fcd9 ; 
+ 272   :  3fcda ; 
+ 273   :  3fcdb ; 
+ 274   :  3fcdd ; 
+ 275   :  3fcde ; 
+ 276   :  3fcdf ; 
+ 277   :  3fce0 ; 
+ 278   :  3fce1 ; 
+ 279   :  3fce3 ; 
+ 27a   :  3fce4 ; 
+ 27b   :  3fce5 ; 
+ 27c   :  3fce6 ; 
+ 27d   :  3fce7 ; 
+ 27e   :  3fce9 ; 
+ 27f   :  3fcea ; 
+ 280   :  3fceb ; 
+ 281   :  3fcec ; 
+ 282   :  3fced ; 
+ 283   :  3fcef ; 
+ 284   :  3fcf0 ; 
+ 285   :  3fcf1 ; 
+ 286   :  3fcf2 ; 
+ 287   :  3fcf4 ; 
+ 288   :  3fcf5 ; 
+ 289   :  3fcf6 ; 
+ 28a   :  3fcf7 ; 
+ 28b   :  3fcf9 ; 
+ 28c   :  3fcfa ; 
+ 28d   :  3fcfb ; 
+ 28e   :  3fcfd ; 
+ 28f   :  3fcfe ; 
+ 290   :  3fcff ; 
+ 291   :  3fd00 ; 
+ 292   :  3fd02 ; 
+ 293   :  3fd03 ; 
+ 294   :  3fd04 ; 
+ 295   :  3fd06 ; 
+ 296   :  3fd07 ; 
+ 297   :  3fd08 ; 
+ 298   :  3fd0a ; 
+ 299   :  3fd0b ; 
+ 29a   :  3fd0c ; 
+ 29b   :  3fd0e ; 
+ 29c   :  3fd0f ; 
+ 29d   :  3fd10 ; 
+ 29e   :  3fd12 ; 
+ 29f   :  3fd13 ; 
+ 2a0   :  3fd14 ; 
+ 2a1   :  3fd16 ; 
+ 2a2   :  3fd17 ; 
+ 2a3   :  3fd18 ; 
+ 2a4   :  3fd1a ; 
+ 2a5   :  3fd1b ; 
+ 2a6   :  3fd1c ; 
+ 2a7   :  3fd1e ; 
+ 2a8   :  3fd1f ; 
+ 2a9   :  3fd21 ; 
+ 2aa   :  3fd22 ; 
+ 2ab   :  3fd23 ; 
+ 2ac   :  3fd25 ; 
+ 2ad   :  3fd26 ; 
+ 2ae   :  3fd28 ; 
+ 2af   :  3fd29 ; 
+ 2b0   :  3fd2a ; 
+ 2b1   :  3fd2c ; 
+ 2b2   :  3fd2d ; 
+ 2b3   :  3fd2f ; 
+ 2b4   :  3fd30 ; 
+ 2b5   :  3fd31 ; 
+ 2b6   :  3fd33 ; 
+ 2b7   :  3fd34 ; 
+ 2b8   :  3fd36 ; 
+ 2b9   :  3fd37 ; 
+ 2ba   :  3fd39 ; 
+ 2bb   :  3fd3a ; 
+ 2bc   :  3fd3b ; 
+ 2bd   :  3fd3d ; 
+ 2be   :  3fd3e ; 
+ 2bf   :  3fd40 ; 
+ 2c0   :  3fd41 ; 
+ 2c1   :  3fd43 ; 
+ 2c2   :  3fd44 ; 
+ 2c3   :  3fd46 ; 
+ 2c4   :  3fd47 ; 
+ 2c5   :  3fd49 ; 
+ 2c6   :  3fd4a ; 
+ 2c7   :  3fd4c ; 
+ 2c8   :  3fd4d ; 
+ 2c9   :  3fd4e ; 
+ 2ca   :  3fd50 ; 
+ 2cb   :  3fd51 ; 
+ 2cc   :  3fd53 ; 
+ 2cd   :  3fd54 ; 
+ 2ce   :  3fd56 ; 
+ 2cf   :  3fd57 ; 
+ 2d0   :  3fd59 ; 
+ 2d1   :  3fd5a ; 
+ 2d2   :  3fd5c ; 
+ 2d3   :  3fd5d ; 
+ 2d4   :  3fd5f ; 
+ 2d5   :  3fd60 ; 
+ 2d6   :  3fd62 ; 
+ 2d7   :  3fd63 ; 
+ 2d8   :  3fd65 ; 
+ 2d9   :  3fd67 ; 
+ 2da   :  3fd68 ; 
+ 2db   :  3fd6a ; 
+ 2dc   :  3fd6b ; 
+ 2dd   :  3fd6d ; 
+ 2de   :  3fd6e ; 
+ 2df   :  3fd70 ; 
+ 2e0   :  3fd71 ; 
+ 2e1   :  3fd73 ; 
+ 2e2   :  3fd74 ; 
+ 2e3   :  3fd76 ; 
+ 2e4   :  3fd77 ; 
+ 2e5   :  3fd79 ; 
+ 2e6   :  3fd7a ; 
+ 2e7   :  3fd7c ; 
+ 2e8   :  3fd7e ; 
+ 2e9   :  3fd7f ; 
+ 2ea   :  3fd81 ; 
+ 2eb   :  3fd82 ; 
+ 2ec   :  3fd84 ; 
+ 2ed   :  3fd85 ; 
+ 2ee   :  3fd87 ; 
+ 2ef   :  3fd89 ; 
+ 2f0   :  3fd8a ; 
+ 2f1   :  3fd8c ; 
+ 2f2   :  3fd8d ; 
+ 2f3   :  3fd8f ; 
+ 2f4   :  3fd90 ; 
+ 2f5   :  3fd92 ; 
+ 2f6   :  3fd94 ; 
+ 2f7   :  3fd95 ; 
+ 2f8   :  3fd97 ; 
+ 2f9   :  3fd98 ; 
+ 2fa   :  3fd9a ; 
+ 2fb   :  3fd9b ; 
+ 2fc   :  3fd9d ; 
+ 2fd   :  3fd9f ; 
+ 2fe   :  3fda0 ; 
+ 2ff   :  3fda2 ; 
+ 300   :  3fda3 ; 
+ 301   :  3fda5 ; 
+ 302   :  3fda7 ; 
+ 303   :  3fda8 ; 
+ 304   :  3fdaa ; 
+ 305   :  3fdab ; 
+ 306   :  3fdad ; 
+ 307   :  3fdaf ; 
+ 308   :  3fdb0 ; 
+ 309   :  3fdb2 ; 
+ 30a   :  3fdb3 ; 
+ 30b   :  3fdb5 ; 
+ 30c   :  3fdb7 ; 
+ 30d   :  3fdb8 ; 
+ 30e   :  3fdba ; 
+ 30f   :  3fdbc ; 
+ 310   :  3fdbd ; 
+ 311   :  3fdbf ; 
+ 312   :  3fdc0 ; 
+ 313   :  3fdc2 ; 
+ 314   :  3fdc4 ; 
+ 315   :  3fdc5 ; 
+ 316   :  3fdc7 ; 
+ 317   :  3fdc9 ; 
+ 318   :  3fdca ; 
+ 319   :  3fdcc ; 
+ 31a   :  3fdcd ; 
+ 31b   :  3fdcf ; 
+ 31c   :  3fdd1 ; 
+ 31d   :  3fdd2 ; 
+ 31e   :  3fdd4 ; 
+ 31f   :  3fdd6 ; 
+ 320   :  3fdd7 ; 
+ 321   :  3fdd9 ; 
+ 322   :  3fdda ; 
+ 323   :  3fddc ; 
+ 324   :  3fdde ; 
+ 325   :  3fddf ; 
+ 326   :  3fde1 ; 
+ 327   :  3fde3 ; 
+ 328   :  3fde4 ; 
+ 329   :  3fde6 ; 
+ 32a   :  3fde8 ; 
+ 32b   :  3fde9 ; 
+ 32c   :  3fdeb ; 
+ 32d   :  3fded ; 
+ 32e   :  3fdee ; 
+ 32f   :  3fdf0 ; 
+ 330   :  3fdf1 ; 
+ 331   :  3fdf3 ; 
+ 332   :  3fdf5 ; 
+ 333   :  3fdf6 ; 
+ 334   :  3fdf8 ; 
+ 335   :  3fdfa ; 
+ 336   :  3fdfb ; 
+ 337   :  3fdfd ; 
+ 338   :  3fdff ; 
+ 339   :  3fe00 ; 
+ 33a   :  3fe02 ; 
+ 33b   :  3fe04 ; 
+ 33c   :  3fe05 ; 
+ 33d   :  3fe07 ; 
+ 33e   :  3fe09 ; 
+ 33f   :  3fe0a ; 
+ 340   :  3fe0c ; 
+ 341   :  3fe0e ; 
+ 342   :  3fe0f ; 
+ 343   :  3fe11 ; 
+ 344   :  3fe13 ; 
+ 345   :  3fe14 ; 
+ 346   :  3fe16 ; 
+ 347   :  3fe18 ; 
+ 348   :  3fe19 ; 
+ 349   :  3fe1b ; 
+ 34a   :  3fe1d ; 
+ 34b   :  3fe1e ; 
+ 34c   :  3fe20 ; 
+ 34d   :  3fe21 ; 
+ 34e   :  3fe23 ; 
+ 34f   :  3fe25 ; 
+ 350   :  3fe26 ; 
+ 351   :  3fe28 ; 
+ 352   :  3fe2a ; 
+ 353   :  3fe2b ; 
+ 354   :  3fe2d ; 
+ 355   :  3fe2f ; 
+ 356   :  3fe30 ; 
+ 357   :  3fe32 ; 
+ 358   :  3fe34 ; 
+ 359   :  3fe35 ; 
+ 35a   :  3fe37 ; 
+ 35b   :  3fe39 ; 
+ 35c   :  3fe3a ; 
+ 35d   :  3fe3c ; 
+ 35e   :  3fe3e ; 
+ 35f   :  3fe3f ; 
+ 360   :  3fe41 ; 
+ 361   :  3fe43 ; 
+ 362   :  3fe44 ; 
+ 363   :  3fe46 ; 
+ 364   :  3fe48 ; 
+ 365   :  3fe49 ; 
+ 366   :  3fe4b ; 
+ 367   :  3fe4d ; 
+ 368   :  3fe4e ; 
+ 369   :  3fe50 ; 
+ 36a   :  3fe52 ; 
+ 36b   :  3fe53 ; 
+ 36c   :  3fe55 ; 
+ 36d   :  3fe57 ; 
+ 36e   :  3fe58 ; 
+ 36f   :  3fe5a ; 
+ 370   :  3fe5b ; 
+ 371   :  3fe5d ; 
+ 372   :  3fe5f ; 
+ 373   :  3fe60 ; 
+ 374   :  3fe62 ; 
+ 375   :  3fe64 ; 
+ 376   :  3fe65 ; 
+ 377   :  3fe67 ; 
+ 378   :  3fe69 ; 
+ 379   :  3fe6a ; 
+ 37a   :  3fe6c ; 
+ 37b   :  3fe6e ; 
+ 37c   :  3fe6f ; 
+ 37d   :  3fe71 ; 
+ 37e   :  3fe73 ; 
+ 37f   :  3fe74 ; 
+ 380   :  3fe76 ; 
+ 381   :  3fe77 ; 
+ 382   :  3fe79 ; 
+ 383   :  3fe7b ; 
+ 384   :  3fe7c ; 
+ 385   :  3fe7e ; 
+ 386   :  3fe80 ; 
+ 387   :  3fe81 ; 
+ 388   :  3fe83 ; 
+ 389   :  3fe85 ; 
+ 38a   :  3fe86 ; 
+ 38b   :  3fe88 ; 
+ 38c   :  3fe8a ; 
+ 38d   :  3fe8b ; 
+ 38e   :  3fe8d ; 
+ 38f   :  3fe8e ; 
+ 390   :  3fe90 ; 
+ 391   :  3fe92 ; 
+ 392   :  3fe93 ; 
+ 393   :  3fe95 ; 
+ 394   :  3fe97 ; 
+ 395   :  3fe98 ; 
+ 396   :  3fe9a ; 
+ 397   :  3fe9b ; 
+ 398   :  3fe9d ; 
+ 399   :  3fe9f ; 
+ 39a   :  3fea0 ; 
+ 39b   :  3fea2 ; 
+ 39c   :  3fea4 ; 
+ 39d   :  3fea5 ; 
+ 39e   :  3fea7 ; 
+ 39f   :  3fea8 ; 
+ 3a0   :  3feaa ; 
+ 3a1   :  3feac ; 
+ 3a2   :  3fead ; 
+ 3a3   :  3feaf ; 
+ 3a4   :  3feb0 ; 
+ 3a5   :  3feb2 ; 
+ 3a6   :  3feb4 ; 
+ 3a7   :  3feb5 ; 
+ 3a8   :  3feb7 ; 
+ 3a9   :  3feb8 ; 
+ 3aa   :  3feba ; 
+ 3ab   :  3febc ; 
+ 3ac   :  3febd ; 
+ 3ad   :  3febf ; 
+ 3ae   :  3fec0 ; 
+ 3af   :  3fec2 ; 
+ 3b0   :  3fec4 ; 
+ 3b1   :  3fec5 ; 
+ 3b2   :  3fec7 ; 
+ 3b3   :  3fec8 ; 
+ 3b4   :  3feca ; 
+ 3b5   :  3fecc ; 
+ 3b6   :  3fecd ; 
+ 3b7   :  3fecf ; 
+ 3b8   :  3fed0 ; 
+ 3b9   :  3fed2 ; 
+ 3ba   :  3fed3 ; 
+ 3bb   :  3fed5 ; 
+ 3bc   :  3fed7 ; 
+ 3bd   :  3fed8 ; 
+ 3be   :  3feda ; 
+ 3bf   :  3fedb ; 
+ 3c0   :  3fedd ; 
+ 3c1   :  3fede ; 
+ 3c2   :  3fee0 ; 
+ 3c3   :  3fee2 ; 
+ 3c4   :  3fee3 ; 
+ 3c5   :  3fee5 ; 
+ 3c6   :  3fee6 ; 
+ 3c7   :  3fee8 ; 
+ 3c8   :  3fee9 ; 
+ 3c9   :  3feeb ; 
+ 3ca   :  3feec ; 
+ 3cb   :  3feee ; 
+ 3cc   :  3fef0 ; 
+ 3cd   :  3fef1 ; 
+ 3ce   :  3fef3 ; 
+ 3cf   :  3fef4 ; 
+ 3d0   :  3fef6 ; 
+ 3d1   :  3fef7 ; 
+ 3d2   :  3fef9 ; 
+ 3d3   :  3fefa ; 
+ 3d4   :  3fefc ; 
+ 3d5   :  3fefd ; 
+ 3d6   :  3feff ; 
+ 3d7   :  3ff00 ; 
+ 3d8   :  3ff02 ; 
+ 3d9   :  3ff04 ; 
+ 3da   :  3ff05 ; 
+ 3db   :  3ff07 ; 
+ 3dc   :  3ff08 ; 
+ 3dd   :  3ff0a ; 
+ 3de   :  3ff0b ; 
+ 3df   :  3ff0d ; 
+ 3e0   :  3ff0e ; 
+ 3e1   :  3ff10 ; 
+ 3e2   :  3ff11 ; 
+ 3e3   :  3ff13 ; 
+ 3e4   :  3ff14 ; 
+ 3e5   :  3ff16 ; 
+ 3e6   :  3ff17 ; 
+ 3e7   :  3ff19 ; 
+ 3e8   :  3ff1a ; 
+ 3e9   :  3ff1c ; 
+ 3ea   :  3ff1d ; 
+ 3eb   :  3ff1f ; 
+ 3ec   :  3ff20 ; 
+ 3ed   :  3ff22 ; 
+ 3ee   :  3ff23 ; 
+ 3ef   :  3ff25 ; 
+ 3f0   :  3ff26 ; 
+ 3f1   :  3ff28 ; 
+ 3f2   :  3ff29 ; 
+ 3f3   :  3ff2b ; 
+ 3f4   :  3ff2c ; 
+ 3f5   :  3ff2d ; 
+ 3f6   :  3ff2f ; 
+ 3f7   :  3ff30 ; 
+ 3f8   :  3ff32 ; 
+ 3f9   :  3ff33 ; 
+ 3fa   :  3ff35 ; 
+ 3fb   :  3ff36 ; 
+ 3fc   :  3ff38 ; 
+ 3fd   :  3ff39 ; 
+ 3fe   :  3ff3b ; 
+ 3ff   :  3ff3c ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_3.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_3.mif
new file mode 100644
index 0000000000000000000000000000000000000000..46cd3ab4b5b92ba139b9f17cbd212f0363d605e8
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_3.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  bf ; 
+ 1   :  c9 ; 
+ 2   :  d4 ; 
+ 3   :  df ; 
+ 4   :  ea ; 
+ 5   :  f4 ; 
+ 6   :  ff ; 
+ 7   :  10a ; 
+ 8   :  115 ; 
+ 9   :  11f ; 
+ a   :  12a ; 
+ b   :  134 ; 
+ c   :  13f ; 
+ d   :  14a ; 
+ e   :  154 ; 
+ f   :  15f ; 
+ 10   :  169 ; 
+ 11   :  174 ; 
+ 12   :  17e ; 
+ 13   :  188 ; 
+ 14   :  193 ; 
+ 15   :  19d ; 
+ 16   :  1a8 ; 
+ 17   :  1b2 ; 
+ 18   :  1bc ; 
+ 19   :  1c7 ; 
+ 1a   :  1d1 ; 
+ 1b   :  1db ; 
+ 1c   :  1e6 ; 
+ 1d   :  1f0 ; 
+ 1e   :  1fa ; 
+ 1f   :  204 ; 
+ 20   :  20e ; 
+ 21   :  219 ; 
+ 22   :  223 ; 
+ 23   :  22d ; 
+ 24   :  237 ; 
+ 25   :  241 ; 
+ 26   :  24b ; 
+ 27   :  255 ; 
+ 28   :  25f ; 
+ 29   :  269 ; 
+ 2a   :  273 ; 
+ 2b   :  27d ; 
+ 2c   :  287 ; 
+ 2d   :  291 ; 
+ 2e   :  29b ; 
+ 2f   :  2a4 ; 
+ 30   :  2ae ; 
+ 31   :  2b8 ; 
+ 32   :  2c2 ; 
+ 33   :  2cc ; 
+ 34   :  2d5 ; 
+ 35   :  2df ; 
+ 36   :  2e9 ; 
+ 37   :  2f2 ; 
+ 38   :  2fc ; 
+ 39   :  306 ; 
+ 3a   :  30f ; 
+ 3b   :  319 ; 
+ 3c   :  322 ; 
+ 3d   :  32c ; 
+ 3e   :  335 ; 
+ 3f   :  33f ; 
+ 40   :  348 ; 
+ 41   :  352 ; 
+ 42   :  35b ; 
+ 43   :  365 ; 
+ 44   :  36e ; 
+ 45   :  377 ; 
+ 46   :  381 ; 
+ 47   :  38a ; 
+ 48   :  393 ; 
+ 49   :  39c ; 
+ 4a   :  3a6 ; 
+ 4b   :  3af ; 
+ 4c   :  3b8 ; 
+ 4d   :  3c1 ; 
+ 4e   :  3ca ; 
+ 4f   :  3d3 ; 
+ 50   :  3dd ; 
+ 51   :  3e6 ; 
+ 52   :  3ef ; 
+ 53   :  3f8 ; 
+ 54   :  401 ; 
+ 55   :  40a ; 
+ 56   :  413 ; 
+ 57   :  41b ; 
+ 58   :  424 ; 
+ 59   :  42d ; 
+ 5a   :  436 ; 
+ 5b   :  43f ; 
+ 5c   :  448 ; 
+ 5d   :  450 ; 
+ 5e   :  459 ; 
+ 5f   :  462 ; 
+ 60   :  46b ; 
+ 61   :  473 ; 
+ 62   :  47c ; 
+ 63   :  485 ; 
+ 64   :  48d ; 
+ 65   :  496 ; 
+ 66   :  49e ; 
+ 67   :  4a7 ; 
+ 68   :  4af ; 
+ 69   :  4b8 ; 
+ 6a   :  4c0 ; 
+ 6b   :  4c9 ; 
+ 6c   :  4d1 ; 
+ 6d   :  4d9 ; 
+ 6e   :  4e2 ; 
+ 6f   :  4ea ; 
+ 70   :  4f2 ; 
+ 71   :  4fa ; 
+ 72   :  503 ; 
+ 73   :  50b ; 
+ 74   :  513 ; 
+ 75   :  51b ; 
+ 76   :  523 ; 
+ 77   :  52c ; 
+ 78   :  534 ; 
+ 79   :  53c ; 
+ 7a   :  544 ; 
+ 7b   :  54c ; 
+ 7c   :  554 ; 
+ 7d   :  55c ; 
+ 7e   :  564 ; 
+ 7f   :  56b ; 
+ 80   :  573 ; 
+ 81   :  57b ; 
+ 82   :  583 ; 
+ 83   :  58b ; 
+ 84   :  593 ; 
+ 85   :  59a ; 
+ 86   :  5a2 ; 
+ 87   :  5aa ; 
+ 88   :  5b1 ; 
+ 89   :  5b9 ; 
+ 8a   :  5c1 ; 
+ 8b   :  5c8 ; 
+ 8c   :  5d0 ; 
+ 8d   :  5d7 ; 
+ 8e   :  5df ; 
+ 8f   :  5e6 ; 
+ 90   :  5ee ; 
+ 91   :  5f5 ; 
+ 92   :  5fd ; 
+ 93   :  604 ; 
+ 94   :  60b ; 
+ 95   :  613 ; 
+ 96   :  61a ; 
+ 97   :  621 ; 
+ 98   :  628 ; 
+ 99   :  630 ; 
+ 9a   :  637 ; 
+ 9b   :  63e ; 
+ 9c   :  645 ; 
+ 9d   :  64c ; 
+ 9e   :  653 ; 
+ 9f   :  65a ; 
+ a0   :  661 ; 
+ a1   :  668 ; 
+ a2   :  66f ; 
+ a3   :  676 ; 
+ a4   :  67d ; 
+ a5   :  684 ; 
+ a6   :  68b ; 
+ a7   :  692 ; 
+ a8   :  698 ; 
+ a9   :  69f ; 
+ aa   :  6a6 ; 
+ ab   :  6ad ; 
+ ac   :  6b3 ; 
+ ad   :  6ba ; 
+ ae   :  6c1 ; 
+ af   :  6c7 ; 
+ b0   :  6ce ; 
+ b1   :  6d4 ; 
+ b2   :  6db ; 
+ b3   :  6e1 ; 
+ b4   :  6e8 ; 
+ b5   :  6ee ; 
+ b6   :  6f5 ; 
+ b7   :  6fb ; 
+ b8   :  701 ; 
+ b9   :  708 ; 
+ ba   :  70e ; 
+ bb   :  714 ; 
+ bc   :  71b ; 
+ bd   :  721 ; 
+ be   :  727 ; 
+ bf   :  72d ; 
+ c0   :  733 ; 
+ c1   :  739 ; 
+ c2   :  73f ; 
+ c3   :  745 ; 
+ c4   :  74c ; 
+ c5   :  751 ; 
+ c6   :  757 ; 
+ c7   :  75d ; 
+ c8   :  763 ; 
+ c9   :  769 ; 
+ ca   :  76f ; 
+ cb   :  775 ; 
+ cc   :  77b ; 
+ cd   :  780 ; 
+ ce   :  786 ; 
+ cf   :  78c ; 
+ d0   :  792 ; 
+ d1   :  797 ; 
+ d2   :  79d ; 
+ d3   :  7a2 ; 
+ d4   :  7a8 ; 
+ d5   :  7ae ; 
+ d6   :  7b3 ; 
+ d7   :  7b9 ; 
+ d8   :  7be ; 
+ d9   :  7c4 ; 
+ da   :  7c9 ; 
+ db   :  7ce ; 
+ dc   :  7d4 ; 
+ dd   :  7d9 ; 
+ de   :  7de ; 
+ df   :  7e4 ; 
+ e0   :  7e9 ; 
+ e1   :  7ee ; 
+ e2   :  7f3 ; 
+ e3   :  7f8 ; 
+ e4   :  7fd ; 
+ e5   :  803 ; 
+ e6   :  808 ; 
+ e7   :  80d ; 
+ e8   :  812 ; 
+ e9   :  817 ; 
+ ea   :  81c ; 
+ eb   :  820 ; 
+ ec   :  825 ; 
+ ed   :  82a ; 
+ ee   :  82f ; 
+ ef   :  834 ; 
+ f0   :  839 ; 
+ f1   :  83d ; 
+ f2   :  842 ; 
+ f3   :  847 ; 
+ f4   :  84c ; 
+ f5   :  850 ; 
+ f6   :  855 ; 
+ f7   :  859 ; 
+ f8   :  85e ; 
+ f9   :  862 ; 
+ fa   :  867 ; 
+ fb   :  86b ; 
+ fc   :  870 ; 
+ fd   :  874 ; 
+ fe   :  879 ; 
+ ff   :  87d ; 
+ 100   :  881 ; 
+ 101   :  886 ; 
+ 102   :  88a ; 
+ 103   :  88e ; 
+ 104   :  892 ; 
+ 105   :  897 ; 
+ 106   :  89b ; 
+ 107   :  89f ; 
+ 108   :  8a3 ; 
+ 109   :  8a7 ; 
+ 10a   :  8ab ; 
+ 10b   :  8af ; 
+ 10c   :  8b3 ; 
+ 10d   :  8b7 ; 
+ 10e   :  8bb ; 
+ 10f   :  8bf ; 
+ 110   :  8c3 ; 
+ 111   :  8c7 ; 
+ 112   :  8cb ; 
+ 113   :  8ce ; 
+ 114   :  8d2 ; 
+ 115   :  8d6 ; 
+ 116   :  8da ; 
+ 117   :  8dd ; 
+ 118   :  8e1 ; 
+ 119   :  8e5 ; 
+ 11a   :  8e8 ; 
+ 11b   :  8ec ; 
+ 11c   :  8ef ; 
+ 11d   :  8f3 ; 
+ 11e   :  8f6 ; 
+ 11f   :  8fa ; 
+ 120   :  8fd ; 
+ 121   :  901 ; 
+ 122   :  904 ; 
+ 123   :  907 ; 
+ 124   :  90b ; 
+ 125   :  90e ; 
+ 126   :  911 ; 
+ 127   :  914 ; 
+ 128   :  918 ; 
+ 129   :  91b ; 
+ 12a   :  91e ; 
+ 12b   :  921 ; 
+ 12c   :  924 ; 
+ 12d   :  927 ; 
+ 12e   :  92a ; 
+ 12f   :  92d ; 
+ 130   :  930 ; 
+ 131   :  933 ; 
+ 132   :  936 ; 
+ 133   :  939 ; 
+ 134   :  93c ; 
+ 135   :  93f ; 
+ 136   :  942 ; 
+ 137   :  944 ; 
+ 138   :  947 ; 
+ 139   :  94a ; 
+ 13a   :  94d ; 
+ 13b   :  94f ; 
+ 13c   :  952 ; 
+ 13d   :  954 ; 
+ 13e   :  957 ; 
+ 13f   :  95a ; 
+ 140   :  95c ; 
+ 141   :  95f ; 
+ 142   :  961 ; 
+ 143   :  964 ; 
+ 144   :  966 ; 
+ 145   :  969 ; 
+ 146   :  96b ; 
+ 147   :  96d ; 
+ 148   :  970 ; 
+ 149   :  972 ; 
+ 14a   :  974 ; 
+ 14b   :  976 ; 
+ 14c   :  979 ; 
+ 14d   :  97b ; 
+ 14e   :  97d ; 
+ 14f   :  97f ; 
+ 150   :  981 ; 
+ 151   :  983 ; 
+ 152   :  985 ; 
+ 153   :  987 ; 
+ 154   :  989 ; 
+ 155   :  98b ; 
+ 156   :  98d ; 
+ 157   :  98f ; 
+ 158   :  991 ; 
+ 159   :  993 ; 
+ 15a   :  995 ; 
+ 15b   :  997 ; 
+ 15c   :  998 ; 
+ 15d   :  99a ; 
+ 15e   :  99c ; 
+ 15f   :  99e ; 
+ 160   :  99f ; 
+ 161   :  9a1 ; 
+ 162   :  9a2 ; 
+ 163   :  9a4 ; 
+ 164   :  9a6 ; 
+ 165   :  9a7 ; 
+ 166   :  9a9 ; 
+ 167   :  9aa ; 
+ 168   :  9ac ; 
+ 169   :  9ad ; 
+ 16a   :  9af ; 
+ 16b   :  9b0 ; 
+ 16c   :  9b1 ; 
+ 16d   :  9b3 ; 
+ 16e   :  9b4 ; 
+ 16f   :  9b5 ; 
+ 170   :  9b6 ; 
+ 171   :  9b8 ; 
+ 172   :  9b9 ; 
+ 173   :  9ba ; 
+ 174   :  9bb ; 
+ 175   :  9bc ; 
+ 176   :  9bd ; 
+ 177   :  9be ; 
+ 178   :  9c0 ; 
+ 179   :  9c1 ; 
+ 17a   :  9c2 ; 
+ 17b   :  9c3 ; 
+ 17c   :  9c3 ; 
+ 17d   :  9c4 ; 
+ 17e   :  9c5 ; 
+ 17f   :  9c6 ; 
+ 180   :  9c7 ; 
+ 181   :  9c8 ; 
+ 182   :  9c9 ; 
+ 183   :  9c9 ; 
+ 184   :  9ca ; 
+ 185   :  9cb ; 
+ 186   :  9cb ; 
+ 187   :  9cc ; 
+ 188   :  9cd ; 
+ 189   :  9cd ; 
+ 18a   :  9ce ; 
+ 18b   :  9cf ; 
+ 18c   :  9cf ; 
+ 18d   :  9d0 ; 
+ 18e   :  9d0 ; 
+ 18f   :  9d0 ; 
+ 190   :  9d1 ; 
+ 191   :  9d1 ; 
+ 192   :  9d2 ; 
+ 193   :  9d2 ; 
+ 194   :  9d2 ; 
+ 195   :  9d3 ; 
+ 196   :  9d3 ; 
+ 197   :  9d3 ; 
+ 198   :  9d4 ; 
+ 199   :  9d4 ; 
+ 19a   :  9d4 ; 
+ 19b   :  9d4 ; 
+ 19c   :  9d4 ; 
+ 19d   :  9d4 ; 
+ 19e   :  9d4 ; 
+ 19f   :  9d4 ; 
+ 1a0   :  9d4 ; 
+ 1a1   :  9d5 ; 
+ 1a2   :  9d4 ; 
+ 1a3   :  9d4 ; 
+ 1a4   :  9d4 ; 
+ 1a5   :  9d4 ; 
+ 1a6   :  9d4 ; 
+ 1a7   :  9d4 ; 
+ 1a8   :  9d4 ; 
+ 1a9   :  9d4 ; 
+ 1aa   :  9d4 ; 
+ 1ab   :  9d3 ; 
+ 1ac   :  9d3 ; 
+ 1ad   :  9d3 ; 
+ 1ae   :  9d2 ; 
+ 1af   :  9d2 ; 
+ 1b0   :  9d2 ; 
+ 1b1   :  9d1 ; 
+ 1b2   :  9d1 ; 
+ 1b3   :  9d1 ; 
+ 1b4   :  9d0 ; 
+ 1b5   :  9d0 ; 
+ 1b6   :  9cf ; 
+ 1b7   :  9cf ; 
+ 1b8   :  9ce ; 
+ 1b9   :  9ce ; 
+ 1ba   :  9cd ; 
+ 1bb   :  9cc ; 
+ 1bc   :  9cc ; 
+ 1bd   :  9cb ; 
+ 1be   :  9ca ; 
+ 1bf   :  9ca ; 
+ 1c0   :  9c9 ; 
+ 1c1   :  9c8 ; 
+ 1c2   :  9c8 ; 
+ 1c3   :  9c7 ; 
+ 1c4   :  9c6 ; 
+ 1c5   :  9c5 ; 
+ 1c6   :  9c4 ; 
+ 1c7   :  9c3 ; 
+ 1c8   :  9c2 ; 
+ 1c9   :  9c2 ; 
+ 1ca   :  9c1 ; 
+ 1cb   :  9c0 ; 
+ 1cc   :  9bf ; 
+ 1cd   :  9be ; 
+ 1ce   :  9bd ; 
+ 1cf   :  9bc ; 
+ 1d0   :  9ba ; 
+ 1d1   :  9b9 ; 
+ 1d2   :  9b8 ; 
+ 1d3   :  9b7 ; 
+ 1d4   :  9b6 ; 
+ 1d5   :  9b5 ; 
+ 1d6   :  9b4 ; 
+ 1d7   :  9b2 ; 
+ 1d8   :  9b1 ; 
+ 1d9   :  9b0 ; 
+ 1da   :  9ae ; 
+ 1db   :  9ad ; 
+ 1dc   :  9ac ; 
+ 1dd   :  9aa ; 
+ 1de   :  9a9 ; 
+ 1df   :  9a8 ; 
+ 1e0   :  9a6 ; 
+ 1e1   :  9a5 ; 
+ 1e2   :  9a3 ; 
+ 1e3   :  9a2 ; 
+ 1e4   :  9a0 ; 
+ 1e5   :  99f ; 
+ 1e6   :  99d ; 
+ 1e7   :  99c ; 
+ 1e8   :  99a ; 
+ 1e9   :  998 ; 
+ 1ea   :  997 ; 
+ 1eb   :  995 ; 
+ 1ec   :  993 ; 
+ 1ed   :  992 ; 
+ 1ee   :  990 ; 
+ 1ef   :  98e ; 
+ 1f0   :  98c ; 
+ 1f1   :  98b ; 
+ 1f2   :  989 ; 
+ 1f3   :  987 ; 
+ 1f4   :  985 ; 
+ 1f5   :  983 ; 
+ 1f6   :  981 ; 
+ 1f7   :  97f ; 
+ 1f8   :  97e ; 
+ 1f9   :  97c ; 
+ 1fa   :  97a ; 
+ 1fb   :  978 ; 
+ 1fc   :  976 ; 
+ 1fd   :  974 ; 
+ 1fe   :  972 ; 
+ 1ff   :  96f ; 
+ 200   :  96d ; 
+ 201   :  96b ; 
+ 202   :  969 ; 
+ 203   :  967 ; 
+ 204   :  965 ; 
+ 205   :  963 ; 
+ 206   :  960 ; 
+ 207   :  95e ; 
+ 208   :  95c ; 
+ 209   :  95a ; 
+ 20a   :  957 ; 
+ 20b   :  955 ; 
+ 20c   :  953 ; 
+ 20d   :  950 ; 
+ 20e   :  94e ; 
+ 20f   :  94c ; 
+ 210   :  949 ; 
+ 211   :  947 ; 
+ 212   :  945 ; 
+ 213   :  942 ; 
+ 214   :  940 ; 
+ 215   :  93d ; 
+ 216   :  93b ; 
+ 217   :  938 ; 
+ 218   :  936 ; 
+ 219   :  933 ; 
+ 21a   :  930 ; 
+ 21b   :  92e ; 
+ 21c   :  92b ; 
+ 21d   :  929 ; 
+ 21e   :  926 ; 
+ 21f   :  923 ; 
+ 220   :  921 ; 
+ 221   :  91e ; 
+ 222   :  91b ; 
+ 223   :  918 ; 
+ 224   :  916 ; 
+ 225   :  913 ; 
+ 226   :  910 ; 
+ 227   :  90d ; 
+ 228   :  90a ; 
+ 229   :  908 ; 
+ 22a   :  905 ; 
+ 22b   :  902 ; 
+ 22c   :  8ff ; 
+ 22d   :  8fc ; 
+ 22e   :  8f9 ; 
+ 22f   :  8f6 ; 
+ 230   :  8f3 ; 
+ 231   :  8f0 ; 
+ 232   :  8ed ; 
+ 233   :  8ea ; 
+ 234   :  8e7 ; 
+ 235   :  8e4 ; 
+ 236   :  8e1 ; 
+ 237   :  8de ; 
+ 238   :  8db ; 
+ 239   :  8d8 ; 
+ 23a   :  8d5 ; 
+ 23b   :  8d1 ; 
+ 23c   :  8ce ; 
+ 23d   :  8cb ; 
+ 23e   :  8c8 ; 
+ 23f   :  8c5 ; 
+ 240   :  8c1 ; 
+ 241   :  8be ; 
+ 242   :  8bb ; 
+ 243   :  8b8 ; 
+ 244   :  8b4 ; 
+ 245   :  8b1 ; 
+ 246   :  8ae ; 
+ 247   :  8aa ; 
+ 248   :  8a7 ; 
+ 249   :  8a4 ; 
+ 24a   :  8a0 ; 
+ 24b   :  89d ; 
+ 24c   :  899 ; 
+ 24d   :  896 ; 
+ 24e   :  893 ; 
+ 24f   :  88f ; 
+ 250   :  88c ; 
+ 251   :  888 ; 
+ 252   :  885 ; 
+ 253   :  881 ; 
+ 254   :  87e ; 
+ 255   :  87a ; 
+ 256   :  876 ; 
+ 257   :  873 ; 
+ 258   :  86f ; 
+ 259   :  86c ; 
+ 25a   :  868 ; 
+ 25b   :  864 ; 
+ 25c   :  861 ; 
+ 25d   :  85d ; 
+ 25e   :  859 ; 
+ 25f   :  856 ; 
+ 260   :  852 ; 
+ 261   :  84e ; 
+ 262   :  84b ; 
+ 263   :  847 ; 
+ 264   :  843 ; 
+ 265   :  83f ; 
+ 266   :  83b ; 
+ 267   :  838 ; 
+ 268   :  834 ; 
+ 269   :  830 ; 
+ 26a   :  82c ; 
+ 26b   :  828 ; 
+ 26c   :  824 ; 
+ 26d   :  821 ; 
+ 26e   :  81d ; 
+ 26f   :  819 ; 
+ 270   :  815 ; 
+ 271   :  811 ; 
+ 272   :  80d ; 
+ 273   :  809 ; 
+ 274   :  805 ; 
+ 275   :  801 ; 
+ 276   :  7fd ; 
+ 277   :  7f9 ; 
+ 278   :  7f5 ; 
+ 279   :  7f1 ; 
+ 27a   :  7ed ; 
+ 27b   :  7e9 ; 
+ 27c   :  7e5 ; 
+ 27d   :  7e1 ; 
+ 27e   :  7dd ; 
+ 27f   :  7d8 ; 
+ 280   :  7d4 ; 
+ 281   :  7d0 ; 
+ 282   :  7cc ; 
+ 283   :  7c8 ; 
+ 284   :  7c4 ; 
+ 285   :  7c0 ; 
+ 286   :  7bb ; 
+ 287   :  7b7 ; 
+ 288   :  7b3 ; 
+ 289   :  7af ; 
+ 28a   :  7aa ; 
+ 28b   :  7a6 ; 
+ 28c   :  7a2 ; 
+ 28d   :  79e ; 
+ 28e   :  799 ; 
+ 28f   :  795 ; 
+ 290   :  791 ; 
+ 291   :  78c ; 
+ 292   :  788 ; 
+ 293   :  784 ; 
+ 294   :  77f ; 
+ 295   :  77b ; 
+ 296   :  777 ; 
+ 297   :  772 ; 
+ 298   :  76e ; 
+ 299   :  76a ; 
+ 29a   :  765 ; 
+ 29b   :  761 ; 
+ 29c   :  75c ; 
+ 29d   :  758 ; 
+ 29e   :  753 ; 
+ 29f   :  74f ; 
+ 2a0   :  74a ; 
+ 2a1   :  746 ; 
+ 2a2   :  741 ; 
+ 2a3   :  73d ; 
+ 2a4   :  738 ; 
+ 2a5   :  734 ; 
+ 2a6   :  72f ; 
+ 2a7   :  72b ; 
+ 2a8   :  726 ; 
+ 2a9   :  722 ; 
+ 2aa   :  71d ; 
+ 2ab   :  719 ; 
+ 2ac   :  714 ; 
+ 2ad   :  70f ; 
+ 2ae   :  70b ; 
+ 2af   :  706 ; 
+ 2b0   :  702 ; 
+ 2b1   :  6fd ; 
+ 2b2   :  6f8 ; 
+ 2b3   :  6f4 ; 
+ 2b4   :  6ef ; 
+ 2b5   :  6ea ; 
+ 2b6   :  6e6 ; 
+ 2b7   :  6e1 ; 
+ 2b8   :  6dc ; 
+ 2b9   :  6d8 ; 
+ 2ba   :  6d3 ; 
+ 2bb   :  6ce ; 
+ 2bc   :  6c9 ; 
+ 2bd   :  6c5 ; 
+ 2be   :  6c0 ; 
+ 2bf   :  6bb ; 
+ 2c0   :  6b6 ; 
+ 2c1   :  6b2 ; 
+ 2c2   :  6ad ; 
+ 2c3   :  6a8 ; 
+ 2c4   :  6a3 ; 
+ 2c5   :  69f ; 
+ 2c6   :  69a ; 
+ 2c7   :  695 ; 
+ 2c8   :  690 ; 
+ 2c9   :  68b ; 
+ 2ca   :  686 ; 
+ 2cb   :  682 ; 
+ 2cc   :  67d ; 
+ 2cd   :  678 ; 
+ 2ce   :  673 ; 
+ 2cf   :  66e ; 
+ 2d0   :  669 ; 
+ 2d1   :  664 ; 
+ 2d2   :  660 ; 
+ 2d3   :  65b ; 
+ 2d4   :  656 ; 
+ 2d5   :  651 ; 
+ 2d6   :  64c ; 
+ 2d7   :  647 ; 
+ 2d8   :  642 ; 
+ 2d9   :  63d ; 
+ 2da   :  638 ; 
+ 2db   :  633 ; 
+ 2dc   :  62e ; 
+ 2dd   :  629 ; 
+ 2de   :  624 ; 
+ 2df   :  61f ; 
+ 2e0   :  61a ; 
+ 2e1   :  615 ; 
+ 2e2   :  610 ; 
+ 2e3   :  60b ; 
+ 2e4   :  606 ; 
+ 2e5   :  601 ; 
+ 2e6   :  5fc ; 
+ 2e7   :  5f7 ; 
+ 2e8   :  5f2 ; 
+ 2e9   :  5ed ; 
+ 2ea   :  5e8 ; 
+ 2eb   :  5e3 ; 
+ 2ec   :  5de ; 
+ 2ed   :  5d9 ; 
+ 2ee   :  5d4 ; 
+ 2ef   :  5cf ; 
+ 2f0   :  5ca ; 
+ 2f1   :  5c5 ; 
+ 2f2   :  5c0 ; 
+ 2f3   :  5bb ; 
+ 2f4   :  5b6 ; 
+ 2f5   :  5b0 ; 
+ 2f6   :  5ab ; 
+ 2f7   :  5a6 ; 
+ 2f8   :  5a1 ; 
+ 2f9   :  59c ; 
+ 2fa   :  597 ; 
+ 2fb   :  592 ; 
+ 2fc   :  58d ; 
+ 2fd   :  588 ; 
+ 2fe   :  582 ; 
+ 2ff   :  57d ; 
+ 300   :  578 ; 
+ 301   :  573 ; 
+ 302   :  56e ; 
+ 303   :  569 ; 
+ 304   :  564 ; 
+ 305   :  55e ; 
+ 306   :  559 ; 
+ 307   :  554 ; 
+ 308   :  54f ; 
+ 309   :  54a ; 
+ 30a   :  545 ; 
+ 30b   :  53f ; 
+ 30c   :  53a ; 
+ 30d   :  535 ; 
+ 30e   :  530 ; 
+ 30f   :  52b ; 
+ 310   :  525 ; 
+ 311   :  520 ; 
+ 312   :  51b ; 
+ 313   :  516 ; 
+ 314   :  511 ; 
+ 315   :  50b ; 
+ 316   :  506 ; 
+ 317   :  501 ; 
+ 318   :  4fc ; 
+ 319   :  4f6 ; 
+ 31a   :  4f1 ; 
+ 31b   :  4ec ; 
+ 31c   :  4e7 ; 
+ 31d   :  4e2 ; 
+ 31e   :  4dc ; 
+ 31f   :  4d7 ; 
+ 320   :  4d2 ; 
+ 321   :  4cd ; 
+ 322   :  4c7 ; 
+ 323   :  4c2 ; 
+ 324   :  4bd ; 
+ 325   :  4b8 ; 
+ 326   :  4b2 ; 
+ 327   :  4ad ; 
+ 328   :  4a8 ; 
+ 329   :  4a3 ; 
+ 32a   :  49d ; 
+ 32b   :  498 ; 
+ 32c   :  493 ; 
+ 32d   :  48e ; 
+ 32e   :  488 ; 
+ 32f   :  483 ; 
+ 330   :  47e ; 
+ 331   :  479 ; 
+ 332   :  473 ; 
+ 333   :  46e ; 
+ 334   :  469 ; 
+ 335   :  463 ; 
+ 336   :  45e ; 
+ 337   :  459 ; 
+ 338   :  454 ; 
+ 339   :  44e ; 
+ 33a   :  449 ; 
+ 33b   :  444 ; 
+ 33c   :  43e ; 
+ 33d   :  439 ; 
+ 33e   :  434 ; 
+ 33f   :  42f ; 
+ 340   :  429 ; 
+ 341   :  424 ; 
+ 342   :  41f ; 
+ 343   :  41a ; 
+ 344   :  414 ; 
+ 345   :  40f ; 
+ 346   :  40a ; 
+ 347   :  404 ; 
+ 348   :  3ff ; 
+ 349   :  3fa ; 
+ 34a   :  3f5 ; 
+ 34b   :  3ef ; 
+ 34c   :  3ea ; 
+ 34d   :  3e5 ; 
+ 34e   :  3df ; 
+ 34f   :  3da ; 
+ 350   :  3d5 ; 
+ 351   :  3d0 ; 
+ 352   :  3ca ; 
+ 353   :  3c5 ; 
+ 354   :  3c0 ; 
+ 355   :  3ba ; 
+ 356   :  3b5 ; 
+ 357   :  3b0 ; 
+ 358   :  3ab ; 
+ 359   :  3a5 ; 
+ 35a   :  3a0 ; 
+ 35b   :  39b ; 
+ 35c   :  396 ; 
+ 35d   :  390 ; 
+ 35e   :  38b ; 
+ 35f   :  386 ; 
+ 360   :  380 ; 
+ 361   :  37b ; 
+ 362   :  376 ; 
+ 363   :  371 ; 
+ 364   :  36b ; 
+ 365   :  366 ; 
+ 366   :  361 ; 
+ 367   :  35c ; 
+ 368   :  356 ; 
+ 369   :  351 ; 
+ 36a   :  34c ; 
+ 36b   :  347 ; 
+ 36c   :  341 ; 
+ 36d   :  33c ; 
+ 36e   :  337 ; 
+ 36f   :  332 ; 
+ 370   :  32c ; 
+ 371   :  327 ; 
+ 372   :  322 ; 
+ 373   :  31d ; 
+ 374   :  317 ; 
+ 375   :  312 ; 
+ 376   :  30d ; 
+ 377   :  308 ; 
+ 378   :  303 ; 
+ 379   :  2fd ; 
+ 37a   :  2f8 ; 
+ 37b   :  2f3 ; 
+ 37c   :  2ee ; 
+ 37d   :  2e8 ; 
+ 37e   :  2e3 ; 
+ 37f   :  2de ; 
+ 380   :  2d9 ; 
+ 381   :  2d4 ; 
+ 382   :  2ce ; 
+ 383   :  2c9 ; 
+ 384   :  2c4 ; 
+ 385   :  2bf ; 
+ 386   :  2ba ; 
+ 387   :  2b5 ; 
+ 388   :  2af ; 
+ 389   :  2aa ; 
+ 38a   :  2a5 ; 
+ 38b   :  2a0 ; 
+ 38c   :  29b ; 
+ 38d   :  296 ; 
+ 38e   :  290 ; 
+ 38f   :  28b ; 
+ 390   :  286 ; 
+ 391   :  281 ; 
+ 392   :  27c ; 
+ 393   :  277 ; 
+ 394   :  271 ; 
+ 395   :  26c ; 
+ 396   :  267 ; 
+ 397   :  262 ; 
+ 398   :  25d ; 
+ 399   :  258 ; 
+ 39a   :  253 ; 
+ 39b   :  24e ; 
+ 39c   :  249 ; 
+ 39d   :  243 ; 
+ 39e   :  23e ; 
+ 39f   :  239 ; 
+ 3a0   :  234 ; 
+ 3a1   :  22f ; 
+ 3a2   :  22a ; 
+ 3a3   :  225 ; 
+ 3a4   :  220 ; 
+ 3a5   :  21b ; 
+ 3a6   :  216 ; 
+ 3a7   :  211 ; 
+ 3a8   :  20c ; 
+ 3a9   :  207 ; 
+ 3aa   :  201 ; 
+ 3ab   :  1fc ; 
+ 3ac   :  1f7 ; 
+ 3ad   :  1f2 ; 
+ 3ae   :  1ed ; 
+ 3af   :  1e8 ; 
+ 3b0   :  1e3 ; 
+ 3b1   :  1de ; 
+ 3b2   :  1d9 ; 
+ 3b3   :  1d4 ; 
+ 3b4   :  1cf ; 
+ 3b5   :  1ca ; 
+ 3b6   :  1c5 ; 
+ 3b7   :  1c0 ; 
+ 3b8   :  1bb ; 
+ 3b9   :  1b6 ; 
+ 3ba   :  1b1 ; 
+ 3bb   :  1ac ; 
+ 3bc   :  1a8 ; 
+ 3bd   :  1a3 ; 
+ 3be   :  19e ; 
+ 3bf   :  199 ; 
+ 3c0   :  194 ; 
+ 3c1   :  18f ; 
+ 3c2   :  18a ; 
+ 3c3   :  185 ; 
+ 3c4   :  180 ; 
+ 3c5   :  17b ; 
+ 3c6   :  176 ; 
+ 3c7   :  171 ; 
+ 3c8   :  16d ; 
+ 3c9   :  168 ; 
+ 3ca   :  163 ; 
+ 3cb   :  15e ; 
+ 3cc   :  159 ; 
+ 3cd   :  154 ; 
+ 3ce   :  14f ; 
+ 3cf   :  14a ; 
+ 3d0   :  146 ; 
+ 3d1   :  141 ; 
+ 3d2   :  13c ; 
+ 3d3   :  137 ; 
+ 3d4   :  132 ; 
+ 3d5   :  12e ; 
+ 3d6   :  129 ; 
+ 3d7   :  124 ; 
+ 3d8   :  11f ; 
+ 3d9   :  11a ; 
+ 3da   :  116 ; 
+ 3db   :  111 ; 
+ 3dc   :  10c ; 
+ 3dd   :  107 ; 
+ 3de   :  103 ; 
+ 3df   :  fe ; 
+ 3e0   :  f9 ; 
+ 3e1   :  f4 ; 
+ 3e2   :  f0 ; 
+ 3e3   :  eb ; 
+ 3e4   :  e6 ; 
+ 3e5   :  e2 ; 
+ 3e6   :  dd ; 
+ 3e7   :  d8 ; 
+ 3e8   :  d3 ; 
+ 3e9   :  cf ; 
+ 3ea   :  ca ; 
+ 3eb   :  c5 ; 
+ 3ec   :  c1 ; 
+ 3ed   :  bc ; 
+ 3ee   :  b8 ; 
+ 3ef   :  b3 ; 
+ 3f0   :  ae ; 
+ 3f1   :  aa ; 
+ 3f2   :  a5 ; 
+ 3f3   :  a0 ; 
+ 3f4   :  9c ; 
+ 3f5   :  97 ; 
+ 3f6   :  93 ; 
+ 3f7   :  8e ; 
+ 3f8   :  8a ; 
+ 3f9   :  85 ; 
+ 3fa   :  80 ; 
+ 3fb   :  7c ; 
+ 3fc   :  77 ; 
+ 3fd   :  73 ; 
+ 3fe   :  6e ; 
+ 3ff   :  6a ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_4.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_4.mif
new file mode 100644
index 0000000000000000000000000000000000000000..48e506d4ddc7e2ad6c8f835a01ba0c031b7202fc
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_4.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3fdb9 ; 
+ 1   :  3fda2 ; 
+ 2   :  3fd8b ; 
+ 3   :  3fd74 ; 
+ 4   :  3fd5d ; 
+ 5   :  3fd46 ; 
+ 6   :  3fd2f ; 
+ 7   :  3fd18 ; 
+ 8   :  3fd01 ; 
+ 9   :  3fcea ; 
+ a   :  3fcd3 ; 
+ b   :  3fcbd ; 
+ c   :  3fca6 ; 
+ d   :  3fc8f ; 
+ e   :  3fc78 ; 
+ f   :  3fc62 ; 
+ 10   :  3fc4b ; 
+ 11   :  3fc35 ; 
+ 12   :  3fc1e ; 
+ 13   :  3fc08 ; 
+ 14   :  3fbf1 ; 
+ 15   :  3fbdb ; 
+ 16   :  3fbc5 ; 
+ 17   :  3fbae ; 
+ 18   :  3fb98 ; 
+ 19   :  3fb82 ; 
+ 1a   :  3fb6c ; 
+ 1b   :  3fb56 ; 
+ 1c   :  3fb40 ; 
+ 1d   :  3fb2a ; 
+ 1e   :  3fb14 ; 
+ 1f   :  3fafe ; 
+ 20   :  3fae8 ; 
+ 21   :  3fad2 ; 
+ 22   :  3fabc ; 
+ 23   :  3faa6 ; 
+ 24   :  3fa91 ; 
+ 25   :  3fa7b ; 
+ 26   :  3fa65 ; 
+ 27   :  3fa50 ; 
+ 28   :  3fa3a ; 
+ 29   :  3fa25 ; 
+ 2a   :  3fa0f ; 
+ 2b   :  3f9fa ; 
+ 2c   :  3f9e4 ; 
+ 2d   :  3f9cf ; 
+ 2e   :  3f9ba ; 
+ 2f   :  3f9a5 ; 
+ 30   :  3f990 ; 
+ 31   :  3f97a ; 
+ 32   :  3f965 ; 
+ 33   :  3f950 ; 
+ 34   :  3f93b ; 
+ 35   :  3f926 ; 
+ 36   :  3f912 ; 
+ 37   :  3f8fd ; 
+ 38   :  3f8e8 ; 
+ 39   :  3f8d3 ; 
+ 3a   :  3f8be ; 
+ 3b   :  3f8aa ; 
+ 3c   :  3f895 ; 
+ 3d   :  3f881 ; 
+ 3e   :  3f86c ; 
+ 3f   :  3f858 ; 
+ 40   :  3f843 ; 
+ 41   :  3f82f ; 
+ 42   :  3f81b ; 
+ 43   :  3f806 ; 
+ 44   :  3f7f2 ; 
+ 45   :  3f7de ; 
+ 46   :  3f7ca ; 
+ 47   :  3f7b6 ; 
+ 48   :  3f7a2 ; 
+ 49   :  3f78e ; 
+ 4a   :  3f77a ; 
+ 4b   :  3f766 ; 
+ 4c   :  3f752 ; 
+ 4d   :  3f73e ; 
+ 4e   :  3f72b ; 
+ 4f   :  3f717 ; 
+ 50   :  3f703 ; 
+ 51   :  3f6f0 ; 
+ 52   :  3f6dc ; 
+ 53   :  3f6c9 ; 
+ 54   :  3f6b6 ; 
+ 55   :  3f6a2 ; 
+ 56   :  3f68f ; 
+ 57   :  3f67c ; 
+ 58   :  3f668 ; 
+ 59   :  3f655 ; 
+ 5a   :  3f642 ; 
+ 5b   :  3f62f ; 
+ 5c   :  3f61c ; 
+ 5d   :  3f609 ; 
+ 5e   :  3f5f6 ; 
+ 5f   :  3f5e3 ; 
+ 60   :  3f5d1 ; 
+ 61   :  3f5be ; 
+ 62   :  3f5ab ; 
+ 63   :  3f599 ; 
+ 64   :  3f586 ; 
+ 65   :  3f574 ; 
+ 66   :  3f561 ; 
+ 67   :  3f54f ; 
+ 68   :  3f53c ; 
+ 69   :  3f52a ; 
+ 6a   :  3f518 ; 
+ 6b   :  3f506 ; 
+ 6c   :  3f4f3 ; 
+ 6d   :  3f4e1 ; 
+ 6e   :  3f4cf ; 
+ 6f   :  3f4bd ; 
+ 70   :  3f4ab ; 
+ 71   :  3f49a ; 
+ 72   :  3f488 ; 
+ 73   :  3f476 ; 
+ 74   :  3f464 ; 
+ 75   :  3f453 ; 
+ 76   :  3f441 ; 
+ 77   :  3f42f ; 
+ 78   :  3f41e ; 
+ 79   :  3f40d ; 
+ 7a   :  3f3fb ; 
+ 7b   :  3f3ea ; 
+ 7c   :  3f3d9 ; 
+ 7d   :  3f3c7 ; 
+ 7e   :  3f3b6 ; 
+ 7f   :  3f3a5 ; 
+ 80   :  3f394 ; 
+ 81   :  3f383 ; 
+ 82   :  3f372 ; 
+ 83   :  3f361 ; 
+ 84   :  3f351 ; 
+ 85   :  3f340 ; 
+ 86   :  3f32f ; 
+ 87   :  3f31e ; 
+ 88   :  3f30e ; 
+ 89   :  3f2fd ; 
+ 8a   :  3f2ed ; 
+ 8b   :  3f2dc ; 
+ 8c   :  3f2cc ; 
+ 8d   :  3f2bc ; 
+ 8e   :  3f2ab ; 
+ 8f   :  3f29b ; 
+ 90   :  3f28b ; 
+ 91   :  3f27b ; 
+ 92   :  3f26b ; 
+ 93   :  3f25b ; 
+ 94   :  3f24b ; 
+ 95   :  3f23b ; 
+ 96   :  3f22c ; 
+ 97   :  3f21c ; 
+ 98   :  3f20c ; 
+ 99   :  3f1fc ; 
+ 9a   :  3f1ed ; 
+ 9b   :  3f1dd ; 
+ 9c   :  3f1ce ; 
+ 9d   :  3f1bf ; 
+ 9e   :  3f1af ; 
+ 9f   :  3f1a0 ; 
+ a0   :  3f191 ; 
+ a1   :  3f182 ; 
+ a2   :  3f173 ; 
+ a3   :  3f164 ; 
+ a4   :  3f155 ; 
+ a5   :  3f146 ; 
+ a6   :  3f137 ; 
+ a7   :  3f128 ; 
+ a8   :  3f119 ; 
+ a9   :  3f10b ; 
+ aa   :  3f0fc ; 
+ ab   :  3f0ed ; 
+ ac   :  3f0df ; 
+ ad   :  3f0d0 ; 
+ ae   :  3f0c2 ; 
+ af   :  3f0b4 ; 
+ b0   :  3f0a5 ; 
+ b1   :  3f097 ; 
+ b2   :  3f089 ; 
+ b3   :  3f07b ; 
+ b4   :  3f06d ; 
+ b5   :  3f05f ; 
+ b6   :  3f051 ; 
+ b7   :  3f043 ; 
+ b8   :  3f036 ; 
+ b9   :  3f028 ; 
+ ba   :  3f01a ; 
+ bb   :  3f00d ; 
+ bc   :  3efff ; 
+ bd   :  3eff1 ; 
+ be   :  3efe4 ; 
+ bf   :  3efd7 ; 
+ c0   :  3efc9 ; 
+ c1   :  3efbc ; 
+ c2   :  3efaf ; 
+ c3   :  3efa2 ; 
+ c4   :  3ef95 ; 
+ c5   :  3ef88 ; 
+ c6   :  3ef7b ; 
+ c7   :  3ef6e ; 
+ c8   :  3ef61 ; 
+ c9   :  3ef54 ; 
+ ca   :  3ef48 ; 
+ cb   :  3ef3b ; 
+ cc   :  3ef2e ; 
+ cd   :  3ef22 ; 
+ ce   :  3ef15 ; 
+ cf   :  3ef09 ; 
+ d0   :  3eefd ; 
+ d1   :  3eef0 ; 
+ d2   :  3eee4 ; 
+ d3   :  3eed8 ; 
+ d4   :  3eecc ; 
+ d5   :  3eec0 ; 
+ d6   :  3eeb4 ; 
+ d7   :  3eea8 ; 
+ d8   :  3ee9c ; 
+ d9   :  3ee90 ; 
+ da   :  3ee85 ; 
+ db   :  3ee79 ; 
+ dc   :  3ee6d ; 
+ dd   :  3ee62 ; 
+ de   :  3ee56 ; 
+ df   :  3ee4b ; 
+ e0   :  3ee40 ; 
+ e1   :  3ee34 ; 
+ e2   :  3ee29 ; 
+ e3   :  3ee1e ; 
+ e4   :  3ee13 ; 
+ e5   :  3ee08 ; 
+ e6   :  3edfd ; 
+ e7   :  3edf2 ; 
+ e8   :  3ede7 ; 
+ e9   :  3eddc ; 
+ ea   :  3edd2 ; 
+ eb   :  3edc7 ; 
+ ec   :  3edbc ; 
+ ed   :  3edb2 ; 
+ ee   :  3eda7 ; 
+ ef   :  3ed9d ; 
+ f0   :  3ed92 ; 
+ f1   :  3ed88 ; 
+ f2   :  3ed7e ; 
+ f3   :  3ed74 ; 
+ f4   :  3ed6a ; 
+ f5   :  3ed5f ; 
+ f6   :  3ed55 ; 
+ f7   :  3ed4c ; 
+ f8   :  3ed42 ; 
+ f9   :  3ed38 ; 
+ fa   :  3ed2e ; 
+ fb   :  3ed24 ; 
+ fc   :  3ed1b ; 
+ fd   :  3ed11 ; 
+ fe   :  3ed08 ; 
+ ff   :  3ecfe ; 
+ 100   :  3ecf5 ; 
+ 101   :  3ecec ; 
+ 102   :  3ece2 ; 
+ 103   :  3ecd9 ; 
+ 104   :  3ecd0 ; 
+ 105   :  3ecc7 ; 
+ 106   :  3ecbe ; 
+ 107   :  3ecb5 ; 
+ 108   :  3ecac ; 
+ 109   :  3eca3 ; 
+ 10a   :  3ec9b ; 
+ 10b   :  3ec92 ; 
+ 10c   :  3ec89 ; 
+ 10d   :  3ec81 ; 
+ 10e   :  3ec78 ; 
+ 10f   :  3ec70 ; 
+ 110   :  3ec67 ; 
+ 111   :  3ec5f ; 
+ 112   :  3ec57 ; 
+ 113   :  3ec4e ; 
+ 114   :  3ec46 ; 
+ 115   :  3ec3e ; 
+ 116   :  3ec36 ; 
+ 117   :  3ec2e ; 
+ 118   :  3ec26 ; 
+ 119   :  3ec1f ; 
+ 11a   :  3ec17 ; 
+ 11b   :  3ec0f ; 
+ 11c   :  3ec07 ; 
+ 11d   :  3ec00 ; 
+ 11e   :  3ebf8 ; 
+ 11f   :  3ebf1 ; 
+ 120   :  3ebe9 ; 
+ 121   :  3ebe2 ; 
+ 122   :  3ebdb ; 
+ 123   :  3ebd4 ; 
+ 124   :  3ebcc ; 
+ 125   :  3ebc5 ; 
+ 126   :  3ebbe ; 
+ 127   :  3ebb7 ; 
+ 128   :  3ebb1 ; 
+ 129   :  3ebaa ; 
+ 12a   :  3eba3 ; 
+ 12b   :  3eb9c ; 
+ 12c   :  3eb96 ; 
+ 12d   :  3eb8f ; 
+ 12e   :  3eb88 ; 
+ 12f   :  3eb82 ; 
+ 130   :  3eb7b ; 
+ 131   :  3eb75 ; 
+ 132   :  3eb6f ; 
+ 133   :  3eb69 ; 
+ 134   :  3eb62 ; 
+ 135   :  3eb5c ; 
+ 136   :  3eb56 ; 
+ 137   :  3eb50 ; 
+ 138   :  3eb4a ; 
+ 139   :  3eb45 ; 
+ 13a   :  3eb3f ; 
+ 13b   :  3eb39 ; 
+ 13c   :  3eb33 ; 
+ 13d   :  3eb2e ; 
+ 13e   :  3eb28 ; 
+ 13f   :  3eb23 ; 
+ 140   :  3eb1d ; 
+ 141   :  3eb18 ; 
+ 142   :  3eb13 ; 
+ 143   :  3eb0d ; 
+ 144   :  3eb08 ; 
+ 145   :  3eb03 ; 
+ 146   :  3eafe ; 
+ 147   :  3eaf9 ; 
+ 148   :  3eaf4 ; 
+ 149   :  3eaef ; 
+ 14a   :  3eaea ; 
+ 14b   :  3eae5 ; 
+ 14c   :  3eae1 ; 
+ 14d   :  3eadc ; 
+ 14e   :  3ead8 ; 
+ 14f   :  3ead3 ; 
+ 150   :  3eacf ; 
+ 151   :  3eaca ; 
+ 152   :  3eac6 ; 
+ 153   :  3eac1 ; 
+ 154   :  3eabd ; 
+ 155   :  3eab9 ; 
+ 156   :  3eab5 ; 
+ 157   :  3eab1 ; 
+ 158   :  3eaad ; 
+ 159   :  3eaa9 ; 
+ 15a   :  3eaa5 ; 
+ 15b   :  3eaa1 ; 
+ 15c   :  3ea9e ; 
+ 15d   :  3ea9a ; 
+ 15e   :  3ea96 ; 
+ 15f   :  3ea93 ; 
+ 160   :  3ea8f ; 
+ 161   :  3ea8c ; 
+ 162   :  3ea88 ; 
+ 163   :  3ea85 ; 
+ 164   :  3ea82 ; 
+ 165   :  3ea7f ; 
+ 166   :  3ea7b ; 
+ 167   :  3ea78 ; 
+ 168   :  3ea75 ; 
+ 169   :  3ea72 ; 
+ 16a   :  3ea6f ; 
+ 16b   :  3ea6d ; 
+ 16c   :  3ea6a ; 
+ 16d   :  3ea67 ; 
+ 16e   :  3ea64 ; 
+ 16f   :  3ea62 ; 
+ 170   :  3ea5f ; 
+ 171   :  3ea5d ; 
+ 172   :  3ea5a ; 
+ 173   :  3ea58 ; 
+ 174   :  3ea56 ; 
+ 175   :  3ea53 ; 
+ 176   :  3ea51 ; 
+ 177   :  3ea4f ; 
+ 178   :  3ea4d ; 
+ 179   :  3ea4b ; 
+ 17a   :  3ea49 ; 
+ 17b   :  3ea47 ; 
+ 17c   :  3ea45 ; 
+ 17d   :  3ea43 ; 
+ 17e   :  3ea42 ; 
+ 17f   :  3ea40 ; 
+ 180   :  3ea3e ; 
+ 181   :  3ea3d ; 
+ 182   :  3ea3b ; 
+ 183   :  3ea3a ; 
+ 184   :  3ea38 ; 
+ 185   :  3ea37 ; 
+ 186   :  3ea36 ; 
+ 187   :  3ea34 ; 
+ 188   :  3ea33 ; 
+ 189   :  3ea32 ; 
+ 18a   :  3ea31 ; 
+ 18b   :  3ea30 ; 
+ 18c   :  3ea2f ; 
+ 18d   :  3ea2e ; 
+ 18e   :  3ea2d ; 
+ 18f   :  3ea2d ; 
+ 190   :  3ea2c ; 
+ 191   :  3ea2b ; 
+ 192   :  3ea2b ; 
+ 193   :  3ea2a ; 
+ 194   :  3ea2a ; 
+ 195   :  3ea29 ; 
+ 196   :  3ea29 ; 
+ 197   :  3ea28 ; 
+ 198   :  3ea28 ; 
+ 199   :  3ea28 ; 
+ 19a   :  3ea28 ; 
+ 19b   :  3ea28 ; 
+ 19c   :  3ea28 ; 
+ 19d   :  3ea28 ; 
+ 19e   :  3ea28 ; 
+ 19f   :  3ea28 ; 
+ 1a0   :  3ea28 ; 
+ 1a1   :  3ea28 ; 
+ 1a2   :  3ea29 ; 
+ 1a3   :  3ea29 ; 
+ 1a4   :  3ea29 ; 
+ 1a5   :  3ea2a ; 
+ 1a6   :  3ea2a ; 
+ 1a7   :  3ea2b ; 
+ 1a8   :  3ea2b ; 
+ 1a9   :  3ea2c ; 
+ 1aa   :  3ea2d ; 
+ 1ab   :  3ea2e ; 
+ 1ac   :  3ea2e ; 
+ 1ad   :  3ea2f ; 
+ 1ae   :  3ea30 ; 
+ 1af   :  3ea31 ; 
+ 1b0   :  3ea32 ; 
+ 1b1   :  3ea33 ; 
+ 1b2   :  3ea35 ; 
+ 1b3   :  3ea36 ; 
+ 1b4   :  3ea37 ; 
+ 1b5   :  3ea38 ; 
+ 1b6   :  3ea3a ; 
+ 1b7   :  3ea3b ; 
+ 1b8   :  3ea3d ; 
+ 1b9   :  3ea3e ; 
+ 1ba   :  3ea40 ; 
+ 1bb   :  3ea41 ; 
+ 1bc   :  3ea43 ; 
+ 1bd   :  3ea45 ; 
+ 1be   :  3ea47 ; 
+ 1bf   :  3ea48 ; 
+ 1c0   :  3ea4a ; 
+ 1c1   :  3ea4c ; 
+ 1c2   :  3ea4e ; 
+ 1c3   :  3ea50 ; 
+ 1c4   :  3ea52 ; 
+ 1c5   :  3ea55 ; 
+ 1c6   :  3ea57 ; 
+ 1c7   :  3ea59 ; 
+ 1c8   :  3ea5b ; 
+ 1c9   :  3ea5e ; 
+ 1ca   :  3ea60 ; 
+ 1cb   :  3ea63 ; 
+ 1cc   :  3ea65 ; 
+ 1cd   :  3ea68 ; 
+ 1ce   :  3ea6a ; 
+ 1cf   :  3ea6d ; 
+ 1d0   :  3ea70 ; 
+ 1d1   :  3ea73 ; 
+ 1d2   :  3ea75 ; 
+ 1d3   :  3ea78 ; 
+ 1d4   :  3ea7b ; 
+ 1d5   :  3ea7e ; 
+ 1d6   :  3ea81 ; 
+ 1d7   :  3ea84 ; 
+ 1d8   :  3ea87 ; 
+ 1d9   :  3ea8a ; 
+ 1da   :  3ea8e ; 
+ 1db   :  3ea91 ; 
+ 1dc   :  3ea94 ; 
+ 1dd   :  3ea98 ; 
+ 1de   :  3ea9b ; 
+ 1df   :  3ea9f ; 
+ 1e0   :  3eaa2 ; 
+ 1e1   :  3eaa6 ; 
+ 1e2   :  3eaa9 ; 
+ 1e3   :  3eaad ; 
+ 1e4   :  3eab1 ; 
+ 1e5   :  3eab4 ; 
+ 1e6   :  3eab8 ; 
+ 1e7   :  3eabc ; 
+ 1e8   :  3eac0 ; 
+ 1e9   :  3eac4 ; 
+ 1ea   :  3eac8 ; 
+ 1eb   :  3eacc ; 
+ 1ec   :  3ead0 ; 
+ 1ed   :  3ead4 ; 
+ 1ee   :  3ead8 ; 
+ 1ef   :  3eadd ; 
+ 1f0   :  3eae1 ; 
+ 1f1   :  3eae5 ; 
+ 1f2   :  3eaea ; 
+ 1f3   :  3eaee ; 
+ 1f4   :  3eaf3 ; 
+ 1f5   :  3eaf7 ; 
+ 1f6   :  3eafc ; 
+ 1f7   :  3eb00 ; 
+ 1f8   :  3eb05 ; 
+ 1f9   :  3eb0a ; 
+ 1fa   :  3eb0e ; 
+ 1fb   :  3eb13 ; 
+ 1fc   :  3eb18 ; 
+ 1fd   :  3eb1d ; 
+ 1fe   :  3eb22 ; 
+ 1ff   :  3eb27 ; 
+ 200   :  3eb2c ; 
+ 201   :  3eb31 ; 
+ 202   :  3eb36 ; 
+ 203   :  3eb3b ; 
+ 204   :  3eb40 ; 
+ 205   :  3eb46 ; 
+ 206   :  3eb4b ; 
+ 207   :  3eb50 ; 
+ 208   :  3eb56 ; 
+ 209   :  3eb5b ; 
+ 20a   :  3eb61 ; 
+ 20b   :  3eb66 ; 
+ 20c   :  3eb6c ; 
+ 20d   :  3eb71 ; 
+ 20e   :  3eb77 ; 
+ 20f   :  3eb7d ; 
+ 210   :  3eb82 ; 
+ 211   :  3eb88 ; 
+ 212   :  3eb8e ; 
+ 213   :  3eb94 ; 
+ 214   :  3eb9a ; 
+ 215   :  3eba0 ; 
+ 216   :  3eba6 ; 
+ 217   :  3ebac ; 
+ 218   :  3ebb2 ; 
+ 219   :  3ebb8 ; 
+ 21a   :  3ebbe ; 
+ 21b   :  3ebc4 ; 
+ 21c   :  3ebcb ; 
+ 21d   :  3ebd1 ; 
+ 21e   :  3ebd7 ; 
+ 21f   :  3ebde ; 
+ 220   :  3ebe4 ; 
+ 221   :  3ebeb ; 
+ 222   :  3ebf1 ; 
+ 223   :  3ebf8 ; 
+ 224   :  3ebfe ; 
+ 225   :  3ec05 ; 
+ 226   :  3ec0c ; 
+ 227   :  3ec12 ; 
+ 228   :  3ec19 ; 
+ 229   :  3ec20 ; 
+ 22a   :  3ec27 ; 
+ 22b   :  3ec2d ; 
+ 22c   :  3ec34 ; 
+ 22d   :  3ec3b ; 
+ 22e   :  3ec42 ; 
+ 22f   :  3ec49 ; 
+ 230   :  3ec50 ; 
+ 231   :  3ec57 ; 
+ 232   :  3ec5f ; 
+ 233   :  3ec66 ; 
+ 234   :  3ec6d ; 
+ 235   :  3ec74 ; 
+ 236   :  3ec7c ; 
+ 237   :  3ec83 ; 
+ 238   :  3ec8a ; 
+ 239   :  3ec92 ; 
+ 23a   :  3ec99 ; 
+ 23b   :  3eca1 ; 
+ 23c   :  3eca8 ; 
+ 23d   :  3ecb0 ; 
+ 23e   :  3ecb7 ; 
+ 23f   :  3ecbf ; 
+ 240   :  3ecc7 ; 
+ 241   :  3ecce ; 
+ 242   :  3ecd6 ; 
+ 243   :  3ecde ; 
+ 244   :  3ece6 ; 
+ 245   :  3ecee ; 
+ 246   :  3ecf6 ; 
+ 247   :  3ecfd ; 
+ 248   :  3ed05 ; 
+ 249   :  3ed0d ; 
+ 24a   :  3ed16 ; 
+ 24b   :  3ed1e ; 
+ 24c   :  3ed26 ; 
+ 24d   :  3ed2e ; 
+ 24e   :  3ed36 ; 
+ 24f   :  3ed3e ; 
+ 250   :  3ed47 ; 
+ 251   :  3ed4f ; 
+ 252   :  3ed57 ; 
+ 253   :  3ed60 ; 
+ 254   :  3ed68 ; 
+ 255   :  3ed70 ; 
+ 256   :  3ed79 ; 
+ 257   :  3ed81 ; 
+ 258   :  3ed8a ; 
+ 259   :  3ed92 ; 
+ 25a   :  3ed9b ; 
+ 25b   :  3eda4 ; 
+ 25c   :  3edac ; 
+ 25d   :  3edb5 ; 
+ 25e   :  3edbe ; 
+ 25f   :  3edc7 ; 
+ 260   :  3edcf ; 
+ 261   :  3edd8 ; 
+ 262   :  3ede1 ; 
+ 263   :  3edea ; 
+ 264   :  3edf3 ; 
+ 265   :  3edfc ; 
+ 266   :  3ee05 ; 
+ 267   :  3ee0e ; 
+ 268   :  3ee17 ; 
+ 269   :  3ee20 ; 
+ 26a   :  3ee29 ; 
+ 26b   :  3ee32 ; 
+ 26c   :  3ee3c ; 
+ 26d   :  3ee45 ; 
+ 26e   :  3ee4e ; 
+ 26f   :  3ee57 ; 
+ 270   :  3ee61 ; 
+ 271   :  3ee6a ; 
+ 272   :  3ee73 ; 
+ 273   :  3ee7d ; 
+ 274   :  3ee86 ; 
+ 275   :  3ee90 ; 
+ 276   :  3ee99 ; 
+ 277   :  3eea3 ; 
+ 278   :  3eeac ; 
+ 279   :  3eeb6 ; 
+ 27a   :  3eebf ; 
+ 27b   :  3eec9 ; 
+ 27c   :  3eed3 ; 
+ 27d   :  3eedc ; 
+ 27e   :  3eee6 ; 
+ 27f   :  3eef0 ; 
+ 280   :  3eefa ; 
+ 281   :  3ef03 ; 
+ 282   :  3ef0d ; 
+ 283   :  3ef17 ; 
+ 284   :  3ef21 ; 
+ 285   :  3ef2b ; 
+ 286   :  3ef35 ; 
+ 287   :  3ef3f ; 
+ 288   :  3ef49 ; 
+ 289   :  3ef53 ; 
+ 28a   :  3ef5d ; 
+ 28b   :  3ef67 ; 
+ 28c   :  3ef71 ; 
+ 28d   :  3ef7b ; 
+ 28e   :  3ef86 ; 
+ 28f   :  3ef90 ; 
+ 290   :  3ef9a ; 
+ 291   :  3efa4 ; 
+ 292   :  3efae ; 
+ 293   :  3efb9 ; 
+ 294   :  3efc3 ; 
+ 295   :  3efcd ; 
+ 296   :  3efd8 ; 
+ 297   :  3efe2 ; 
+ 298   :  3efed ; 
+ 299   :  3eff7 ; 
+ 29a   :  3f002 ; 
+ 29b   :  3f00c ; 
+ 29c   :  3f017 ; 
+ 29d   :  3f021 ; 
+ 29e   :  3f02c ; 
+ 29f   :  3f036 ; 
+ 2a0   :  3f041 ; 
+ 2a1   :  3f04c ; 
+ 2a2   :  3f056 ; 
+ 2a3   :  3f061 ; 
+ 2a4   :  3f06c ; 
+ 2a5   :  3f076 ; 
+ 2a6   :  3f081 ; 
+ 2a7   :  3f08c ; 
+ 2a8   :  3f097 ; 
+ 2a9   :  3f0a2 ; 
+ 2aa   :  3f0ac ; 
+ 2ab   :  3f0b7 ; 
+ 2ac   :  3f0c2 ; 
+ 2ad   :  3f0cd ; 
+ 2ae   :  3f0d8 ; 
+ 2af   :  3f0e3 ; 
+ 2b0   :  3f0ee ; 
+ 2b1   :  3f0f9 ; 
+ 2b2   :  3f104 ; 
+ 2b3   :  3f10f ; 
+ 2b4   :  3f11a ; 
+ 2b5   :  3f125 ; 
+ 2b6   :  3f130 ; 
+ 2b7   :  3f13c ; 
+ 2b8   :  3f147 ; 
+ 2b9   :  3f152 ; 
+ 2ba   :  3f15d ; 
+ 2bb   :  3f168 ; 
+ 2bc   :  3f174 ; 
+ 2bd   :  3f17f ; 
+ 2be   :  3f18a ; 
+ 2bf   :  3f195 ; 
+ 2c0   :  3f1a1 ; 
+ 2c1   :  3f1ac ; 
+ 2c2   :  3f1b7 ; 
+ 2c3   :  3f1c3 ; 
+ 2c4   :  3f1ce ; 
+ 2c5   :  3f1da ; 
+ 2c6   :  3f1e5 ; 
+ 2c7   :  3f1f0 ; 
+ 2c8   :  3f1fc ; 
+ 2c9   :  3f207 ; 
+ 2ca   :  3f213 ; 
+ 2cb   :  3f21e ; 
+ 2cc   :  3f22a ; 
+ 2cd   :  3f235 ; 
+ 2ce   :  3f241 ; 
+ 2cf   :  3f24d ; 
+ 2d0   :  3f258 ; 
+ 2d1   :  3f264 ; 
+ 2d2   :  3f26f ; 
+ 2d3   :  3f27b ; 
+ 2d4   :  3f287 ; 
+ 2d5   :  3f292 ; 
+ 2d6   :  3f29e ; 
+ 2d7   :  3f2aa ; 
+ 2d8   :  3f2b6 ; 
+ 2d9   :  3f2c1 ; 
+ 2da   :  3f2cd ; 
+ 2db   :  3f2d9 ; 
+ 2dc   :  3f2e5 ; 
+ 2dd   :  3f2f1 ; 
+ 2de   :  3f2fc ; 
+ 2df   :  3f308 ; 
+ 2e0   :  3f314 ; 
+ 2e1   :  3f320 ; 
+ 2e2   :  3f32c ; 
+ 2e3   :  3f338 ; 
+ 2e4   :  3f344 ; 
+ 2e5   :  3f350 ; 
+ 2e6   :  3f35c ; 
+ 2e7   :  3f367 ; 
+ 2e8   :  3f373 ; 
+ 2e9   :  3f37f ; 
+ 2ea   :  3f38b ; 
+ 2eb   :  3f397 ; 
+ 2ec   :  3f3a3 ; 
+ 2ed   :  3f3af ; 
+ 2ee   :  3f3bc ; 
+ 2ef   :  3f3c8 ; 
+ 2f0   :  3f3d4 ; 
+ 2f1   :  3f3e0 ; 
+ 2f2   :  3f3ec ; 
+ 2f3   :  3f3f8 ; 
+ 2f4   :  3f404 ; 
+ 2f5   :  3f410 ; 
+ 2f6   :  3f41c ; 
+ 2f7   :  3f429 ; 
+ 2f8   :  3f435 ; 
+ 2f9   :  3f441 ; 
+ 2fa   :  3f44d ; 
+ 2fb   :  3f459 ; 
+ 2fc   :  3f465 ; 
+ 2fd   :  3f472 ; 
+ 2fe   :  3f47e ; 
+ 2ff   :  3f48a ; 
+ 300   :  3f496 ; 
+ 301   :  3f4a3 ; 
+ 302   :  3f4af ; 
+ 303   :  3f4bb ; 
+ 304   :  3f4c8 ; 
+ 305   :  3f4d4 ; 
+ 306   :  3f4e0 ; 
+ 307   :  3f4ed ; 
+ 308   :  3f4f9 ; 
+ 309   :  3f505 ; 
+ 30a   :  3f512 ; 
+ 30b   :  3f51e ; 
+ 30c   :  3f52a ; 
+ 30d   :  3f537 ; 
+ 30e   :  3f543 ; 
+ 30f   :  3f54f ; 
+ 310   :  3f55c ; 
+ 311   :  3f568 ; 
+ 312   :  3f575 ; 
+ 313   :  3f581 ; 
+ 314   :  3f58e ; 
+ 315   :  3f59a ; 
+ 316   :  3f5a6 ; 
+ 317   :  3f5b3 ; 
+ 318   :  3f5bf ; 
+ 319   :  3f5cc ; 
+ 31a   :  3f5d8 ; 
+ 31b   :  3f5e5 ; 
+ 31c   :  3f5f1 ; 
+ 31d   :  3f5fe ; 
+ 31e   :  3f60a ; 
+ 31f   :  3f617 ; 
+ 320   :  3f623 ; 
+ 321   :  3f630 ; 
+ 322   :  3f63c ; 
+ 323   :  3f649 ; 
+ 324   :  3f655 ; 
+ 325   :  3f662 ; 
+ 326   :  3f66e ; 
+ 327   :  3f67b ; 
+ 328   :  3f688 ; 
+ 329   :  3f694 ; 
+ 32a   :  3f6a1 ; 
+ 32b   :  3f6ad ; 
+ 32c   :  3f6ba ; 
+ 32d   :  3f6c6 ; 
+ 32e   :  3f6d3 ; 
+ 32f   :  3f6e0 ; 
+ 330   :  3f6ec ; 
+ 331   :  3f6f9 ; 
+ 332   :  3f705 ; 
+ 333   :  3f712 ; 
+ 334   :  3f71f ; 
+ 335   :  3f72b ; 
+ 336   :  3f738 ; 
+ 337   :  3f744 ; 
+ 338   :  3f751 ; 
+ 339   :  3f75e ; 
+ 33a   :  3f76a ; 
+ 33b   :  3f777 ; 
+ 33c   :  3f783 ; 
+ 33d   :  3f790 ; 
+ 33e   :  3f79d ; 
+ 33f   :  3f7a9 ; 
+ 340   :  3f7b6 ; 
+ 341   :  3f7c3 ; 
+ 342   :  3f7cf ; 
+ 343   :  3f7dc ; 
+ 344   :  3f7e8 ; 
+ 345   :  3f7f5 ; 
+ 346   :  3f802 ; 
+ 347   :  3f80e ; 
+ 348   :  3f81b ; 
+ 349   :  3f828 ; 
+ 34a   :  3f834 ; 
+ 34b   :  3f841 ; 
+ 34c   :  3f84e ; 
+ 34d   :  3f85a ; 
+ 34e   :  3f867 ; 
+ 34f   :  3f873 ; 
+ 350   :  3f880 ; 
+ 351   :  3f88d ; 
+ 352   :  3f899 ; 
+ 353   :  3f8a6 ; 
+ 354   :  3f8b3 ; 
+ 355   :  3f8bf ; 
+ 356   :  3f8cc ; 
+ 357   :  3f8d9 ; 
+ 358   :  3f8e5 ; 
+ 359   :  3f8f2 ; 
+ 35a   :  3f8fe ; 
+ 35b   :  3f90b ; 
+ 35c   :  3f918 ; 
+ 35d   :  3f924 ; 
+ 35e   :  3f931 ; 
+ 35f   :  3f93e ; 
+ 360   :  3f94a ; 
+ 361   :  3f957 ; 
+ 362   :  3f963 ; 
+ 363   :  3f970 ; 
+ 364   :  3f97d ; 
+ 365   :  3f989 ; 
+ 366   :  3f996 ; 
+ 367   :  3f9a2 ; 
+ 368   :  3f9af ; 
+ 369   :  3f9bc ; 
+ 36a   :  3f9c8 ; 
+ 36b   :  3f9d5 ; 
+ 36c   :  3f9e1 ; 
+ 36d   :  3f9ee ; 
+ 36e   :  3f9fa ; 
+ 36f   :  3fa07 ; 
+ 370   :  3fa14 ; 
+ 371   :  3fa20 ; 
+ 372   :  3fa2d ; 
+ 373   :  3fa39 ; 
+ 374   :  3fa46 ; 
+ 375   :  3fa52 ; 
+ 376   :  3fa5f ; 
+ 377   :  3fa6b ; 
+ 378   :  3fa78 ; 
+ 379   :  3fa84 ; 
+ 37a   :  3fa91 ; 
+ 37b   :  3fa9d ; 
+ 37c   :  3faaa ; 
+ 37d   :  3fab6 ; 
+ 37e   :  3fac3 ; 
+ 37f   :  3facf ; 
+ 380   :  3fadc ; 
+ 381   :  3fae8 ; 
+ 382   :  3faf5 ; 
+ 383   :  3fb01 ; 
+ 384   :  3fb0e ; 
+ 385   :  3fb1a ; 
+ 386   :  3fb27 ; 
+ 387   :  3fb33 ; 
+ 388   :  3fb3f ; 
+ 389   :  3fb4c ; 
+ 38a   :  3fb58 ; 
+ 38b   :  3fb65 ; 
+ 38c   :  3fb71 ; 
+ 38d   :  3fb7d ; 
+ 38e   :  3fb8a ; 
+ 38f   :  3fb96 ; 
+ 390   :  3fba3 ; 
+ 391   :  3fbaf ; 
+ 392   :  3fbbb ; 
+ 393   :  3fbc8 ; 
+ 394   :  3fbd4 ; 
+ 395   :  3fbe0 ; 
+ 396   :  3fbec ; 
+ 397   :  3fbf9 ; 
+ 398   :  3fc05 ; 
+ 399   :  3fc11 ; 
+ 39a   :  3fc1e ; 
+ 39b   :  3fc2a ; 
+ 39c   :  3fc36 ; 
+ 39d   :  3fc42 ; 
+ 39e   :  3fc4f ; 
+ 39f   :  3fc5b ; 
+ 3a0   :  3fc67 ; 
+ 3a1   :  3fc73 ; 
+ 3a2   :  3fc7f ; 
+ 3a3   :  3fc8c ; 
+ 3a4   :  3fc98 ; 
+ 3a5   :  3fca4 ; 
+ 3a6   :  3fcb0 ; 
+ 3a7   :  3fcbc ; 
+ 3a8   :  3fcc8 ; 
+ 3a9   :  3fcd5 ; 
+ 3aa   :  3fce1 ; 
+ 3ab   :  3fced ; 
+ 3ac   :  3fcf9 ; 
+ 3ad   :  3fd05 ; 
+ 3ae   :  3fd11 ; 
+ 3af   :  3fd1d ; 
+ 3b0   :  3fd29 ; 
+ 3b1   :  3fd35 ; 
+ 3b2   :  3fd41 ; 
+ 3b3   :  3fd4d ; 
+ 3b4   :  3fd59 ; 
+ 3b5   :  3fd65 ; 
+ 3b6   :  3fd71 ; 
+ 3b7   :  3fd7d ; 
+ 3b8   :  3fd89 ; 
+ 3b9   :  3fd95 ; 
+ 3ba   :  3fda1 ; 
+ 3bb   :  3fdad ; 
+ 3bc   :  3fdb9 ; 
+ 3bd   :  3fdc5 ; 
+ 3be   :  3fdd0 ; 
+ 3bf   :  3fddc ; 
+ 3c0   :  3fde8 ; 
+ 3c1   :  3fdf4 ; 
+ 3c2   :  3fe00 ; 
+ 3c3   :  3fe0c ; 
+ 3c4   :  3fe17 ; 
+ 3c5   :  3fe23 ; 
+ 3c6   :  3fe2f ; 
+ 3c7   :  3fe3b ; 
+ 3c8   :  3fe46 ; 
+ 3c9   :  3fe52 ; 
+ 3ca   :  3fe5e ; 
+ 3cb   :  3fe6a ; 
+ 3cc   :  3fe75 ; 
+ 3cd   :  3fe81 ; 
+ 3ce   :  3fe8d ; 
+ 3cf   :  3fe98 ; 
+ 3d0   :  3fea4 ; 
+ 3d1   :  3feaf ; 
+ 3d2   :  3febb ; 
+ 3d3   :  3fec7 ; 
+ 3d4   :  3fed2 ; 
+ 3d5   :  3fede ; 
+ 3d6   :  3fee9 ; 
+ 3d7   :  3fef5 ; 
+ 3d8   :  3ff00 ; 
+ 3d9   :  3ff0c ; 
+ 3da   :  3ff17 ; 
+ 3db   :  3ff23 ; 
+ 3dc   :  3ff2e ; 
+ 3dd   :  3ff3a ; 
+ 3de   :  3ff45 ; 
+ 3df   :  3ff50 ; 
+ 3e0   :  3ff5c ; 
+ 3e1   :  3ff67 ; 
+ 3e2   :  3ff72 ; 
+ 3e3   :  3ff7e ; 
+ 3e4   :  3ff89 ; 
+ 3e5   :  3ff94 ; 
+ 3e6   :  3ffa0 ; 
+ 3e7   :  3ffab ; 
+ 3e8   :  3ffb6 ; 
+ 3e9   :  3ffc1 ; 
+ 3ea   :  3ffcd ; 
+ 3eb   :  3ffd8 ; 
+ 3ec   :  3ffe3 ; 
+ 3ed   :  3ffee ; 
+ 3ee   :  3fff9 ; 
+ 3ef   :  4 ; 
+ 3f0   :  f ; 
+ 3f1   :  1b ; 
+ 3f2   :  26 ; 
+ 3f3   :  31 ; 
+ 3f4   :  3c ; 
+ 3f5   :  47 ; 
+ 3f6   :  52 ; 
+ 3f7   :  5d ; 
+ 3f8   :  68 ; 
+ 3f9   :  73 ; 
+ 3fa   :  7e ; 
+ 3fb   :  88 ; 
+ 3fc   :  93 ; 
+ 3fd   :  9e ; 
+ 3fe   :  a9 ; 
+ 3ff   :  b4 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_5.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_5.mif
new file mode 100644
index 0000000000000000000000000000000000000000..ac5320b77b7b39dcdae634e420889cd7bf765254
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_5.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3c0 ; 
+ 1   :  3f1 ; 
+ 2   :  421 ; 
+ 3   :  452 ; 
+ 4   :  482 ; 
+ 5   :  4b2 ; 
+ 6   :  4e2 ; 
+ 7   :  512 ; 
+ 8   :  542 ; 
+ 9   :  572 ; 
+ a   :  5a2 ; 
+ b   :  5d2 ; 
+ c   :  601 ; 
+ d   :  631 ; 
+ e   :  660 ; 
+ f   :  690 ; 
+ 10   :  6bf ; 
+ 11   :  6ee ; 
+ 12   :  71d ; 
+ 13   :  74c ; 
+ 14   :  77b ; 
+ 15   :  7aa ; 
+ 16   :  7d9 ; 
+ 17   :  807 ; 
+ 18   :  836 ; 
+ 19   :  864 ; 
+ 1a   :  893 ; 
+ 1b   :  8c1 ; 
+ 1c   :  8ef ; 
+ 1d   :  91d ; 
+ 1e   :  94b ; 
+ 1f   :  979 ; 
+ 20   :  9a7 ; 
+ 21   :  9d5 ; 
+ 22   :  a03 ; 
+ 23   :  a30 ; 
+ 24   :  a5e ; 
+ 25   :  a8b ; 
+ 26   :  ab8 ; 
+ 27   :  ae5 ; 
+ 28   :  b13 ; 
+ 29   :  b40 ; 
+ 2a   :  b6c ; 
+ 2b   :  b99 ; 
+ 2c   :  bc6 ; 
+ 2d   :  bf3 ; 
+ 2e   :  c1f ; 
+ 2f   :  c4c ; 
+ 30   :  c78 ; 
+ 31   :  ca4 ; 
+ 32   :  cd0 ; 
+ 33   :  cfc ; 
+ 34   :  d28 ; 
+ 35   :  d54 ; 
+ 36   :  d80 ; 
+ 37   :  dab ; 
+ 38   :  dd7 ; 
+ 39   :  e02 ; 
+ 3a   :  e2e ; 
+ 3b   :  e59 ; 
+ 3c   :  e84 ; 
+ 3d   :  eaf ; 
+ 3e   :  eda ; 
+ 3f   :  f05 ; 
+ 40   :  f30 ; 
+ 41   :  f5a ; 
+ 42   :  f85 ; 
+ 43   :  faf ; 
+ 44   :  fda ; 
+ 45   :  1004 ; 
+ 46   :  102e ; 
+ 47   :  1058 ; 
+ 48   :  1082 ; 
+ 49   :  10ac ; 
+ 4a   :  10d6 ; 
+ 4b   :  10ff ; 
+ 4c   :  1129 ; 
+ 4d   :  1152 ; 
+ 4e   :  117b ; 
+ 4f   :  11a5 ; 
+ 50   :  11ce ; 
+ 51   :  11f7 ; 
+ 52   :  1220 ; 
+ 53   :  1248 ; 
+ 54   :  1271 ; 
+ 55   :  129a ; 
+ 56   :  12c2 ; 
+ 57   :  12ea ; 
+ 58   :  1313 ; 
+ 59   :  133b ; 
+ 5a   :  1363 ; 
+ 5b   :  138b ; 
+ 5c   :  13b2 ; 
+ 5d   :  13da ; 
+ 5e   :  1402 ; 
+ 5f   :  1429 ; 
+ 60   :  1451 ; 
+ 61   :  1478 ; 
+ 62   :  149f ; 
+ 63   :  14c6 ; 
+ 64   :  14ed ; 
+ 65   :  1514 ; 
+ 66   :  153a ; 
+ 67   :  1561 ; 
+ 68   :  1587 ; 
+ 69   :  15ae ; 
+ 6a   :  15d4 ; 
+ 6b   :  15fa ; 
+ 6c   :  1620 ; 
+ 6d   :  1646 ; 
+ 6e   :  166c ; 
+ 6f   :  1692 ; 
+ 70   :  16b7 ; 
+ 71   :  16dd ; 
+ 72   :  1702 ; 
+ 73   :  1727 ; 
+ 74   :  174d ; 
+ 75   :  1772 ; 
+ 76   :  1797 ; 
+ 77   :  17bb ; 
+ 78   :  17e0 ; 
+ 79   :  1805 ; 
+ 7a   :  1829 ; 
+ 7b   :  184d ; 
+ 7c   :  1872 ; 
+ 7d   :  1896 ; 
+ 7e   :  18ba ; 
+ 7f   :  18de ; 
+ 80   :  1901 ; 
+ 81   :  1925 ; 
+ 82   :  1948 ; 
+ 83   :  196c ; 
+ 84   :  198f ; 
+ 85   :  19b2 ; 
+ 86   :  19d5 ; 
+ 87   :  19f8 ; 
+ 88   :  1a1b ; 
+ 89   :  1a3e ; 
+ 8a   :  1a60 ; 
+ 8b   :  1a83 ; 
+ 8c   :  1aa5 ; 
+ 8d   :  1ac8 ; 
+ 8e   :  1aea ; 
+ 8f   :  1b0c ; 
+ 90   :  1b2e ; 
+ 91   :  1b4f ; 
+ 92   :  1b71 ; 
+ 93   :  1b92 ; 
+ 94   :  1bb4 ; 
+ 95   :  1bd5 ; 
+ 96   :  1bf6 ; 
+ 97   :  1c17 ; 
+ 98   :  1c38 ; 
+ 99   :  1c59 ; 
+ 9a   :  1c7a ; 
+ 9b   :  1c9a ; 
+ 9c   :  1cbb ; 
+ 9d   :  1cdb ; 
+ 9e   :  1cfb ; 
+ 9f   :  1d1b ; 
+ a0   :  1d3b ; 
+ a1   :  1d5b ; 
+ a2   :  1d7b ; 
+ a3   :  1d9b ; 
+ a4   :  1dba ; 
+ a5   :  1dd9 ; 
+ a6   :  1df9 ; 
+ a7   :  1e18 ; 
+ a8   :  1e37 ; 
+ a9   :  1e56 ; 
+ aa   :  1e74 ; 
+ ab   :  1e93 ; 
+ ac   :  1eb2 ; 
+ ad   :  1ed0 ; 
+ ae   :  1eee ; 
+ af   :  1f0c ; 
+ b0   :  1f2a ; 
+ b1   :  1f48 ; 
+ b2   :  1f66 ; 
+ b3   :  1f84 ; 
+ b4   :  1fa1 ; 
+ b5   :  1fbf ; 
+ b6   :  1fdc ; 
+ b7   :  1ff9 ; 
+ b8   :  2016 ; 
+ b9   :  2033 ; 
+ ba   :  2050 ; 
+ bb   :  206c ; 
+ bc   :  2089 ; 
+ bd   :  20a5 ; 
+ be   :  20c2 ; 
+ bf   :  20de ; 
+ c0   :  20fa ; 
+ c1   :  2116 ; 
+ c2   :  2131 ; 
+ c3   :  214d ; 
+ c4   :  2169 ; 
+ c5   :  2184 ; 
+ c6   :  219f ; 
+ c7   :  21ba ; 
+ c8   :  21d5 ; 
+ c9   :  21f0 ; 
+ ca   :  220b ; 
+ cb   :  2226 ; 
+ cc   :  2240 ; 
+ cd   :  225b ; 
+ ce   :  2275 ; 
+ cf   :  228f ; 
+ d0   :  22a9 ; 
+ d1   :  22c3 ; 
+ d2   :  22dd ; 
+ d3   :  22f6 ; 
+ d4   :  2310 ; 
+ d5   :  2329 ; 
+ d6   :  2343 ; 
+ d7   :  235c ; 
+ d8   :  2375 ; 
+ d9   :  238e ; 
+ da   :  23a6 ; 
+ db   :  23bf ; 
+ dc   :  23d8 ; 
+ dd   :  23f0 ; 
+ de   :  2408 ; 
+ df   :  2420 ; 
+ e0   :  2438 ; 
+ e1   :  2450 ; 
+ e2   :  2468 ; 
+ e3   :  247f ; 
+ e4   :  2497 ; 
+ e5   :  24ae ; 
+ e6   :  24c6 ; 
+ e7   :  24dd ; 
+ e8   :  24f4 ; 
+ e9   :  250b ; 
+ ea   :  2521 ; 
+ eb   :  2538 ; 
+ ec   :  254e ; 
+ ed   :  2565 ; 
+ ee   :  257b ; 
+ ef   :  2591 ; 
+ f0   :  25a7 ; 
+ f1   :  25bd ; 
+ f2   :  25d2 ; 
+ f3   :  25e8 ; 
+ f4   :  25fd ; 
+ f5   :  2613 ; 
+ f6   :  2628 ; 
+ f7   :  263d ; 
+ f8   :  2652 ; 
+ f9   :  2667 ; 
+ fa   :  267b ; 
+ fb   :  2690 ; 
+ fc   :  26a4 ; 
+ fd   :  26b9 ; 
+ fe   :  26cd ; 
+ ff   :  26e1 ; 
+ 100   :  26f5 ; 
+ 101   :  2709 ; 
+ 102   :  271c ; 
+ 103   :  2730 ; 
+ 104   :  2743 ; 
+ 105   :  2756 ; 
+ 106   :  276a ; 
+ 107   :  277d ; 
+ 108   :  278f ; 
+ 109   :  27a2 ; 
+ 10a   :  27b5 ; 
+ 10b   :  27c7 ; 
+ 10c   :  27da ; 
+ 10d   :  27ec ; 
+ 10e   :  27fe ; 
+ 10f   :  2810 ; 
+ 110   :  2822 ; 
+ 111   :  2834 ; 
+ 112   :  2845 ; 
+ 113   :  2857 ; 
+ 114   :  2868 ; 
+ 115   :  2879 ; 
+ 116   :  288a ; 
+ 117   :  289b ; 
+ 118   :  28ac ; 
+ 119   :  28bd ; 
+ 11a   :  28cd ; 
+ 11b   :  28de ; 
+ 11c   :  28ee ; 
+ 11d   :  28fe ; 
+ 11e   :  290e ; 
+ 11f   :  291e ; 
+ 120   :  292e ; 
+ 121   :  293e ; 
+ 122   :  294d ; 
+ 123   :  295d ; 
+ 124   :  296c ; 
+ 125   :  297b ; 
+ 126   :  298a ; 
+ 127   :  2999 ; 
+ 128   :  29a8 ; 
+ 129   :  29b7 ; 
+ 12a   :  29c5 ; 
+ 12b   :  29d4 ; 
+ 12c   :  29e2 ; 
+ 12d   :  29f0 ; 
+ 12e   :  29fe ; 
+ 12f   :  2a0c ; 
+ 130   :  2a1a ; 
+ 131   :  2a27 ; 
+ 132   :  2a35 ; 
+ 133   :  2a42 ; 
+ 134   :  2a4f ; 
+ 135   :  2a5d ; 
+ 136   :  2a6a ; 
+ 137   :  2a76 ; 
+ 138   :  2a83 ; 
+ 139   :  2a90 ; 
+ 13a   :  2a9c ; 
+ 13b   :  2aa9 ; 
+ 13c   :  2ab5 ; 
+ 13d   :  2ac1 ; 
+ 13e   :  2acd ; 
+ 13f   :  2ad9 ; 
+ 140   :  2ae5 ; 
+ 141   :  2af0 ; 
+ 142   :  2afc ; 
+ 143   :  2b07 ; 
+ 144   :  2b12 ; 
+ 145   :  2b1d ; 
+ 146   :  2b28 ; 
+ 147   :  2b33 ; 
+ 148   :  2b3e ; 
+ 149   :  2b48 ; 
+ 14a   :  2b53 ; 
+ 14b   :  2b5d ; 
+ 14c   :  2b68 ; 
+ 14d   :  2b72 ; 
+ 14e   :  2b7c ; 
+ 14f   :  2b85 ; 
+ 150   :  2b8f ; 
+ 151   :  2b99 ; 
+ 152   :  2ba2 ; 
+ 153   :  2bac ; 
+ 154   :  2bb5 ; 
+ 155   :  2bbe ; 
+ 156   :  2bc7 ; 
+ 157   :  2bd0 ; 
+ 158   :  2bd8 ; 
+ 159   :  2be1 ; 
+ 15a   :  2be9 ; 
+ 15b   :  2bf2 ; 
+ 15c   :  2bfa ; 
+ 15d   :  2c02 ; 
+ 15e   :  2c0a ; 
+ 15f   :  2c12 ; 
+ 160   :  2c1a ; 
+ 161   :  2c21 ; 
+ 162   :  2c29 ; 
+ 163   :  2c30 ; 
+ 164   :  2c37 ; 
+ 165   :  2c3e ; 
+ 166   :  2c45 ; 
+ 167   :  2c4c ; 
+ 168   :  2c53 ; 
+ 169   :  2c5a ; 
+ 16a   :  2c60 ; 
+ 16b   :  2c67 ; 
+ 16c   :  2c6d ; 
+ 16d   :  2c73 ; 
+ 16e   :  2c79 ; 
+ 16f   :  2c7f ; 
+ 170   :  2c85 ; 
+ 171   :  2c8a ; 
+ 172   :  2c90 ; 
+ 173   :  2c95 ; 
+ 174   :  2c9a ; 
+ 175   :  2ca0 ; 
+ 176   :  2ca5 ; 
+ 177   :  2ca9 ; 
+ 178   :  2cae ; 
+ 179   :  2cb3 ; 
+ 17a   :  2cb7 ; 
+ 17b   :  2cbc ; 
+ 17c   :  2cc0 ; 
+ 17d   :  2cc4 ; 
+ 17e   :  2cc8 ; 
+ 17f   :  2ccc ; 
+ 180   :  2cd0 ; 
+ 181   :  2cd4 ; 
+ 182   :  2cd7 ; 
+ 183   :  2cdb ; 
+ 184   :  2cde ; 
+ 185   :  2ce2 ; 
+ 186   :  2ce5 ; 
+ 187   :  2ce8 ; 
+ 188   :  2cea ; 
+ 189   :  2ced ; 
+ 18a   :  2cf0 ; 
+ 18b   :  2cf2 ; 
+ 18c   :  2cf5 ; 
+ 18d   :  2cf7 ; 
+ 18e   :  2cf9 ; 
+ 18f   :  2cfb ; 
+ 190   :  2cfd ; 
+ 191   :  2cff ; 
+ 192   :  2d01 ; 
+ 193   :  2d02 ; 
+ 194   :  2d04 ; 
+ 195   :  2d05 ; 
+ 196   :  2d06 ; 
+ 197   :  2d07 ; 
+ 198   :  2d08 ; 
+ 199   :  2d09 ; 
+ 19a   :  2d0a ; 
+ 19b   :  2d0b ; 
+ 19c   :  2d0b ; 
+ 19d   :  2d0b ; 
+ 19e   :  2d0c ; 
+ 19f   :  2d0c ; 
+ 1a0   :  2d0c ; 
+ 1a1   :  2d0c ; 
+ 1a2   :  2d0c ; 
+ 1a3   :  2d0b ; 
+ 1a4   :  2d0b ; 
+ 1a5   :  2d0b ; 
+ 1a6   :  2d0a ; 
+ 1a7   :  2d09 ; 
+ 1a8   :  2d08 ; 
+ 1a9   :  2d07 ; 
+ 1aa   :  2d06 ; 
+ 1ab   :  2d05 ; 
+ 1ac   :  2d04 ; 
+ 1ad   :  2d02 ; 
+ 1ae   :  2d01 ; 
+ 1af   :  2cff ; 
+ 1b0   :  2cfd ; 
+ 1b1   :  2cfb ; 
+ 1b2   :  2cf9 ; 
+ 1b3   :  2cf7 ; 
+ 1b4   :  2cf5 ; 
+ 1b5   :  2cf3 ; 
+ 1b6   :  2cf0 ; 
+ 1b7   :  2cee ; 
+ 1b8   :  2ceb ; 
+ 1b9   :  2ce8 ; 
+ 1ba   :  2ce5 ; 
+ 1bb   :  2ce2 ; 
+ 1bc   :  2cdf ; 
+ 1bd   :  2cdc ; 
+ 1be   :  2cd9 ; 
+ 1bf   :  2cd5 ; 
+ 1c0   :  2cd2 ; 
+ 1c1   :  2cce ; 
+ 1c2   :  2cca ; 
+ 1c3   :  2cc7 ; 
+ 1c4   :  2cc3 ; 
+ 1c5   :  2cbe ; 
+ 1c6   :  2cba ; 
+ 1c7   :  2cb6 ; 
+ 1c8   :  2cb2 ; 
+ 1c9   :  2cad ; 
+ 1ca   :  2ca8 ; 
+ 1cb   :  2ca4 ; 
+ 1cc   :  2c9f ; 
+ 1cd   :  2c9a ; 
+ 1ce   :  2c95 ; 
+ 1cf   :  2c90 ; 
+ 1d0   :  2c8a ; 
+ 1d1   :  2c85 ; 
+ 1d2   :  2c80 ; 
+ 1d3   :  2c7a ; 
+ 1d4   :  2c74 ; 
+ 1d5   :  2c6e ; 
+ 1d6   :  2c69 ; 
+ 1d7   :  2c63 ; 
+ 1d8   :  2c5c ; 
+ 1d9   :  2c56 ; 
+ 1da   :  2c50 ; 
+ 1db   :  2c49 ; 
+ 1dc   :  2c43 ; 
+ 1dd   :  2c3c ; 
+ 1de   :  2c35 ; 
+ 1df   :  2c2f ; 
+ 1e0   :  2c28 ; 
+ 1e1   :  2c21 ; 
+ 1e2   :  2c19 ; 
+ 1e3   :  2c12 ; 
+ 1e4   :  2c0b ; 
+ 1e5   :  2c03 ; 
+ 1e6   :  2bfc ; 
+ 1e7   :  2bf4 ; 
+ 1e8   :  2bec ; 
+ 1e9   :  2be5 ; 
+ 1ea   :  2bdd ; 
+ 1eb   :  2bd4 ; 
+ 1ec   :  2bcc ; 
+ 1ed   :  2bc4 ; 
+ 1ee   :  2bbc ; 
+ 1ef   :  2bb3 ; 
+ 1f0   :  2bab ; 
+ 1f1   :  2ba2 ; 
+ 1f2   :  2b99 ; 
+ 1f3   :  2b90 ; 
+ 1f4   :  2b87 ; 
+ 1f5   :  2b7e ; 
+ 1f6   :  2b75 ; 
+ 1f7   :  2b6c ; 
+ 1f8   :  2b63 ; 
+ 1f9   :  2b59 ; 
+ 1fa   :  2b50 ; 
+ 1fb   :  2b46 ; 
+ 1fc   :  2b3c ; 
+ 1fd   :  2b32 ; 
+ 1fe   :  2b28 ; 
+ 1ff   :  2b1e ; 
+ 200   :  2b14 ; 
+ 201   :  2b0a ; 
+ 202   :  2b00 ; 
+ 203   :  2af5 ; 
+ 204   :  2aeb ; 
+ 205   :  2ae0 ; 
+ 206   :  2ad5 ; 
+ 207   :  2acb ; 
+ 208   :  2ac0 ; 
+ 209   :  2ab5 ; 
+ 20a   :  2aaa ; 
+ 20b   :  2a9e ; 
+ 20c   :  2a93 ; 
+ 20d   :  2a88 ; 
+ 20e   :  2a7c ; 
+ 20f   :  2a71 ; 
+ 210   :  2a65 ; 
+ 211   :  2a59 ; 
+ 212   :  2a4e ; 
+ 213   :  2a42 ; 
+ 214   :  2a36 ; 
+ 215   :  2a2a ; 
+ 216   :  2a1d ; 
+ 217   :  2a11 ; 
+ 218   :  2a05 ; 
+ 219   :  29f8 ; 
+ 21a   :  29ec ; 
+ 21b   :  29df ; 
+ 21c   :  29d2 ; 
+ 21d   :  29c6 ; 
+ 21e   :  29b9 ; 
+ 21f   :  29ac ; 
+ 220   :  299f ; 
+ 221   :  2992 ; 
+ 222   :  2984 ; 
+ 223   :  2977 ; 
+ 224   :  2969 ; 
+ 225   :  295c ; 
+ 226   :  294e ; 
+ 227   :  2941 ; 
+ 228   :  2933 ; 
+ 229   :  2925 ; 
+ 22a   :  2917 ; 
+ 22b   :  2909 ; 
+ 22c   :  28fb ; 
+ 22d   :  28ed ; 
+ 22e   :  28df ; 
+ 22f   :  28d0 ; 
+ 230   :  28c2 ; 
+ 231   :  28b3 ; 
+ 232   :  28a5 ; 
+ 233   :  2896 ; 
+ 234   :  2887 ; 
+ 235   :  2878 ; 
+ 236   :  2869 ; 
+ 237   :  285a ; 
+ 238   :  284b ; 
+ 239   :  283c ; 
+ 23a   :  282d ; 
+ 23b   :  281d ; 
+ 23c   :  280e ; 
+ 23d   :  27fe ; 
+ 23e   :  27ef ; 
+ 23f   :  27df ; 
+ 240   :  27cf ; 
+ 241   :  27bf ; 
+ 242   :  27b0 ; 
+ 243   :  27a0 ; 
+ 244   :  278f ; 
+ 245   :  277f ; 
+ 246   :  276f ; 
+ 247   :  275f ; 
+ 248   :  274e ; 
+ 249   :  273e ; 
+ 24a   :  272d ; 
+ 24b   :  271d ; 
+ 24c   :  270c ; 
+ 24d   :  26fb ; 
+ 24e   :  26ea ; 
+ 24f   :  26da ; 
+ 250   :  26c9 ; 
+ 251   :  26b8 ; 
+ 252   :  26a6 ; 
+ 253   :  2695 ; 
+ 254   :  2684 ; 
+ 255   :  2672 ; 
+ 256   :  2661 ; 
+ 257   :  2650 ; 
+ 258   :  263e ; 
+ 259   :  262c ; 
+ 25a   :  261b ; 
+ 25b   :  2609 ; 
+ 25c   :  25f7 ; 
+ 25d   :  25e5 ; 
+ 25e   :  25d3 ; 
+ 25f   :  25c1 ; 
+ 260   :  25af ; 
+ 261   :  259c ; 
+ 262   :  258a ; 
+ 263   :  2578 ; 
+ 264   :  2565 ; 
+ 265   :  2553 ; 
+ 266   :  2540 ; 
+ 267   :  252e ; 
+ 268   :  251b ; 
+ 269   :  2508 ; 
+ 26a   :  24f5 ; 
+ 26b   :  24e2 ; 
+ 26c   :  24cf ; 
+ 26d   :  24bc ; 
+ 26e   :  24a9 ; 
+ 26f   :  2496 ; 
+ 270   :  2483 ; 
+ 271   :  246f ; 
+ 272   :  245c ; 
+ 273   :  2448 ; 
+ 274   :  2435 ; 
+ 275   :  2421 ; 
+ 276   :  240e ; 
+ 277   :  23fa ; 
+ 278   :  23e6 ; 
+ 279   :  23d2 ; 
+ 27a   :  23be ; 
+ 27b   :  23ab ; 
+ 27c   :  2396 ; 
+ 27d   :  2382 ; 
+ 27e   :  236e ; 
+ 27f   :  235a ; 
+ 280   :  2346 ; 
+ 281   :  2331 ; 
+ 282   :  231d ; 
+ 283   :  2309 ; 
+ 284   :  22f4 ; 
+ 285   :  22df ; 
+ 286   :  22cb ; 
+ 287   :  22b6 ; 
+ 288   :  22a1 ; 
+ 289   :  228d ; 
+ 28a   :  2278 ; 
+ 28b   :  2263 ; 
+ 28c   :  224e ; 
+ 28d   :  2239 ; 
+ 28e   :  2224 ; 
+ 28f   :  220e ; 
+ 290   :  21f9 ; 
+ 291   :  21e4 ; 
+ 292   :  21ce ; 
+ 293   :  21b9 ; 
+ 294   :  21a4 ; 
+ 295   :  218e ; 
+ 296   :  2179 ; 
+ 297   :  2163 ; 
+ 298   :  214d ; 
+ 299   :  2138 ; 
+ 29a   :  2122 ; 
+ 29b   :  210c ; 
+ 29c   :  20f6 ; 
+ 29d   :  20e0 ; 
+ 29e   :  20ca ; 
+ 29f   :  20b4 ; 
+ 2a0   :  209e ; 
+ 2a1   :  2088 ; 
+ 2a2   :  2072 ; 
+ 2a3   :  205b ; 
+ 2a4   :  2045 ; 
+ 2a5   :  202f ; 
+ 2a6   :  2018 ; 
+ 2a7   :  2002 ; 
+ 2a8   :  1feb ; 
+ 2a9   :  1fd5 ; 
+ 2aa   :  1fbe ; 
+ 2ab   :  1fa7 ; 
+ 2ac   :  1f91 ; 
+ 2ad   :  1f7a ; 
+ 2ae   :  1f63 ; 
+ 2af   :  1f4c ; 
+ 2b0   :  1f35 ; 
+ 2b1   :  1f1f ; 
+ 2b2   :  1f08 ; 
+ 2b3   :  1ef0 ; 
+ 2b4   :  1ed9 ; 
+ 2b5   :  1ec2 ; 
+ 2b6   :  1eab ; 
+ 2b7   :  1e94 ; 
+ 2b8   :  1e7d ; 
+ 2b9   :  1e65 ; 
+ 2ba   :  1e4e ; 
+ 2bb   :  1e37 ; 
+ 2bc   :  1e1f ; 
+ 2bd   :  1e08 ; 
+ 2be   :  1df0 ; 
+ 2bf   :  1dd8 ; 
+ 2c0   :  1dc1 ; 
+ 2c1   :  1da9 ; 
+ 2c2   :  1d91 ; 
+ 2c3   :  1d7a ; 
+ 2c4   :  1d62 ; 
+ 2c5   :  1d4a ; 
+ 2c6   :  1d32 ; 
+ 2c7   :  1d1a ; 
+ 2c8   :  1d02 ; 
+ 2c9   :  1cea ; 
+ 2ca   :  1cd2 ; 
+ 2cb   :  1cba ; 
+ 2cc   :  1ca2 ; 
+ 2cd   :  1c8a ; 
+ 2ce   :  1c72 ; 
+ 2cf   :  1c5a ; 
+ 2d0   :  1c41 ; 
+ 2d1   :  1c29 ; 
+ 2d2   :  1c11 ; 
+ 2d3   :  1bf8 ; 
+ 2d4   :  1be0 ; 
+ 2d5   :  1bc7 ; 
+ 2d6   :  1baf ; 
+ 2d7   :  1b96 ; 
+ 2d8   :  1b7e ; 
+ 2d9   :  1b65 ; 
+ 2da   :  1b4d ; 
+ 2db   :  1b34 ; 
+ 2dc   :  1b1b ; 
+ 2dd   :  1b03 ; 
+ 2de   :  1aea ; 
+ 2df   :  1ad1 ; 
+ 2e0   :  1ab8 ; 
+ 2e1   :  1a9f ; 
+ 2e2   :  1a86 ; 
+ 2e3   :  1a6d ; 
+ 2e4   :  1a54 ; 
+ 2e5   :  1a3b ; 
+ 2e6   :  1a22 ; 
+ 2e7   :  1a09 ; 
+ 2e8   :  19f0 ; 
+ 2e9   :  19d7 ; 
+ 2ea   :  19be ; 
+ 2eb   :  19a5 ; 
+ 2ec   :  198c ; 
+ 2ed   :  1972 ; 
+ 2ee   :  1959 ; 
+ 2ef   :  1940 ; 
+ 2f0   :  1926 ; 
+ 2f1   :  190d ; 
+ 2f2   :  18f4 ; 
+ 2f3   :  18da ; 
+ 2f4   :  18c1 ; 
+ 2f5   :  18a7 ; 
+ 2f6   :  188e ; 
+ 2f7   :  1874 ; 
+ 2f8   :  185b ; 
+ 2f9   :  1841 ; 
+ 2fa   :  1828 ; 
+ 2fb   :  180e ; 
+ 2fc   :  17f4 ; 
+ 2fd   :  17db ; 
+ 2fe   :  17c1 ; 
+ 2ff   :  17a7 ; 
+ 300   :  178d ; 
+ 301   :  1774 ; 
+ 302   :  175a ; 
+ 303   :  1740 ; 
+ 304   :  1726 ; 
+ 305   :  170c ; 
+ 306   :  16f2 ; 
+ 307   :  16d8 ; 
+ 308   :  16bf ; 
+ 309   :  16a5 ; 
+ 30a   :  168b ; 
+ 30b   :  1671 ; 
+ 30c   :  1657 ; 
+ 30d   :  163d ; 
+ 30e   :  1623 ; 
+ 30f   :  1608 ; 
+ 310   :  15ee ; 
+ 311   :  15d4 ; 
+ 312   :  15ba ; 
+ 313   :  15a0 ; 
+ 314   :  1586 ; 
+ 315   :  156c ; 
+ 316   :  1551 ; 
+ 317   :  1537 ; 
+ 318   :  151d ; 
+ 319   :  1503 ; 
+ 31a   :  14e8 ; 
+ 31b   :  14ce ; 
+ 31c   :  14b4 ; 
+ 31d   :  1499 ; 
+ 31e   :  147f ; 
+ 31f   :  1465 ; 
+ 320   :  144a ; 
+ 321   :  1430 ; 
+ 322   :  1416 ; 
+ 323   :  13fb ; 
+ 324   :  13e1 ; 
+ 325   :  13c6 ; 
+ 326   :  13ac ; 
+ 327   :  1391 ; 
+ 328   :  1377 ; 
+ 329   :  135c ; 
+ 32a   :  1342 ; 
+ 32b   :  1327 ; 
+ 32c   :  130d ; 
+ 32d   :  12f2 ; 
+ 32e   :  12d8 ; 
+ 32f   :  12bd ; 
+ 330   :  12a3 ; 
+ 331   :  1288 ; 
+ 332   :  126e ; 
+ 333   :  1253 ; 
+ 334   :  1238 ; 
+ 335   :  121e ; 
+ 336   :  1203 ; 
+ 337   :  11e8 ; 
+ 338   :  11ce ; 
+ 339   :  11b3 ; 
+ 33a   :  1199 ; 
+ 33b   :  117e ; 
+ 33c   :  1163 ; 
+ 33d   :  1149 ; 
+ 33e   :  112e ; 
+ 33f   :  1113 ; 
+ 340   :  10f8 ; 
+ 341   :  10de ; 
+ 342   :  10c3 ; 
+ 343   :  10a8 ; 
+ 344   :  108e ; 
+ 345   :  1073 ; 
+ 346   :  1058 ; 
+ 347   :  103d ; 
+ 348   :  1023 ; 
+ 349   :  1008 ; 
+ 34a   :  fed ; 
+ 34b   :  fd3 ; 
+ 34c   :  fb8 ; 
+ 34d   :  f9d ; 
+ 34e   :  f82 ; 
+ 34f   :  f68 ; 
+ 350   :  f4d ; 
+ 351   :  f32 ; 
+ 352   :  f17 ; 
+ 353   :  efd ; 
+ 354   :  ee2 ; 
+ 355   :  ec7 ; 
+ 356   :  eac ; 
+ 357   :  e92 ; 
+ 358   :  e77 ; 
+ 359   :  e5c ; 
+ 35a   :  e41 ; 
+ 35b   :  e27 ; 
+ 35c   :  e0c ; 
+ 35d   :  df1 ; 
+ 35e   :  dd6 ; 
+ 35f   :  dbc ; 
+ 360   :  da1 ; 
+ 361   :  d86 ; 
+ 362   :  d6b ; 
+ 363   :  d51 ; 
+ 364   :  d36 ; 
+ 365   :  d1b ; 
+ 366   :  d00 ; 
+ 367   :  ce6 ; 
+ 368   :  ccb ; 
+ 369   :  cb0 ; 
+ 36a   :  c96 ; 
+ 36b   :  c7b ; 
+ 36c   :  c60 ; 
+ 36d   :  c46 ; 
+ 36e   :  c2b ; 
+ 36f   :  c10 ; 
+ 370   :  bf6 ; 
+ 371   :  bdb ; 
+ 372   :  bc0 ; 
+ 373   :  ba6 ; 
+ 374   :  b8b ; 
+ 375   :  b70 ; 
+ 376   :  b56 ; 
+ 377   :  b3b ; 
+ 378   :  b21 ; 
+ 379   :  b06 ; 
+ 37a   :  aeb ; 
+ 37b   :  ad1 ; 
+ 37c   :  ab6 ; 
+ 37d   :  a9c ; 
+ 37e   :  a81 ; 
+ 37f   :  a67 ; 
+ 380   :  a4c ; 
+ 381   :  a32 ; 
+ 382   :  a17 ; 
+ 383   :  9fd ; 
+ 384   :  9e2 ; 
+ 385   :  9c8 ; 
+ 386   :  9ad ; 
+ 387   :  993 ; 
+ 388   :  979 ; 
+ 389   :  95e ; 
+ 38a   :  944 ; 
+ 38b   :  929 ; 
+ 38c   :  90f ; 
+ 38d   :  8f5 ; 
+ 38e   :  8da ; 
+ 38f   :  8c0 ; 
+ 390   :  8a6 ; 
+ 391   :  88c ; 
+ 392   :  871 ; 
+ 393   :  857 ; 
+ 394   :  83d ; 
+ 395   :  823 ; 
+ 396   :  808 ; 
+ 397   :  7ee ; 
+ 398   :  7d4 ; 
+ 399   :  7ba ; 
+ 39a   :  7a0 ; 
+ 39b   :  786 ; 
+ 39c   :  76b ; 
+ 39d   :  751 ; 
+ 39e   :  737 ; 
+ 39f   :  71d ; 
+ 3a0   :  703 ; 
+ 3a1   :  6e9 ; 
+ 3a2   :  6cf ; 
+ 3a3   :  6b5 ; 
+ 3a4   :  69b ; 
+ 3a5   :  681 ; 
+ 3a6   :  667 ; 
+ 3a7   :  64e ; 
+ 3a8   :  634 ; 
+ 3a9   :  61a ; 
+ 3aa   :  600 ; 
+ 3ab   :  5e6 ; 
+ 3ac   :  5cc ; 
+ 3ad   :  5b3 ; 
+ 3ae   :  599 ; 
+ 3af   :  57f ; 
+ 3b0   :  565 ; 
+ 3b1   :  54c ; 
+ 3b2   :  532 ; 
+ 3b3   :  519 ; 
+ 3b4   :  4ff ; 
+ 3b5   :  4e5 ; 
+ 3b6   :  4cc ; 
+ 3b7   :  4b2 ; 
+ 3b8   :  499 ; 
+ 3b9   :  47f ; 
+ 3ba   :  466 ; 
+ 3bb   :  44c ; 
+ 3bc   :  433 ; 
+ 3bd   :  41a ; 
+ 3be   :  400 ; 
+ 3bf   :  3e7 ; 
+ 3c0   :  3ce ; 
+ 3c1   :  3b4 ; 
+ 3c2   :  39b ; 
+ 3c3   :  382 ; 
+ 3c4   :  369 ; 
+ 3c5   :  34f ; 
+ 3c6   :  336 ; 
+ 3c7   :  31d ; 
+ 3c8   :  304 ; 
+ 3c9   :  2eb ; 
+ 3ca   :  2d2 ; 
+ 3cb   :  2b9 ; 
+ 3cc   :  2a0 ; 
+ 3cd   :  287 ; 
+ 3ce   :  26e ; 
+ 3cf   :  255 ; 
+ 3d0   :  23c ; 
+ 3d1   :  223 ; 
+ 3d2   :  20b ; 
+ 3d3   :  1f2 ; 
+ 3d4   :  1d9 ; 
+ 3d5   :  1c0 ; 
+ 3d6   :  1a8 ; 
+ 3d7   :  18f ; 
+ 3d8   :  177 ; 
+ 3d9   :  15e ; 
+ 3da   :  145 ; 
+ 3db   :  12d ; 
+ 3dc   :  114 ; 
+ 3dd   :  fc ; 
+ 3de   :  e3 ; 
+ 3df   :  cb ; 
+ 3e0   :  b3 ; 
+ 3e1   :  9a ; 
+ 3e2   :  82 ; 
+ 3e3   :  6a ; 
+ 3e4   :  52 ; 
+ 3e5   :  39 ; 
+ 3e6   :  21 ; 
+ 3e7   :  9 ; 
+ 3e8   :  3fff1 ; 
+ 3e9   :  3ffd9 ; 
+ 3ea   :  3ffc1 ; 
+ 3eb   :  3ffa9 ; 
+ 3ec   :  3ff91 ; 
+ 3ed   :  3ff79 ; 
+ 3ee   :  3ff61 ; 
+ 3ef   :  3ff49 ; 
+ 3f0   :  3ff31 ; 
+ 3f1   :  3ff1a ; 
+ 3f2   :  3ff02 ; 
+ 3f3   :  3feea ; 
+ 3f4   :  3fed3 ; 
+ 3f5   :  3febb ; 
+ 3f6   :  3fea3 ; 
+ 3f7   :  3fe8c ; 
+ 3f8   :  3fe74 ; 
+ 3f9   :  3fe5d ; 
+ 3fa   :  3fe45 ; 
+ 3fb   :  3fe2e ; 
+ 3fc   :  3fe16 ; 
+ 3fd   :  3fdff ; 
+ 3fe   :  3fde8 ; 
+ 3ff   :  3fdd1 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_6.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_6.mif
new file mode 100644
index 0000000000000000000000000000000000000000..e2ecd51fb120ce498e35ca8791f1a1f2feda771b
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_6.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3fb20 ; 
+ 1   :  3faaa ; 
+ 2   :  3fa34 ; 
+ 3   :  3f9be ; 
+ 4   :  3f948 ; 
+ 5   :  3f8d3 ; 
+ 6   :  3f85e ; 
+ 7   :  3f7e9 ; 
+ 8   :  3f775 ; 
+ 9   :  3f701 ; 
+ a   :  3f68d ; 
+ b   :  3f619 ; 
+ c   :  3f5a6 ; 
+ d   :  3f533 ; 
+ e   :  3f4c0 ; 
+ f   :  3f44e ; 
+ 10   :  3f3db ; 
+ 11   :  3f369 ; 
+ 12   :  3f2f8 ; 
+ 13   :  3f286 ; 
+ 14   :  3f215 ; 
+ 15   :  3f1a5 ; 
+ 16   :  3f134 ; 
+ 17   :  3f0c4 ; 
+ 18   :  3f054 ; 
+ 19   :  3efe4 ; 
+ 1a   :  3ef75 ; 
+ 1b   :  3ef06 ; 
+ 1c   :  3ee97 ; 
+ 1d   :  3ee28 ; 
+ 1e   :  3edba ; 
+ 1f   :  3ed4c ; 
+ 20   :  3ecde ; 
+ 21   :  3ec71 ; 
+ 22   :  3ec04 ; 
+ 23   :  3eb97 ; 
+ 24   :  3eb2a ; 
+ 25   :  3eabe ; 
+ 26   :  3ea52 ; 
+ 27   :  3e9e6 ; 
+ 28   :  3e97b ; 
+ 29   :  3e910 ; 
+ 2a   :  3e8a5 ; 
+ 2b   :  3e83a ; 
+ 2c   :  3e7d0 ; 
+ 2d   :  3e766 ; 
+ 2e   :  3e6fc ; 
+ 2f   :  3e693 ; 
+ 30   :  3e62a ; 
+ 31   :  3e5c1 ; 
+ 32   :  3e559 ; 
+ 33   :  3e4f0 ; 
+ 34   :  3e488 ; 
+ 35   :  3e421 ; 
+ 36   :  3e3b9 ; 
+ 37   :  3e352 ; 
+ 38   :  3e2eb ; 
+ 39   :  3e285 ; 
+ 3a   :  3e21f ; 
+ 3b   :  3e1b9 ; 
+ 3c   :  3e153 ; 
+ 3d   :  3e0ee ; 
+ 3e   :  3e089 ; 
+ 3f   :  3e024 ; 
+ 40   :  3dfc0 ; 
+ 41   :  3df5b ; 
+ 42   :  3def8 ; 
+ 43   :  3de94 ; 
+ 44   :  3de31 ; 
+ 45   :  3ddce ; 
+ 46   :  3dd6b ; 
+ 47   :  3dd09 ; 
+ 48   :  3dca7 ; 
+ 49   :  3dc45 ; 
+ 4a   :  3dbe3 ; 
+ 4b   :  3db82 ; 
+ 4c   :  3db21 ; 
+ 4d   :  3dac1 ; 
+ 4e   :  3da60 ; 
+ 4f   :  3da00 ; 
+ 50   :  3d9a0 ; 
+ 51   :  3d941 ; 
+ 52   :  3d8e2 ; 
+ 53   :  3d883 ; 
+ 54   :  3d825 ; 
+ 55   :  3d7c6 ; 
+ 56   :  3d768 ; 
+ 57   :  3d70b ; 
+ 58   :  3d6ad ; 
+ 59   :  3d650 ; 
+ 5a   :  3d5f4 ; 
+ 5b   :  3d597 ; 
+ 5c   :  3d53b ; 
+ 5d   :  3d4df ; 
+ 5e   :  3d484 ; 
+ 5f   :  3d428 ; 
+ 60   :  3d3cd ; 
+ 61   :  3d373 ; 
+ 62   :  3d318 ; 
+ 63   :  3d2be ; 
+ 64   :  3d265 ; 
+ 65   :  3d20b ; 
+ 66   :  3d1b2 ; 
+ 67   :  3d159 ; 
+ 68   :  3d101 ; 
+ 69   :  3d0a8 ; 
+ 6a   :  3d050 ; 
+ 6b   :  3cff9 ; 
+ 6c   :  3cfa1 ; 
+ 6d   :  3cf4a ; 
+ 6e   :  3cef4 ; 
+ 6f   :  3ce9d ; 
+ 70   :  3ce47 ; 
+ 71   :  3cdf1 ; 
+ 72   :  3cd9c ; 
+ 73   :  3cd46 ; 
+ 74   :  3ccf2 ; 
+ 75   :  3cc9d ; 
+ 76   :  3cc49 ; 
+ 77   :  3cbf5 ; 
+ 78   :  3cba1 ; 
+ 79   :  3cb4d ; 
+ 7a   :  3cafa ; 
+ 7b   :  3caa8 ; 
+ 7c   :  3ca55 ; 
+ 7d   :  3ca03 ; 
+ 7e   :  3c9b1 ; 
+ 7f   :  3c95f ; 
+ 80   :  3c90e ; 
+ 81   :  3c8bd ; 
+ 82   :  3c86c ; 
+ 83   :  3c81c ; 
+ 84   :  3c7cc ; 
+ 85   :  3c77c ; 
+ 86   :  3c72d ; 
+ 87   :  3c6de ; 
+ 88   :  3c68f ; 
+ 89   :  3c640 ; 
+ 8a   :  3c5f2 ; 
+ 8b   :  3c5a4 ; 
+ 8c   :  3c556 ; 
+ 8d   :  3c509 ; 
+ 8e   :  3c4bc ; 
+ 8f   :  3c46f ; 
+ 90   :  3c423 ; 
+ 91   :  3c3d7 ; 
+ 92   :  3c38b ; 
+ 93   :  3c340 ; 
+ 94   :  3c2f4 ; 
+ 95   :  3c2aa ; 
+ 96   :  3c25f ; 
+ 97   :  3c215 ; 
+ 98   :  3c1cb ; 
+ 99   :  3c181 ; 
+ 9a   :  3c138 ; 
+ 9b   :  3c0ef ; 
+ 9c   :  3c0a6 ; 
+ 9d   :  3c05e ; 
+ 9e   :  3c015 ; 
+ 9f   :  3bfce ; 
+ a0   :  3bf86 ; 
+ a1   :  3bf3f ; 
+ a2   :  3bef8 ; 
+ a3   :  3beb1 ; 
+ a4   :  3be6b ; 
+ a5   :  3be25 ; 
+ a6   :  3bddf ; 
+ a7   :  3bd9a ; 
+ a8   :  3bd55 ; 
+ a9   :  3bd10 ; 
+ aa   :  3bccc ; 
+ ab   :  3bc88 ; 
+ ac   :  3bc44 ; 
+ ad   :  3bc00 ; 
+ ae   :  3bbbd ; 
+ af   :  3bb7a ; 
+ b0   :  3bb38 ; 
+ b1   :  3baf5 ; 
+ b2   :  3bab3 ; 
+ b3   :  3ba71 ; 
+ b4   :  3ba30 ; 
+ b5   :  3b9ef ; 
+ b6   :  3b9ae ; 
+ b7   :  3b96e ; 
+ b8   :  3b92e ; 
+ b9   :  3b8ee ; 
+ ba   :  3b8ae ; 
+ bb   :  3b86f ; 
+ bc   :  3b830 ; 
+ bd   :  3b7f1 ; 
+ be   :  3b7b3 ; 
+ bf   :  3b775 ; 
+ c0   :  3b737 ; 
+ c1   :  3b6fa ; 
+ c2   :  3b6bd ; 
+ c3   :  3b680 ; 
+ c4   :  3b643 ; 
+ c5   :  3b607 ; 
+ c6   :  3b5cb ; 
+ c7   :  3b590 ; 
+ c8   :  3b554 ; 
+ c9   :  3b519 ; 
+ ca   :  3b4df ; 
+ cb   :  3b4a4 ; 
+ cc   :  3b46a ; 
+ cd   :  3b431 ; 
+ ce   :  3b3f7 ; 
+ cf   :  3b3be ; 
+ d0   :  3b385 ; 
+ d1   :  3b34d ; 
+ d2   :  3b314 ; 
+ d3   :  3b2dd ; 
+ d4   :  3b2a5 ; 
+ d5   :  3b26e ; 
+ d6   :  3b237 ; 
+ d7   :  3b200 ; 
+ d8   :  3b1c9 ; 
+ d9   :  3b193 ; 
+ da   :  3b15e ; 
+ db   :  3b128 ; 
+ dc   :  3b0f3 ; 
+ dd   :  3b0be ; 
+ de   :  3b089 ; 
+ df   :  3b055 ; 
+ e0   :  3b021 ; 
+ e1   :  3afed ; 
+ e2   :  3afba ; 
+ e3   :  3af87 ; 
+ e4   :  3af54 ; 
+ e5   :  3af22 ; 
+ e6   :  3aef0 ; 
+ e7   :  3aebe ; 
+ e8   :  3ae8c ; 
+ e9   :  3ae5b ; 
+ ea   :  3ae2a ; 
+ eb   :  3adf9 ; 
+ ec   :  3adc9 ; 
+ ed   :  3ad99 ; 
+ ee   :  3ad69 ; 
+ ef   :  3ad3a ; 
+ f0   :  3ad0b ; 
+ f1   :  3acdc ; 
+ f2   :  3acad ; 
+ f3   :  3ac7f ; 
+ f4   :  3ac51 ; 
+ f5   :  3ac23 ; 
+ f6   :  3abf6 ; 
+ f7   :  3abc9 ; 
+ f8   :  3ab9c ; 
+ f9   :  3ab70 ; 
+ fa   :  3ab44 ; 
+ fb   :  3ab18 ; 
+ fc   :  3aaec ; 
+ fd   :  3aac1 ; 
+ fe   :  3aa96 ; 
+ ff   :  3aa6b ; 
+ 100   :  3aa41 ; 
+ 101   :  3aa17 ; 
+ 102   :  3a9ed ; 
+ 103   :  3a9c4 ; 
+ 104   :  3a99b ; 
+ 105   :  3a972 ; 
+ 106   :  3a949 ; 
+ 107   :  3a921 ; 
+ 108   :  3a8f9 ; 
+ 109   :  3a8d1 ; 
+ 10a   :  3a8aa ; 
+ 10b   :  3a883 ; 
+ 10c   :  3a85c ; 
+ 10d   :  3a836 ; 
+ 10e   :  3a80f ; 
+ 10f   :  3a7ea ; 
+ 110   :  3a7c4 ; 
+ 111   :  3a79f ; 
+ 112   :  3a77a ; 
+ 113   :  3a755 ; 
+ 114   :  3a731 ; 
+ 115   :  3a70c ; 
+ 116   :  3a6e9 ; 
+ 117   :  3a6c5 ; 
+ 118   :  3a6a2 ; 
+ 119   :  3a67f ; 
+ 11a   :  3a65c ; 
+ 11b   :  3a63a ; 
+ 11c   :  3a618 ; 
+ 11d   :  3a5f6 ; 
+ 11e   :  3a5d5 ; 
+ 11f   :  3a5b3 ; 
+ 120   :  3a592 ; 
+ 121   :  3a572 ; 
+ 122   :  3a551 ; 
+ 123   :  3a531 ; 
+ 124   :  3a512 ; 
+ 125   :  3a4f2 ; 
+ 126   :  3a4d3 ; 
+ 127   :  3a4b4 ; 
+ 128   :  3a496 ; 
+ 129   :  3a477 ; 
+ 12a   :  3a459 ; 
+ 12b   :  3a43c ; 
+ 12c   :  3a41e ; 
+ 12d   :  3a401 ; 
+ 12e   :  3a3e4 ; 
+ 12f   :  3a3c8 ; 
+ 130   :  3a3ab ; 
+ 131   :  3a390 ; 
+ 132   :  3a374 ; 
+ 133   :  3a358 ; 
+ 134   :  3a33d ; 
+ 135   :  3a322 ; 
+ 136   :  3a308 ; 
+ 137   :  3a2ee ; 
+ 138   :  3a2d4 ; 
+ 139   :  3a2ba ; 
+ 13a   :  3a2a1 ; 
+ 13b   :  3a287 ; 
+ 13c   :  3a26f ; 
+ 13d   :  3a256 ; 
+ 13e   :  3a23e ; 
+ 13f   :  3a226 ; 
+ 140   :  3a20e ; 
+ 141   :  3a1f7 ; 
+ 142   :  3a1e0 ; 
+ 143   :  3a1c9 ; 
+ 144   :  3a1b2 ; 
+ 145   :  3a19c ; 
+ 146   :  3a186 ; 
+ 147   :  3a170 ; 
+ 148   :  3a15b ; 
+ 149   :  3a146 ; 
+ 14a   :  3a131 ; 
+ 14b   :  3a11c ; 
+ 14c   :  3a108 ; 
+ 14d   :  3a0f4 ; 
+ 14e   :  3a0e0 ; 
+ 14f   :  3a0cc ; 
+ 150   :  3a0b9 ; 
+ 151   :  3a0a6 ; 
+ 152   :  3a094 ; 
+ 153   :  3a081 ; 
+ 154   :  3a06f ; 
+ 155   :  3a05d ; 
+ 156   :  3a04c ; 
+ 157   :  3a03a ; 
+ 158   :  3a029 ; 
+ 159   :  3a019 ; 
+ 15a   :  3a008 ; 
+ 15b   :  39ff8 ; 
+ 15c   :  39fe8 ; 
+ 15d   :  39fd9 ; 
+ 15e   :  39fc9 ; 
+ 15f   :  39fba ; 
+ 160   :  39fab ; 
+ 161   :  39f9d ; 
+ 162   :  39f8f ; 
+ 163   :  39f81 ; 
+ 164   :  39f73 ; 
+ 165   :  39f65 ; 
+ 166   :  39f58 ; 
+ 167   :  39f4b ; 
+ 168   :  39f3f ; 
+ 169   :  39f32 ; 
+ 16a   :  39f26 ; 
+ 16b   :  39f1a ; 
+ 16c   :  39f0f ; 
+ 16d   :  39f04 ; 
+ 16e   :  39ef9 ; 
+ 16f   :  39eee ; 
+ 170   :  39ee3 ; 
+ 171   :  39ed9 ; 
+ 172   :  39ecf ; 
+ 173   :  39ec5 ; 
+ 174   :  39ebc ; 
+ 175   :  39eb3 ; 
+ 176   :  39eaa ; 
+ 177   :  39ea1 ; 
+ 178   :  39e99 ; 
+ 179   :  39e91 ; 
+ 17a   :  39e89 ; 
+ 17b   :  39e82 ; 
+ 17c   :  39e7a ; 
+ 17d   :  39e73 ; 
+ 17e   :  39e6c ; 
+ 17f   :  39e66 ; 
+ 180   :  39e60 ; 
+ 181   :  39e5a ; 
+ 182   :  39e54 ; 
+ 183   :  39e4e ; 
+ 184   :  39e49 ; 
+ 185   :  39e44 ; 
+ 186   :  39e3f ; 
+ 187   :  39e3b ; 
+ 188   :  39e37 ; 
+ 189   :  39e33 ; 
+ 18a   :  39e2f ; 
+ 18b   :  39e2c ; 
+ 18c   :  39e29 ; 
+ 18d   :  39e26 ; 
+ 18e   :  39e23 ; 
+ 18f   :  39e21 ; 
+ 190   :  39e1e ; 
+ 191   :  39e1d ; 
+ 192   :  39e1b ; 
+ 193   :  39e19 ; 
+ 194   :  39e18 ; 
+ 195   :  39e17 ; 
+ 196   :  39e17 ; 
+ 197   :  39e16 ; 
+ 198   :  39e16 ; 
+ 199   :  39e16 ; 
+ 19a   :  39e17 ; 
+ 19b   :  39e17 ; 
+ 19c   :  39e18 ; 
+ 19d   :  39e19 ; 
+ 19e   :  39e1b ; 
+ 19f   :  39e1c ; 
+ 1a0   :  39e1e ; 
+ 1a1   :  39e20 ; 
+ 1a2   :  39e23 ; 
+ 1a3   :  39e25 ; 
+ 1a4   :  39e28 ; 
+ 1a5   :  39e2b ; 
+ 1a6   :  39e2f ; 
+ 1a7   :  39e32 ; 
+ 1a8   :  39e36 ; 
+ 1a9   :  39e3a ; 
+ 1aa   :  39e3e ; 
+ 1ab   :  39e43 ; 
+ 1ac   :  39e48 ; 
+ 1ad   :  39e4d ; 
+ 1ae   :  39e52 ; 
+ 1af   :  39e57 ; 
+ 1b0   :  39e5d ; 
+ 1b1   :  39e63 ; 
+ 1b2   :  39e69 ; 
+ 1b3   :  39e70 ; 
+ 1b4   :  39e77 ; 
+ 1b5   :  39e7e ; 
+ 1b6   :  39e85 ; 
+ 1b7   :  39e8c ; 
+ 1b8   :  39e94 ; 
+ 1b9   :  39e9c ; 
+ 1ba   :  39ea4 ; 
+ 1bb   :  39eac ; 
+ 1bc   :  39eb5 ; 
+ 1bd   :  39ebe ; 
+ 1be   :  39ec7 ; 
+ 1bf   :  39ed0 ; 
+ 1c0   :  39ed9 ; 
+ 1c1   :  39ee3 ; 
+ 1c2   :  39eed ; 
+ 1c3   :  39ef7 ; 
+ 1c4   :  39f02 ; 
+ 1c5   :  39f0d ; 
+ 1c6   :  39f17 ; 
+ 1c7   :  39f23 ; 
+ 1c8   :  39f2e ; 
+ 1c9   :  39f3a ; 
+ 1ca   :  39f45 ; 
+ 1cb   :  39f51 ; 
+ 1cc   :  39f5e ; 
+ 1cd   :  39f6a ; 
+ 1ce   :  39f77 ; 
+ 1cf   :  39f84 ; 
+ 1d0   :  39f91 ; 
+ 1d1   :  39f9e ; 
+ 1d2   :  39fac ; 
+ 1d3   :  39fba ; 
+ 1d4   :  39fc8 ; 
+ 1d5   :  39fd6 ; 
+ 1d6   :  39fe5 ; 
+ 1d7   :  39ff3 ; 
+ 1d8   :  3a002 ; 
+ 1d9   :  3a012 ; 
+ 1da   :  3a021 ; 
+ 1db   :  3a031 ; 
+ 1dc   :  3a040 ; 
+ 1dd   :  3a050 ; 
+ 1de   :  3a061 ; 
+ 1df   :  3a071 ; 
+ 1e0   :  3a082 ; 
+ 1e1   :  3a093 ; 
+ 1e2   :  3a0a4 ; 
+ 1e3   :  3a0b5 ; 
+ 1e4   :  3a0c7 ; 
+ 1e5   :  3a0d9 ; 
+ 1e6   :  3a0eb ; 
+ 1e7   :  3a0fd ; 
+ 1e8   :  3a10f ; 
+ 1e9   :  3a122 ; 
+ 1ea   :  3a135 ; 
+ 1eb   :  3a148 ; 
+ 1ec   :  3a15b ; 
+ 1ed   :  3a16e ; 
+ 1ee   :  3a182 ; 
+ 1ef   :  3a196 ; 
+ 1f0   :  3a1aa ; 
+ 1f1   :  3a1be ; 
+ 1f2   :  3a1d3 ; 
+ 1f3   :  3a1e7 ; 
+ 1f4   :  3a1fc ; 
+ 1f5   :  3a211 ; 
+ 1f6   :  3a227 ; 
+ 1f7   :  3a23c ; 
+ 1f8   :  3a252 ; 
+ 1f9   :  3a268 ; 
+ 1fa   :  3a27e ; 
+ 1fb   :  3a294 ; 
+ 1fc   :  3a2ab ; 
+ 1fd   :  3a2c2 ; 
+ 1fe   :  3a2d9 ; 
+ 1ff   :  3a2f0 ; 
+ 200   :  3a307 ; 
+ 201   :  3a31f ; 
+ 202   :  3a336 ; 
+ 203   :  3a34e ; 
+ 204   :  3a366 ; 
+ 205   :  3a37f ; 
+ 206   :  3a397 ; 
+ 207   :  3a3b0 ; 
+ 208   :  3a3c9 ; 
+ 209   :  3a3e2 ; 
+ 20a   :  3a3fb ; 
+ 20b   :  3a415 ; 
+ 20c   :  3a42e ; 
+ 20d   :  3a448 ; 
+ 20e   :  3a462 ; 
+ 20f   :  3a47c ; 
+ 210   :  3a497 ; 
+ 211   :  3a4b1 ; 
+ 212   :  3a4cc ; 
+ 213   :  3a4e7 ; 
+ 214   :  3a502 ; 
+ 215   :  3a51e ; 
+ 216   :  3a539 ; 
+ 217   :  3a555 ; 
+ 218   :  3a571 ; 
+ 219   :  3a58d ; 
+ 21a   :  3a5a9 ; 
+ 21b   :  3a5c6 ; 
+ 21c   :  3a5e3 ; 
+ 21d   :  3a5ff ; 
+ 21e   :  3a61d ; 
+ 21f   :  3a63a ; 
+ 220   :  3a657 ; 
+ 221   :  3a675 ; 
+ 222   :  3a692 ; 
+ 223   :  3a6b0 ; 
+ 224   :  3a6cf ; 
+ 225   :  3a6ed ; 
+ 226   :  3a70b ; 
+ 227   :  3a72a ; 
+ 228   :  3a749 ; 
+ 229   :  3a768 ; 
+ 22a   :  3a787 ; 
+ 22b   :  3a7a6 ; 
+ 22c   :  3a7c6 ; 
+ 22d   :  3a7e5 ; 
+ 22e   :  3a805 ; 
+ 22f   :  3a825 ; 
+ 230   :  3a846 ; 
+ 231   :  3a866 ; 
+ 232   :  3a887 ; 
+ 233   :  3a8a7 ; 
+ 234   :  3a8c8 ; 
+ 235   :  3a8e9 ; 
+ 236   :  3a90a ; 
+ 237   :  3a92c ; 
+ 238   :  3a94d ; 
+ 239   :  3a96f ; 
+ 23a   :  3a991 ; 
+ 23b   :  3a9b3 ; 
+ 23c   :  3a9d5 ; 
+ 23d   :  3a9f8 ; 
+ 23e   :  3aa1a ; 
+ 23f   :  3aa3d ; 
+ 240   :  3aa60 ; 
+ 241   :  3aa83 ; 
+ 242   :  3aaa6 ; 
+ 243   :  3aac9 ; 
+ 244   :  3aaed ; 
+ 245   :  3ab11 ; 
+ 246   :  3ab34 ; 
+ 247   :  3ab58 ; 
+ 248   :  3ab7d ; 
+ 249   :  3aba1 ; 
+ 24a   :  3abc5 ; 
+ 24b   :  3abea ; 
+ 24c   :  3ac0f ; 
+ 24d   :  3ac34 ; 
+ 24e   :  3ac59 ; 
+ 24f   :  3ac7e ; 
+ 250   :  3aca3 ; 
+ 251   :  3acc9 ; 
+ 252   :  3acef ; 
+ 253   :  3ad14 ; 
+ 254   :  3ad3a ; 
+ 255   :  3ad61 ; 
+ 256   :  3ad87 ; 
+ 257   :  3adad ; 
+ 258   :  3add4 ; 
+ 259   :  3adfb ; 
+ 25a   :  3ae21 ; 
+ 25b   :  3ae49 ; 
+ 25c   :  3ae70 ; 
+ 25d   :  3ae97 ; 
+ 25e   :  3aebe ; 
+ 25f   :  3aee6 ; 
+ 260   :  3af0e ; 
+ 261   :  3af36 ; 
+ 262   :  3af5e ; 
+ 263   :  3af86 ; 
+ 264   :  3afae ; 
+ 265   :  3afd7 ; 
+ 266   :  3afff ; 
+ 267   :  3b028 ; 
+ 268   :  3b051 ; 
+ 269   :  3b07a ; 
+ 26a   :  3b0a3 ; 
+ 26b   :  3b0cc ; 
+ 26c   :  3b0f6 ; 
+ 26d   :  3b11f ; 
+ 26e   :  3b149 ; 
+ 26f   :  3b173 ; 
+ 270   :  3b19d ; 
+ 271   :  3b1c7 ; 
+ 272   :  3b1f1 ; 
+ 273   :  3b21b ; 
+ 274   :  3b246 ; 
+ 275   :  3b270 ; 
+ 276   :  3b29b ; 
+ 277   :  3b2c6 ; 
+ 278   :  3b2f1 ; 
+ 279   :  3b31c ; 
+ 27a   :  3b347 ; 
+ 27b   :  3b373 ; 
+ 27c   :  3b39e ; 
+ 27d   :  3b3ca ; 
+ 27e   :  3b3f6 ; 
+ 27f   :  3b421 ; 
+ 280   :  3b44d ; 
+ 281   :  3b479 ; 
+ 282   :  3b4a6 ; 
+ 283   :  3b4d2 ; 
+ 284   :  3b4ff ; 
+ 285   :  3b52b ; 
+ 286   :  3b558 ; 
+ 287   :  3b585 ; 
+ 288   :  3b5b2 ; 
+ 289   :  3b5df ; 
+ 28a   :  3b60c ; 
+ 28b   :  3b639 ; 
+ 28c   :  3b667 ; 
+ 28d   :  3b694 ; 
+ 28e   :  3b6c2 ; 
+ 28f   :  3b6f0 ; 
+ 290   :  3b71e ; 
+ 291   :  3b74b ; 
+ 292   :  3b77a ; 
+ 293   :  3b7a8 ; 
+ 294   :  3b7d6 ; 
+ 295   :  3b805 ; 
+ 296   :  3b833 ; 
+ 297   :  3b862 ; 
+ 298   :  3b891 ; 
+ 299   :  3b8bf ; 
+ 29a   :  3b8ee ; 
+ 29b   :  3b91d ; 
+ 29c   :  3b94d ; 
+ 29d   :  3b97c ; 
+ 29e   :  3b9ab ; 
+ 29f   :  3b9db ; 
+ 2a0   :  3ba0a ; 
+ 2a1   :  3ba3a ; 
+ 2a2   :  3ba6a ; 
+ 2a3   :  3ba9a ; 
+ 2a4   :  3baca ; 
+ 2a5   :  3bafa ; 
+ 2a6   :  3bb2a ; 
+ 2a7   :  3bb5b ; 
+ 2a8   :  3bb8b ; 
+ 2a9   :  3bbbc ; 
+ 2aa   :  3bbec ; 
+ 2ab   :  3bc1d ; 
+ 2ac   :  3bc4e ; 
+ 2ad   :  3bc7f ; 
+ 2ae   :  3bcb0 ; 
+ 2af   :  3bce1 ; 
+ 2b0   :  3bd12 ; 
+ 2b1   :  3bd43 ; 
+ 2b2   :  3bd74 ; 
+ 2b3   :  3bda6 ; 
+ 2b4   :  3bdd7 ; 
+ 2b5   :  3be09 ; 
+ 2b6   :  3be3b ; 
+ 2b7   :  3be6d ; 
+ 2b8   :  3be9f ; 
+ 2b9   :  3bed1 ; 
+ 2ba   :  3bf03 ; 
+ 2bb   :  3bf35 ; 
+ 2bc   :  3bf67 ; 
+ 2bd   :  3bf99 ; 
+ 2be   :  3bfcc ; 
+ 2bf   :  3bffe ; 
+ 2c0   :  3c031 ; 
+ 2c1   :  3c064 ; 
+ 2c2   :  3c096 ; 
+ 2c3   :  3c0c9 ; 
+ 2c4   :  3c0fc ; 
+ 2c5   :  3c12f ; 
+ 2c6   :  3c162 ; 
+ 2c7   :  3c195 ; 
+ 2c8   :  3c1c9 ; 
+ 2c9   :  3c1fc ; 
+ 2ca   :  3c22f ; 
+ 2cb   :  3c263 ; 
+ 2cc   :  3c296 ; 
+ 2cd   :  3c2ca ; 
+ 2ce   :  3c2fe ; 
+ 2cf   :  3c331 ; 
+ 2d0   :  3c365 ; 
+ 2d1   :  3c399 ; 
+ 2d2   :  3c3cd ; 
+ 2d3   :  3c401 ; 
+ 2d4   :  3c435 ; 
+ 2d5   :  3c46a ; 
+ 2d6   :  3c49e ; 
+ 2d7   :  3c4d2 ; 
+ 2d8   :  3c507 ; 
+ 2d9   :  3c53b ; 
+ 2da   :  3c570 ; 
+ 2db   :  3c5a4 ; 
+ 2dc   :  3c5d9 ; 
+ 2dd   :  3c60e ; 
+ 2de   :  3c642 ; 
+ 2df   :  3c677 ; 
+ 2e0   :  3c6ac ; 
+ 2e1   :  3c6e1 ; 
+ 2e2   :  3c716 ; 
+ 2e3   :  3c74c ; 
+ 2e4   :  3c781 ; 
+ 2e5   :  3c7b6 ; 
+ 2e6   :  3c7eb ; 
+ 2e7   :  3c821 ; 
+ 2e8   :  3c856 ; 
+ 2e9   :  3c88c ; 
+ 2ea   :  3c8c1 ; 
+ 2eb   :  3c8f7 ; 
+ 2ec   :  3c92c ; 
+ 2ed   :  3c962 ; 
+ 2ee   :  3c998 ; 
+ 2ef   :  3c9ce ; 
+ 2f0   :  3ca04 ; 
+ 2f1   :  3ca3a ; 
+ 2f2   :  3ca70 ; 
+ 2f3   :  3caa6 ; 
+ 2f4   :  3cadc ; 
+ 2f5   :  3cb12 ; 
+ 2f6   :  3cb48 ; 
+ 2f7   :  3cb7e ; 
+ 2f8   :  3cbb5 ; 
+ 2f9   :  3cbeb ; 
+ 2fa   :  3cc21 ; 
+ 2fb   :  3cc58 ; 
+ 2fc   :  3cc8e ; 
+ 2fd   :  3ccc5 ; 
+ 2fe   :  3ccfb ; 
+ 2ff   :  3cd32 ; 
+ 300   :  3cd69 ; 
+ 301   :  3cd9f ; 
+ 302   :  3cdd6 ; 
+ 303   :  3ce0d ; 
+ 304   :  3ce44 ; 
+ 305   :  3ce7b ; 
+ 306   :  3ceb2 ; 
+ 307   :  3cee9 ; 
+ 308   :  3cf20 ; 
+ 309   :  3cf57 ; 
+ 30a   :  3cf8e ; 
+ 30b   :  3cfc5 ; 
+ 30c   :  3cffc ; 
+ 30d   :  3d033 ; 
+ 30e   :  3d06b ; 
+ 30f   :  3d0a2 ; 
+ 310   :  3d0d9 ; 
+ 311   :  3d111 ; 
+ 312   :  3d148 ; 
+ 313   :  3d17f ; 
+ 314   :  3d1b7 ; 
+ 315   :  3d1ee ; 
+ 316   :  3d226 ; 
+ 317   :  3d25d ; 
+ 318   :  3d295 ; 
+ 319   :  3d2cd ; 
+ 31a   :  3d304 ; 
+ 31b   :  3d33c ; 
+ 31c   :  3d373 ; 
+ 31d   :  3d3ab ; 
+ 31e   :  3d3e3 ; 
+ 31f   :  3d41b ; 
+ 320   :  3d453 ; 
+ 321   :  3d48a ; 
+ 322   :  3d4c2 ; 
+ 323   :  3d4fa ; 
+ 324   :  3d532 ; 
+ 325   :  3d56a ; 
+ 326   :  3d5a2 ; 
+ 327   :  3d5da ; 
+ 328   :  3d612 ; 
+ 329   :  3d64a ; 
+ 32a   :  3d682 ; 
+ 32b   :  3d6ba ; 
+ 32c   :  3d6f2 ; 
+ 32d   :  3d72a ; 
+ 32e   :  3d762 ; 
+ 32f   :  3d79a ; 
+ 330   :  3d7d2 ; 
+ 331   :  3d80b ; 
+ 332   :  3d843 ; 
+ 333   :  3d87b ; 
+ 334   :  3d8b3 ; 
+ 335   :  3d8eb ; 
+ 336   :  3d924 ; 
+ 337   :  3d95c ; 
+ 338   :  3d994 ; 
+ 339   :  3d9cc ; 
+ 33a   :  3da05 ; 
+ 33b   :  3da3d ; 
+ 33c   :  3da75 ; 
+ 33d   :  3daad ; 
+ 33e   :  3dae6 ; 
+ 33f   :  3db1e ; 
+ 340   :  3db56 ; 
+ 341   :  3db8f ; 
+ 342   :  3dbc7 ; 
+ 343   :  3dbff ; 
+ 344   :  3dc38 ; 
+ 345   :  3dc70 ; 
+ 346   :  3dca9 ; 
+ 347   :  3dce1 ; 
+ 348   :  3dd19 ; 
+ 349   :  3dd52 ; 
+ 34a   :  3dd8a ; 
+ 34b   :  3ddc2 ; 
+ 34c   :  3ddfb ; 
+ 34d   :  3de33 ; 
+ 34e   :  3de6c ; 
+ 34f   :  3dea4 ; 
+ 350   :  3dedc ; 
+ 351   :  3df15 ; 
+ 352   :  3df4d ; 
+ 353   :  3df85 ; 
+ 354   :  3dfbe ; 
+ 355   :  3dff6 ; 
+ 356   :  3e02f ; 
+ 357   :  3e067 ; 
+ 358   :  3e09f ; 
+ 359   :  3e0d8 ; 
+ 35a   :  3e110 ; 
+ 35b   :  3e148 ; 
+ 35c   :  3e181 ; 
+ 35d   :  3e1b9 ; 
+ 35e   :  3e1f1 ; 
+ 35f   :  3e22a ; 
+ 360   :  3e262 ; 
+ 361   :  3e29a ; 
+ 362   :  3e2d2 ; 
+ 363   :  3e30b ; 
+ 364   :  3e343 ; 
+ 365   :  3e37b ; 
+ 366   :  3e3b3 ; 
+ 367   :  3e3ec ; 
+ 368   :  3e424 ; 
+ 369   :  3e45c ; 
+ 36a   :  3e494 ; 
+ 36b   :  3e4cc ; 
+ 36c   :  3e504 ; 
+ 36d   :  3e53d ; 
+ 36e   :  3e575 ; 
+ 36f   :  3e5ad ; 
+ 370   :  3e5e5 ; 
+ 371   :  3e61d ; 
+ 372   :  3e655 ; 
+ 373   :  3e68d ; 
+ 374   :  3e6c5 ; 
+ 375   :  3e6fd ; 
+ 376   :  3e735 ; 
+ 377   :  3e76d ; 
+ 378   :  3e7a5 ; 
+ 379   :  3e7dd ; 
+ 37a   :  3e814 ; 
+ 37b   :  3e84c ; 
+ 37c   :  3e884 ; 
+ 37d   :  3e8bc ; 
+ 37e   :  3e8f4 ; 
+ 37f   :  3e92b ; 
+ 380   :  3e963 ; 
+ 381   :  3e99b ; 
+ 382   :  3e9d2 ; 
+ 383   :  3ea0a ; 
+ 384   :  3ea42 ; 
+ 385   :  3ea79 ; 
+ 386   :  3eab1 ; 
+ 387   :  3eae8 ; 
+ 388   :  3eb20 ; 
+ 389   :  3eb57 ; 
+ 38a   :  3eb8f ; 
+ 38b   :  3ebc6 ; 
+ 38c   :  3ebfd ; 
+ 38d   :  3ec35 ; 
+ 38e   :  3ec6c ; 
+ 38f   :  3eca3 ; 
+ 390   :  3ecdb ; 
+ 391   :  3ed12 ; 
+ 392   :  3ed49 ; 
+ 393   :  3ed80 ; 
+ 394   :  3edb7 ; 
+ 395   :  3edee ; 
+ 396   :  3ee25 ; 
+ 397   :  3ee5c ; 
+ 398   :  3ee93 ; 
+ 399   :  3eeca ; 
+ 39a   :  3ef01 ; 
+ 39b   :  3ef38 ; 
+ 39c   :  3ef6e ; 
+ 39d   :  3efa5 ; 
+ 39e   :  3efdc ; 
+ 39f   :  3f013 ; 
+ 3a0   :  3f049 ; 
+ 3a1   :  3f080 ; 
+ 3a2   :  3f0b6 ; 
+ 3a3   :  3f0ed ; 
+ 3a4   :  3f123 ; 
+ 3a5   :  3f15a ; 
+ 3a6   :  3f190 ; 
+ 3a7   :  3f1c6 ; 
+ 3a8   :  3f1fd ; 
+ 3a9   :  3f233 ; 
+ 3aa   :  3f269 ; 
+ 3ab   :  3f29f ; 
+ 3ac   :  3f2d5 ; 
+ 3ad   :  3f30b ; 
+ 3ae   :  3f341 ; 
+ 3af   :  3f377 ; 
+ 3b0   :  3f3ad ; 
+ 3b1   :  3f3e3 ; 
+ 3b2   :  3f419 ; 
+ 3b3   :  3f44f ; 
+ 3b4   :  3f484 ; 
+ 3b5   :  3f4ba ; 
+ 3b6   :  3f4ef ; 
+ 3b7   :  3f525 ; 
+ 3b8   :  3f55a ; 
+ 3b9   :  3f590 ; 
+ 3ba   :  3f5c5 ; 
+ 3bb   :  3f5fb ; 
+ 3bc   :  3f630 ; 
+ 3bd   :  3f665 ; 
+ 3be   :  3f69a ; 
+ 3bf   :  3f6cf ; 
+ 3c0   :  3f704 ; 
+ 3c1   :  3f739 ; 
+ 3c2   :  3f76e ; 
+ 3c3   :  3f7a3 ; 
+ 3c4   :  3f7d8 ; 
+ 3c5   :  3f80d ; 
+ 3c6   :  3f842 ; 
+ 3c7   :  3f876 ; 
+ 3c8   :  3f8ab ; 
+ 3c9   :  3f8df ; 
+ 3ca   :  3f914 ; 
+ 3cb   :  3f948 ; 
+ 3cc   :  3f97c ; 
+ 3cd   :  3f9b1 ; 
+ 3ce   :  3f9e5 ; 
+ 3cf   :  3fa19 ; 
+ 3d0   :  3fa4d ; 
+ 3d1   :  3fa81 ; 
+ 3d2   :  3fab5 ; 
+ 3d3   :  3fae9 ; 
+ 3d4   :  3fb1d ; 
+ 3d5   :  3fb51 ; 
+ 3d6   :  3fb84 ; 
+ 3d7   :  3fbb8 ; 
+ 3d8   :  3fbec ; 
+ 3d9   :  3fc1f ; 
+ 3da   :  3fc53 ; 
+ 3db   :  3fc86 ; 
+ 3dc   :  3fcb9 ; 
+ 3dd   :  3fced ; 
+ 3de   :  3fd20 ; 
+ 3df   :  3fd53 ; 
+ 3e0   :  3fd86 ; 
+ 3e1   :  3fdb9 ; 
+ 3e2   :  3fdec ; 
+ 3e3   :  3fe1f ; 
+ 3e4   :  3fe51 ; 
+ 3e5   :  3fe84 ; 
+ 3e6   :  3feb7 ; 
+ 3e7   :  3fee9 ; 
+ 3e8   :  3ff1c ; 
+ 3e9   :  3ff4e ; 
+ 3ea   :  3ff80 ; 
+ 3eb   :  3ffb3 ; 
+ 3ec   :  3ffe5 ; 
+ 3ed   :  17 ; 
+ 3ee   :  49 ; 
+ 3ef   :  7b ; 
+ 3f0   :  ad ; 
+ 3f1   :  df ; 
+ 3f2   :  110 ; 
+ 3f3   :  142 ; 
+ 3f4   :  174 ; 
+ 3f5   :  1a5 ; 
+ 3f6   :  1d6 ; 
+ 3f7   :  208 ; 
+ 3f8   :  239 ; 
+ 3f9   :  26a ; 
+ 3fa   :  29b ; 
+ 3fb   :  2cc ; 
+ 3fc   :  2fd ; 
+ 3fd   :  32e ; 
+ 3fe   :  35f ; 
+ 3ff   :  390 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_7.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_7.mif
new file mode 100644
index 0000000000000000000000000000000000000000..88a1201055d483286cc97e3465cffa2189ff5a13
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_7.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  1ffff ; 
+ 1   :  1ffff ; 
+ 2   :  1fffe ; 
+ 3   :  1fffc ; 
+ 4   :  1fffb ; 
+ 5   :  1fff8 ; 
+ 6   :  1fff6 ; 
+ 7   :  1fff3 ; 
+ 8   :  1ffef ; 
+ 9   :  1ffeb ; 
+ a   :  1ffe7 ; 
+ b   :  1ffe2 ; 
+ c   :  1ffdd ; 
+ d   :  1ffd7 ; 
+ e   :  1ffd1 ; 
+ f   :  1ffcb ; 
+ 10   :  1ffc4 ; 
+ 11   :  1ffbc ; 
+ 12   :  1ffb5 ; 
+ 13   :  1ffac ; 
+ 14   :  1ffa4 ; 
+ 15   :  1ff9a ; 
+ 16   :  1ff91 ; 
+ 17   :  1ff87 ; 
+ 18   :  1ff7c ; 
+ 19   :  1ff72 ; 
+ 1a   :  1ff66 ; 
+ 1b   :  1ff5a ; 
+ 1c   :  1ff4e ; 
+ 1d   :  1ff42 ; 
+ 1e   :  1ff35 ; 
+ 1f   :  1ff27 ; 
+ 20   :  1ff19 ; 
+ 21   :  1ff0b ; 
+ 22   :  1fefc ; 
+ 23   :  1feed ; 
+ 24   :  1fedd ; 
+ 25   :  1fecd ; 
+ 26   :  1febd ; 
+ 27   :  1feac ; 
+ 28   :  1fe9a ; 
+ 29   :  1fe88 ; 
+ 2a   :  1fe76 ; 
+ 2b   :  1fe63 ; 
+ 2c   :  1fe50 ; 
+ 2d   :  1fe3d ; 
+ 2e   :  1fe29 ; 
+ 2f   :  1fe14 ; 
+ 30   :  1fe00 ; 
+ 31   :  1fdea ; 
+ 32   :  1fdd5 ; 
+ 33   :  1fdbe ; 
+ 34   :  1fda8 ; 
+ 35   :  1fd91 ; 
+ 36   :  1fd79 ; 
+ 37   :  1fd61 ; 
+ 38   :  1fd49 ; 
+ 39   :  1fd30 ; 
+ 3a   :  1fd17 ; 
+ 3b   :  1fcfe ; 
+ 3c   :  1fce4 ; 
+ 3d   :  1fcc9 ; 
+ 3e   :  1fcae ; 
+ 3f   :  1fc93 ; 
+ 40   :  1fc77 ; 
+ 41   :  1fc5b ; 
+ 42   :  1fc3e ; 
+ 43   :  1fc21 ; 
+ 44   :  1fc04 ; 
+ 45   :  1fbe6 ; 
+ 46   :  1fbc8 ; 
+ 47   :  1fba9 ; 
+ 48   :  1fb8a ; 
+ 49   :  1fb6a ; 
+ 4a   :  1fb4a ; 
+ 4b   :  1fb2a ; 
+ 4c   :  1fb09 ; 
+ 4d   :  1fae7 ; 
+ 4e   :  1fac6 ; 
+ 4f   :  1faa4 ; 
+ 50   :  1fa81 ; 
+ 51   :  1fa5e ; 
+ 52   :  1fa3a ; 
+ 53   :  1fa17 ; 
+ 54   :  1f9f2 ; 
+ 55   :  1f9ce ; 
+ 56   :  1f9a8 ; 
+ 57   :  1f983 ; 
+ 58   :  1f95d ; 
+ 59   :  1f936 ; 
+ 5a   :  1f90f ; 
+ 5b   :  1f8e8 ; 
+ 5c   :  1f8c0 ; 
+ 5d   :  1f898 ; 
+ 5e   :  1f870 ; 
+ 5f   :  1f847 ; 
+ 60   :  1f81d ; 
+ 61   :  1f7f4 ; 
+ 62   :  1f7c9 ; 
+ 63   :  1f79f ; 
+ 64   :  1f774 ; 
+ 65   :  1f748 ; 
+ 66   :  1f71c ; 
+ 67   :  1f6f0 ; 
+ 68   :  1f6c3 ; 
+ 69   :  1f696 ; 
+ 6a   :  1f668 ; 
+ 6b   :  1f63a ; 
+ 6c   :  1f60c ; 
+ 6d   :  1f5dd ; 
+ 6e   :  1f5ae ; 
+ 6f   :  1f57e ; 
+ 70   :  1f54e ; 
+ 71   :  1f51d ; 
+ 72   :  1f4ec ; 
+ 73   :  1f4bb ; 
+ 74   :  1f489 ; 
+ 75   :  1f457 ; 
+ 76   :  1f424 ; 
+ 77   :  1f3f1 ; 
+ 78   :  1f3be ; 
+ 79   :  1f38a ; 
+ 7a   :  1f355 ; 
+ 7b   :  1f321 ; 
+ 7c   :  1f2ec ; 
+ 7d   :  1f2b6 ; 
+ 7e   :  1f280 ; 
+ 7f   :  1f24a ; 
+ 80   :  1f213 ; 
+ 81   :  1f1dc ; 
+ 82   :  1f1a4 ; 
+ 83   :  1f16c ; 
+ 84   :  1f134 ; 
+ 85   :  1f0fb ; 
+ 86   :  1f0c1 ; 
+ 87   :  1f088 ; 
+ 88   :  1f04e ; 
+ 89   :  1f013 ; 
+ 8a   :  1efd8 ; 
+ 8b   :  1ef9d ; 
+ 8c   :  1ef61 ; 
+ 8d   :  1ef25 ; 
+ 8e   :  1eee9 ; 
+ 8f   :  1eeac ; 
+ 90   :  1ee6e ; 
+ 91   :  1ee30 ; 
+ 92   :  1edf2 ; 
+ 93   :  1edb4 ; 
+ 94   :  1ed75 ; 
+ 95   :  1ed35 ; 
+ 96   :  1ecf6 ; 
+ 97   :  1ecb5 ; 
+ 98   :  1ec75 ; 
+ 99   :  1ec34 ; 
+ 9a   :  1ebf2 ; 
+ 9b   :  1ebb1 ; 
+ 9c   :  1eb6e ; 
+ 9d   :  1eb2c ; 
+ 9e   :  1eae9 ; 
+ 9f   :  1eaa5 ; 
+ a0   :  1ea61 ; 
+ a1   :  1ea1d ; 
+ a2   :  1e9d9 ; 
+ a3   :  1e994 ; 
+ a4   :  1e94e ; 
+ a5   :  1e908 ; 
+ a6   :  1e8c2 ; 
+ a7   :  1e87b ; 
+ a8   :  1e834 ; 
+ a9   :  1e7ed ; 
+ aa   :  1e7a5 ; 
+ ab   :  1e75d ; 
+ ac   :  1e714 ; 
+ ad   :  1e6cb ; 
+ ae   :  1e682 ; 
+ af   :  1e638 ; 
+ b0   :  1e5ee ; 
+ b1   :  1e5a3 ; 
+ b2   :  1e558 ; 
+ b3   :  1e50d ; 
+ b4   :  1e4c1 ; 
+ b5   :  1e475 ; 
+ b6   :  1e429 ; 
+ b7   :  1e3dc ; 
+ b8   :  1e38e ; 
+ b9   :  1e341 ; 
+ ba   :  1e2f3 ; 
+ bb   :  1e2a4 ; 
+ bc   :  1e255 ; 
+ bd   :  1e206 ; 
+ be   :  1e1b6 ; 
+ bf   :  1e166 ; 
+ c0   :  1e116 ; 
+ c1   :  1e0c5 ; 
+ c2   :  1e074 ; 
+ c3   :  1e022 ; 
+ c4   :  1dfd0 ; 
+ c5   :  1df7e ; 
+ c6   :  1df2b ; 
+ c7   :  1ded8 ; 
+ c8   :  1de84 ; 
+ c9   :  1de31 ; 
+ ca   :  1dddc ; 
+ cb   :  1dd88 ; 
+ cc   :  1dd33 ; 
+ cd   :  1dcdd ; 
+ ce   :  1dc87 ; 
+ cf   :  1dc31 ; 
+ d0   :  1dbdb ; 
+ d1   :  1db84 ; 
+ d2   :  1db2c ; 
+ d3   :  1dad5 ; 
+ d4   :  1da7d ; 
+ d5   :  1da24 ; 
+ d6   :  1d9cc ; 
+ d7   :  1d972 ; 
+ d8   :  1d919 ; 
+ d9   :  1d8bf ; 
+ da   :  1d865 ; 
+ db   :  1d80a ; 
+ dc   :  1d7af ; 
+ dd   :  1d753 ; 
+ de   :  1d6f8 ; 
+ df   :  1d69b ; 
+ e0   :  1d63f ; 
+ e1   :  1d5e2 ; 
+ e2   :  1d585 ; 
+ e3   :  1d527 ; 
+ e4   :  1d4c9 ; 
+ e5   :  1d46b ; 
+ e6   :  1d40c ; 
+ e7   :  1d3ad ; 
+ e8   :  1d34e ; 
+ e9   :  1d2ee ; 
+ ea   :  1d28e ; 
+ eb   :  1d22d ; 
+ ec   :  1d1cc ; 
+ ed   :  1d16b ; 
+ ee   :  1d109 ; 
+ ef   :  1d0a7 ; 
+ f0   :  1d045 ; 
+ f1   :  1cfe2 ; 
+ f2   :  1cf7f ; 
+ f3   :  1cf1c ; 
+ f4   :  1ceb8 ; 
+ f5   :  1ce54 ; 
+ f6   :  1cdef ; 
+ f7   :  1cd8a ; 
+ f8   :  1cd25 ; 
+ f9   :  1ccbf ; 
+ fa   :  1cc5a ; 
+ fb   :  1cbf3 ; 
+ fc   :  1cb8d ; 
+ fd   :  1cb26 ; 
+ fe   :  1cabe ; 
+ ff   :  1ca57 ; 
+ 100   :  1c9ef ; 
+ 101   :  1c986 ; 
+ 102   :  1c91e ; 
+ 103   :  1c8b4 ; 
+ 104   :  1c84b ; 
+ 105   :  1c7e1 ; 
+ 106   :  1c777 ; 
+ 107   :  1c70d ; 
+ 108   :  1c6a2 ; 
+ 109   :  1c637 ; 
+ 10a   :  1c5cb ; 
+ 10b   :  1c55f ; 
+ 10c   :  1c4f3 ; 
+ 10d   :  1c487 ; 
+ 10e   :  1c41a ; 
+ 10f   :  1c3ad ; 
+ 110   :  1c33f ; 
+ 111   :  1c2d1 ; 
+ 112   :  1c263 ; 
+ 113   :  1c1f4 ; 
+ 114   :  1c185 ; 
+ 115   :  1c116 ; 
+ 116   :  1c0a7 ; 
+ 117   :  1c037 ; 
+ 118   :  1bfc7 ; 
+ 119   :  1bf56 ; 
+ 11a   :  1bee5 ; 
+ 11b   :  1be74 ; 
+ 11c   :  1be02 ; 
+ 11d   :  1bd90 ; 
+ 11e   :  1bd1e ; 
+ 11f   :  1bcac ; 
+ 120   :  1bc39 ; 
+ 121   :  1bbc6 ; 
+ 122   :  1bb52 ; 
+ 123   :  1bade ; 
+ 124   :  1ba6a ; 
+ 125   :  1b9f5 ; 
+ 126   :  1b981 ; 
+ 127   :  1b90b ; 
+ 128   :  1b896 ; 
+ 129   :  1b820 ; 
+ 12a   :  1b7aa ; 
+ 12b   :  1b734 ; 
+ 12c   :  1b6bd ; 
+ 12d   :  1b646 ; 
+ 12e   :  1b5ce ; 
+ 12f   :  1b557 ; 
+ 130   :  1b4de ; 
+ 131   :  1b466 ; 
+ 132   :  1b3ed ; 
+ 133   :  1b374 ; 
+ 134   :  1b2fb ; 
+ 135   :  1b282 ; 
+ 136   :  1b208 ; 
+ 137   :  1b18d ; 
+ 138   :  1b113 ; 
+ 139   :  1b098 ; 
+ 13a   :  1b01d ; 
+ 13b   :  1afa1 ; 
+ 13c   :  1af26 ; 
+ 13d   :  1aea9 ; 
+ 13e   :  1ae2d ; 
+ 13f   :  1adb0 ; 
+ 140   :  1ad33 ; 
+ 141   :  1acb6 ; 
+ 142   :  1ac38 ; 
+ 143   :  1abba ; 
+ 144   :  1ab3c ; 
+ 145   :  1aabe ; 
+ 146   :  1aa3f ; 
+ 147   :  1a9c0 ; 
+ 148   :  1a940 ; 
+ 149   :  1a8c1 ; 
+ 14a   :  1a841 ; 
+ 14b   :  1a7c0 ; 
+ 14c   :  1a740 ; 
+ 14d   :  1a6bf ; 
+ 14e   :  1a63d ; 
+ 14f   :  1a5bc ; 
+ 150   :  1a53a ; 
+ 151   :  1a4b8 ; 
+ 152   :  1a436 ; 
+ 153   :  1a3b3 ; 
+ 154   :  1a330 ; 
+ 155   :  1a2ad ; 
+ 156   :  1a229 ; 
+ 157   :  1a1a6 ; 
+ 158   :  1a121 ; 
+ 159   :  1a09d ; 
+ 15a   :  1a018 ; 
+ 15b   :  19f93 ; 
+ 15c   :  19f0e ; 
+ 15d   :  19e89 ; 
+ 15e   :  19e03 ; 
+ 15f   :  19d7d ; 
+ 160   :  19cf6 ; 
+ 161   :  19c70 ; 
+ 162   :  19be9 ; 
+ 163   :  19b62 ; 
+ 164   :  19ada ; 
+ 165   :  19a52 ; 
+ 166   :  199ca ; 
+ 167   :  19942 ; 
+ 168   :  198b9 ; 
+ 169   :  19831 ; 
+ 16a   :  197a8 ; 
+ 16b   :  1971e ; 
+ 16c   :  19694 ; 
+ 16d   :  1960b ; 
+ 16e   :  19580 ; 
+ 16f   :  194f6 ; 
+ 170   :  1946b ; 
+ 171   :  193e0 ; 
+ 172   :  19355 ; 
+ 173   :  192c9 ; 
+ 174   :  1923e ; 
+ 175   :  191b2 ; 
+ 176   :  19125 ; 
+ 177   :  19099 ; 
+ 178   :  1900c ; 
+ 179   :  18f7f ; 
+ 17a   :  18ef1 ; 
+ 17b   :  18e64 ; 
+ 17c   :  18dd6 ; 
+ 17d   :  18d48 ; 
+ 17e   :  18cb9 ; 
+ 17f   :  18c2b ; 
+ 180   :  18b9c ; 
+ 181   :  18b0d ; 
+ 182   :  18a7d ; 
+ 183   :  189ee ; 
+ 184   :  1895e ; 
+ 185   :  188ce ; 
+ 186   :  1883d ; 
+ 187   :  187ad ; 
+ 188   :  1871c ; 
+ 189   :  1868b ; 
+ 18a   :  185f9 ; 
+ 18b   :  18568 ; 
+ 18c   :  184d6 ; 
+ 18d   :  18444 ; 
+ 18e   :  183b1 ; 
+ 18f   :  1831f ; 
+ 190   :  1828c ; 
+ 191   :  181f9 ; 
+ 192   :  18166 ; 
+ 193   :  180d2 ; 
+ 194   :  1803e ; 
+ 195   :  17faa ; 
+ 196   :  17f16 ; 
+ 197   :  17e82 ; 
+ 198   :  17ded ; 
+ 199   :  17d58 ; 
+ 19a   :  17cc3 ; 
+ 19b   :  17c2e ; 
+ 19c   :  17b98 ; 
+ 19d   :  17b02 ; 
+ 19e   :  17a6c ; 
+ 19f   :  179d6 ; 
+ 1a0   :  1793f ; 
+ 1a1   :  178a9 ; 
+ 1a2   :  17812 ; 
+ 1a3   :  1777a ; 
+ 1a4   :  176e3 ; 
+ 1a5   :  1764b ; 
+ 1a6   :  175b4 ; 
+ 1a7   :  1751b ; 
+ 1a8   :  17483 ; 
+ 1a9   :  173eb ; 
+ 1aa   :  17352 ; 
+ 1ab   :  172b9 ; 
+ 1ac   :  17220 ; 
+ 1ad   :  17187 ; 
+ 1ae   :  170ed ; 
+ 1af   :  17053 ; 
+ 1b0   :  16fb9 ; 
+ 1b1   :  16f1f ; 
+ 1b2   :  16e85 ; 
+ 1b3   :  16dea ; 
+ 1b4   :  16d4f ; 
+ 1b5   :  16cb4 ; 
+ 1b6   :  16c19 ; 
+ 1b7   :  16b7d ; 
+ 1b8   :  16ae2 ; 
+ 1b9   :  16a46 ; 
+ 1ba   :  169aa ; 
+ 1bb   :  1690e ; 
+ 1bc   :  16871 ; 
+ 1bd   :  167d5 ; 
+ 1be   :  16738 ; 
+ 1bf   :  1669b ; 
+ 1c0   :  165fd ; 
+ 1c1   :  16560 ; 
+ 1c2   :  164c2 ; 
+ 1c3   :  16425 ; 
+ 1c4   :  16387 ; 
+ 1c5   :  162e8 ; 
+ 1c6   :  1624a ; 
+ 1c7   :  161ab ; 
+ 1c8   :  1610d ; 
+ 1c9   :  1606e ; 
+ 1ca   :  15fce ; 
+ 1cb   :  15f2f ; 
+ 1cc   :  15e90 ; 
+ 1cd   :  15df0 ; 
+ 1ce   :  15d50 ; 
+ 1cf   :  15cb0 ; 
+ 1d0   :  15c10 ; 
+ 1d1   :  15b6f ; 
+ 1d2   :  15acf ; 
+ 1d3   :  15a2e ; 
+ 1d4   :  1598d ; 
+ 1d5   :  158ec ; 
+ 1d6   :  1584b ; 
+ 1d7   :  157a9 ; 
+ 1d8   :  15708 ; 
+ 1d9   :  15666 ; 
+ 1da   :  155c4 ; 
+ 1db   :  15522 ; 
+ 1dc   :  1547f ; 
+ 1dd   :  153dd ; 
+ 1de   :  1533a ; 
+ 1df   :  15297 ; 
+ 1e0   :  151f4 ; 
+ 1e1   :  15151 ; 
+ 1e2   :  150ae ; 
+ 1e3   :  1500a ; 
+ 1e4   :  14f67 ; 
+ 1e5   :  14ec3 ; 
+ 1e6   :  14e1f ; 
+ 1e7   :  14d7b ; 
+ 1e8   :  14cd6 ; 
+ 1e9   :  14c32 ; 
+ 1ea   :  14b8d ; 
+ 1eb   :  14ae9 ; 
+ 1ec   :  14a44 ; 
+ 1ed   :  1499f ; 
+ 1ee   :  148f9 ; 
+ 1ef   :  14854 ; 
+ 1f0   :  147af ; 
+ 1f1   :  14709 ; 
+ 1f2   :  14663 ; 
+ 1f3   :  145bd ; 
+ 1f4   :  14517 ; 
+ 1f5   :  14471 ; 
+ 1f6   :  143ca ; 
+ 1f7   :  14324 ; 
+ 1f8   :  1427d ; 
+ 1f9   :  141d6 ; 
+ 1fa   :  1412f ; 
+ 1fb   :  14088 ; 
+ 1fc   :  13fe1 ; 
+ 1fd   :  13f3a ; 
+ 1fe   :  13e92 ; 
+ 1ff   :  13dea ; 
+ 200   :  13d43 ; 
+ 201   :  13c9b ; 
+ 202   :  13bf3 ; 
+ 203   :  13b4b ; 
+ 204   :  13aa2 ; 
+ 205   :  139fa ; 
+ 206   :  13951 ; 
+ 207   :  138a8 ; 
+ 208   :  13800 ; 
+ 209   :  13757 ; 
+ 20a   :  136ae ; 
+ 20b   :  13604 ; 
+ 20c   :  1355b ; 
+ 20d   :  134b2 ; 
+ 20e   :  13408 ; 
+ 20f   :  1335e ; 
+ 210   :  132b5 ; 
+ 211   :  1320b ; 
+ 212   :  13161 ; 
+ 213   :  130b7 ; 
+ 214   :  1300c ; 
+ 215   :  12f62 ; 
+ 216   :  12eb7 ; 
+ 217   :  12e0d ; 
+ 218   :  12d62 ; 
+ 219   :  12cb7 ; 
+ 21a   :  12c0c ; 
+ 21b   :  12b61 ; 
+ 21c   :  12ab6 ; 
+ 21d   :  12a0b ; 
+ 21e   :  1295f ; 
+ 21f   :  128b4 ; 
+ 220   :  12808 ; 
+ 221   :  1275d ; 
+ 222   :  126b1 ; 
+ 223   :  12605 ; 
+ 224   :  12559 ; 
+ 225   :  124ad ; 
+ 226   :  12401 ; 
+ 227   :  12355 ; 
+ 228   :  122a8 ; 
+ 229   :  121fc ; 
+ 22a   :  1214f ; 
+ 22b   :  120a3 ; 
+ 22c   :  11ff6 ; 
+ 22d   :  11f49 ; 
+ 22e   :  11e9c ; 
+ 22f   :  11def ; 
+ 230   :  11d42 ; 
+ 231   :  11c95 ; 
+ 232   :  11be8 ; 
+ 233   :  11b3a ; 
+ 234   :  11a8d ; 
+ 235   :  119df ; 
+ 236   :  11932 ; 
+ 237   :  11884 ; 
+ 238   :  117d6 ; 
+ 239   :  11728 ; 
+ 23a   :  1167a ; 
+ 23b   :  115cc ; 
+ 23c   :  1151e ; 
+ 23d   :  11470 ; 
+ 23e   :  113c2 ; 
+ 23f   :  11314 ; 
+ 240   :  11265 ; 
+ 241   :  111b7 ; 
+ 242   :  11109 ; 
+ 243   :  1105a ; 
+ 244   :  10fab ; 
+ 245   :  10efd ; 
+ 246   :  10e4e ; 
+ 247   :  10d9f ; 
+ 248   :  10cf0 ; 
+ 249   :  10c41 ; 
+ 24a   :  10b92 ; 
+ 24b   :  10ae3 ; 
+ 24c   :  10a34 ; 
+ 24d   :  10985 ; 
+ 24e   :  108d5 ; 
+ 24f   :  10826 ; 
+ 250   :  10777 ; 
+ 251   :  106c7 ; 
+ 252   :  10618 ; 
+ 253   :  10568 ; 
+ 254   :  104b9 ; 
+ 255   :  10409 ; 
+ 256   :  10359 ; 
+ 257   :  102aa ; 
+ 258   :  101fa ; 
+ 259   :  1014a ; 
+ 25a   :  1009a ; 
+ 25b   :  ffea ; 
+ 25c   :  ff3a ; 
+ 25d   :  fe8a ; 
+ 25e   :  fdda ; 
+ 25f   :  fd2a ; 
+ 260   :  fc7a ; 
+ 261   :  fbca ; 
+ 262   :  fb1a ; 
+ 263   :  fa69 ; 
+ 264   :  f9b9 ; 
+ 265   :  f909 ; 
+ 266   :  f859 ; 
+ 267   :  f7a8 ; 
+ 268   :  f6f8 ; 
+ 269   :  f647 ; 
+ 26a   :  f597 ; 
+ 26b   :  f4e6 ; 
+ 26c   :  f436 ; 
+ 26d   :  f385 ; 
+ 26e   :  f2d5 ; 
+ 26f   :  f224 ; 
+ 270   :  f173 ; 
+ 271   :  f0c3 ; 
+ 272   :  f012 ; 
+ 273   :  ef61 ; 
+ 274   :  eeb1 ; 
+ 275   :  ee00 ; 
+ 276   :  ed4f ; 
+ 277   :  ec9e ; 
+ 278   :  ebed ; 
+ 279   :  eb3d ; 
+ 27a   :  ea8c ; 
+ 27b   :  e9db ; 
+ 27c   :  e92a ; 
+ 27d   :  e879 ; 
+ 27e   :  e7c8 ; 
+ 27f   :  e718 ; 
+ 280   :  e667 ; 
+ 281   :  e5b6 ; 
+ 282   :  e505 ; 
+ 283   :  e454 ; 
+ 284   :  e3a3 ; 
+ 285   :  e2f2 ; 
+ 286   :  e241 ; 
+ 287   :  e190 ; 
+ 288   :  e0df ; 
+ 289   :  e02e ; 
+ 28a   :  df7d ; 
+ 28b   :  decc ; 
+ 28c   :  de1c ; 
+ 28d   :  dd6b ; 
+ 28e   :  dcba ; 
+ 28f   :  dc09 ; 
+ 290   :  db58 ; 
+ 291   :  daa7 ; 
+ 292   :  d9f6 ; 
+ 293   :  d945 ; 
+ 294   :  d894 ; 
+ 295   :  d7e3 ; 
+ 296   :  d733 ; 
+ 297   :  d682 ; 
+ 298   :  d5d1 ; 
+ 299   :  d520 ; 
+ 29a   :  d46f ; 
+ 29b   :  d3bf ; 
+ 29c   :  d30e ; 
+ 29d   :  d25d ; 
+ 29e   :  d1ac ; 
+ 29f   :  d0fc ; 
+ 2a0   :  d04b ; 
+ 2a1   :  cf9a ; 
+ 2a2   :  ceea ; 
+ 2a3   :  ce39 ; 
+ 2a4   :  cd89 ; 
+ 2a5   :  ccd8 ; 
+ 2a6   :  cc27 ; 
+ 2a7   :  cb77 ; 
+ 2a8   :  cac7 ; 
+ 2a9   :  ca16 ; 
+ 2aa   :  c966 ; 
+ 2ab   :  c8b5 ; 
+ 2ac   :  c805 ; 
+ 2ad   :  c755 ; 
+ 2ae   :  c6a4 ; 
+ 2af   :  c5f4 ; 
+ 2b0   :  c544 ; 
+ 2b1   :  c494 ; 
+ 2b2   :  c3e4 ; 
+ 2b3   :  c334 ; 
+ 2b4   :  c284 ; 
+ 2b5   :  c1d4 ; 
+ 2b6   :  c124 ; 
+ 2b7   :  c074 ; 
+ 2b8   :  bfc4 ; 
+ 2b9   :  bf14 ; 
+ 2ba   :  be64 ; 
+ 2bb   :  bdb5 ; 
+ 2bc   :  bd05 ; 
+ 2bd   :  bc55 ; 
+ 2be   :  bba6 ; 
+ 2bf   :  baf6 ; 
+ 2c0   :  ba47 ; 
+ 2c1   :  b997 ; 
+ 2c2   :  b8e8 ; 
+ 2c3   :  b839 ; 
+ 2c4   :  b789 ; 
+ 2c5   :  b6da ; 
+ 2c6   :  b62b ; 
+ 2c7   :  b57c ; 
+ 2c8   :  b4cd ; 
+ 2c9   :  b41e ; 
+ 2ca   :  b36f ; 
+ 2cb   :  b2c0 ; 
+ 2cc   :  b211 ; 
+ 2cd   :  b163 ; 
+ 2ce   :  b0b4 ; 
+ 2cf   :  b005 ; 
+ 2d0   :  af57 ; 
+ 2d1   :  aea8 ; 
+ 2d2   :  adfa ; 
+ 2d3   :  ad4c ; 
+ 2d4   :  ac9e ; 
+ 2d5   :  abef ; 
+ 2d6   :  ab41 ; 
+ 2d7   :  aa93 ; 
+ 2d8   :  a9e5 ; 
+ 2d9   :  a937 ; 
+ 2da   :  a88a ; 
+ 2db   :  a7dc ; 
+ 2dc   :  a72e ; 
+ 2dd   :  a681 ; 
+ 2de   :  a5d3 ; 
+ 2df   :  a526 ; 
+ 2e0   :  a478 ; 
+ 2e1   :  a3cb ; 
+ 2e2   :  a31e ; 
+ 2e3   :  a271 ; 
+ 2e4   :  a1c4 ; 
+ 2e5   :  a117 ; 
+ 2e6   :  a06a ; 
+ 2e7   :  9fbe ; 
+ 2e8   :  9f11 ; 
+ 2e9   :  9e64 ; 
+ 2ea   :  9db8 ; 
+ 2eb   :  9d0b ; 
+ 2ec   :  9c5f ; 
+ 2ed   :  9bb3 ; 
+ 2ee   :  9b07 ; 
+ 2ef   :  9a5b ; 
+ 2f0   :  99af ; 
+ 2f1   :  9903 ; 
+ 2f2   :  9857 ; 
+ 2f3   :  97ac ; 
+ 2f4   :  9700 ; 
+ 2f5   :  9655 ; 
+ 2f6   :  95aa ; 
+ 2f7   :  94fe ; 
+ 2f8   :  9453 ; 
+ 2f9   :  93a8 ; 
+ 2fa   :  92fd ; 
+ 2fb   :  9253 ; 
+ 2fc   :  91a8 ; 
+ 2fd   :  90fd ; 
+ 2fe   :  9053 ; 
+ 2ff   :  8fa8 ; 
+ 300   :  8efe ; 
+ 301   :  8e54 ; 
+ 302   :  8daa ; 
+ 303   :  8d00 ; 
+ 304   :  8c56 ; 
+ 305   :  8bac ; 
+ 306   :  8b03 ; 
+ 307   :  8a59 ; 
+ 308   :  89b0 ; 
+ 309   :  8907 ; 
+ 30a   :  885e ; 
+ 30b   :  87b5 ; 
+ 30c   :  870c ; 
+ 30d   :  8663 ; 
+ 30e   :  85ba ; 
+ 30f   :  8512 ; 
+ 310   :  8469 ; 
+ 311   :  83c1 ; 
+ 312   :  8319 ; 
+ 313   :  8271 ; 
+ 314   :  81c9 ; 
+ 315   :  8121 ; 
+ 316   :  807a ; 
+ 317   :  7fd2 ; 
+ 318   :  7f2b ; 
+ 319   :  7e83 ; 
+ 31a   :  7ddc ; 
+ 31b   :  7d35 ; 
+ 31c   :  7c8e ; 
+ 31d   :  7be8 ; 
+ 31e   :  7b41 ; 
+ 31f   :  7a9b ; 
+ 320   :  79f4 ; 
+ 321   :  794e ; 
+ 322   :  78a8 ; 
+ 323   :  7802 ; 
+ 324   :  775c ; 
+ 325   :  76b7 ; 
+ 326   :  7611 ; 
+ 327   :  756c ; 
+ 328   :  74c7 ; 
+ 329   :  7422 ; 
+ 32a   :  737d ; 
+ 32b   :  72d8 ; 
+ 32c   :  7233 ; 
+ 32d   :  718f ; 
+ 32e   :  70ea ; 
+ 32f   :  7046 ; 
+ 330   :  6fa2 ; 
+ 331   :  6efe ; 
+ 332   :  6e5b ; 
+ 333   :  6db7 ; 
+ 334   :  6d14 ; 
+ 335   :  6c70 ; 
+ 336   :  6bcd ; 
+ 337   :  6b2a ; 
+ 338   :  6a87 ; 
+ 339   :  69e5 ; 
+ 33a   :  6942 ; 
+ 33b   :  68a0 ; 
+ 33c   :  67fe ; 
+ 33d   :  675c ; 
+ 33e   :  66ba ; 
+ 33f   :  6618 ; 
+ 340   :  6576 ; 
+ 341   :  64d5 ; 
+ 342   :  6434 ; 
+ 343   :  6393 ; 
+ 344   :  62f2 ; 
+ 345   :  6251 ; 
+ 346   :  61b0 ; 
+ 347   :  6110 ; 
+ 348   :  6070 ; 
+ 349   :  5fd0 ; 
+ 34a   :  5f30 ; 
+ 34b   :  5e90 ; 
+ 34c   :  5df1 ; 
+ 34d   :  5d51 ; 
+ 34e   :  5cb2 ; 
+ 34f   :  5c13 ; 
+ 350   :  5b74 ; 
+ 351   :  5ad5 ; 
+ 352   :  5a37 ; 
+ 353   :  5998 ; 
+ 354   :  58fa ; 
+ 355   :  585c ; 
+ 356   :  57be ; 
+ 357   :  5721 ; 
+ 358   :  5683 ; 
+ 359   :  55e6 ; 
+ 35a   :  5549 ; 
+ 35b   :  54ac ; 
+ 35c   :  540f ; 
+ 35d   :  5373 ; 
+ 35e   :  52d6 ; 
+ 35f   :  523a ; 
+ 360   :  519e ; 
+ 361   :  5102 ; 
+ 362   :  5067 ; 
+ 363   :  4fcb ; 
+ 364   :  4f30 ; 
+ 365   :  4e95 ; 
+ 366   :  4dfa ; 
+ 367   :  4d5f ; 
+ 368   :  4cc5 ; 
+ 369   :  4c2a ; 
+ 36a   :  4b90 ; 
+ 36b   :  4af6 ; 
+ 36c   :  4a5d ; 
+ 36d   :  49c3 ; 
+ 36e   :  492a ; 
+ 36f   :  4891 ; 
+ 370   :  47f8 ; 
+ 371   :  475f ; 
+ 372   :  46c6 ; 
+ 373   :  462e ; 
+ 374   :  4596 ; 
+ 375   :  44fe ; 
+ 376   :  4466 ; 
+ 377   :  43ce ; 
+ 378   :  4337 ; 
+ 379   :  42a0 ; 
+ 37a   :  4209 ; 
+ 37b   :  4172 ; 
+ 37c   :  40dc ; 
+ 37d   :  4045 ; 
+ 37e   :  3faf ; 
+ 37f   :  3f19 ; 
+ 380   :  3e83 ; 
+ 381   :  3dee ; 
+ 382   :  3d59 ; 
+ 383   :  3cc3 ; 
+ 384   :  3c2f ; 
+ 385   :  3b9a ; 
+ 386   :  3b05 ; 
+ 387   :  3a71 ; 
+ 388   :  39dd ; 
+ 389   :  3949 ; 
+ 38a   :  38b6 ; 
+ 38b   :  3822 ; 
+ 38c   :  378f ; 
+ 38d   :  36fc ; 
+ 38e   :  3669 ; 
+ 38f   :  35d7 ; 
+ 390   :  3544 ; 
+ 391   :  34b2 ; 
+ 392   :  3420 ; 
+ 393   :  338f ; 
+ 394   :  32fd ; 
+ 395   :  326c ; 
+ 396   :  31db ; 
+ 397   :  314a ; 
+ 398   :  30b9 ; 
+ 399   :  3029 ; 
+ 39a   :  2f99 ; 
+ 39b   :  2f09 ; 
+ 39c   :  2e79 ; 
+ 39d   :  2dea ; 
+ 39e   :  2d5b ; 
+ 39f   :  2ccc ; 
+ 3a0   :  2c3d ; 
+ 3a1   :  2bae ; 
+ 3a2   :  2b20 ; 
+ 3a3   :  2a92 ; 
+ 3a4   :  2a04 ; 
+ 3a5   :  2976 ; 
+ 3a6   :  28e9 ; 
+ 3a7   :  285c ; 
+ 3a8   :  27cf ; 
+ 3a9   :  2742 ; 
+ 3aa   :  26b5 ; 
+ 3ab   :  2629 ; 
+ 3ac   :  259d ; 
+ 3ad   :  2511 ; 
+ 3ae   :  2486 ; 
+ 3af   :  23fa ; 
+ 3b0   :  236f ; 
+ 3b1   :  22e4 ; 
+ 3b2   :  225a ; 
+ 3b3   :  21cf ; 
+ 3b4   :  2145 ; 
+ 3b5   :  20bb ; 
+ 3b6   :  2032 ; 
+ 3b7   :  1fa8 ; 
+ 3b8   :  1f1f ; 
+ 3b9   :  1e96 ; 
+ 3ba   :  1e0d ; 
+ 3bb   :  1d85 ; 
+ 3bc   :  1cfd ; 
+ 3bd   :  1c75 ; 
+ 3be   :  1bed ; 
+ 3bf   :  1b65 ; 
+ 3c0   :  1ade ; 
+ 3c1   :  1a57 ; 
+ 3c2   :  19d0 ; 
+ 3c3   :  194a ; 
+ 3c4   :  18c4 ; 
+ 3c5   :  183e ; 
+ 3c6   :  17b8 ; 
+ 3c7   :  1732 ; 
+ 3c8   :  16ad ; 
+ 3c9   :  1628 ; 
+ 3ca   :  15a3 ; 
+ 3cb   :  151f ; 
+ 3cc   :  149a ; 
+ 3cd   :  1416 ; 
+ 3ce   :  1393 ; 
+ 3cf   :  130f ; 
+ 3d0   :  128c ; 
+ 3d1   :  1209 ; 
+ 3d2   :  1186 ; 
+ 3d3   :  1103 ; 
+ 3d4   :  1081 ; 
+ 3d5   :  fff ; 
+ 3d6   :  f7d ; 
+ 3d7   :  efc ; 
+ 3d8   :  e7b ; 
+ 3d9   :  dfa ; 
+ 3da   :  d79 ; 
+ 3db   :  cf9 ; 
+ 3dc   :  c78 ; 
+ 3dd   :  bf8 ; 
+ 3de   :  b79 ; 
+ 3df   :  af9 ; 
+ 3e0   :  a7a ; 
+ 3e1   :  9fb ; 
+ 3e2   :  97c ; 
+ 3e3   :  8fe ; 
+ 3e4   :  880 ; 
+ 3e5   :  802 ; 
+ 3e6   :  784 ; 
+ 3e7   :  707 ; 
+ 3e8   :  68a ; 
+ 3e9   :  60d ; 
+ 3ea   :  591 ; 
+ 3eb   :  514 ; 
+ 3ec   :  498 ; 
+ 3ed   :  41d ; 
+ 3ee   :  3a1 ; 
+ 3ef   :  326 ; 
+ 3f0   :  2ab ; 
+ 3f1   :  230 ; 
+ 3f2   :  1b6 ; 
+ 3f3   :  13c ; 
+ 3f4   :  c2 ; 
+ 3f5   :  48 ; 
+ 3f6   :  3ffcf ; 
+ 3f7   :  3ff56 ; 
+ 3f8   :  3fedd ; 
+ 3f9   :  3fe64 ; 
+ 3fa   :  3fdec ; 
+ 3fb   :  3fd74 ; 
+ 3fc   :  3fcfc ; 
+ 3fd   :  3fc85 ; 
+ 3fe   :  3fc0e ; 
+ 3ff   :  3fb97 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_8.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_8.mif
new file mode 100644
index 0000000000000000000000000000000000000000..a1decd202425656bb64ebdfb2aac222cc291974e
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_8.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3fb97 ; 
+ 1   :  3fc0e ; 
+ 2   :  3fc85 ; 
+ 3   :  3fcfc ; 
+ 4   :  3fd74 ; 
+ 5   :  3fdec ; 
+ 6   :  3fe64 ; 
+ 7   :  3fedd ; 
+ 8   :  3ff56 ; 
+ 9   :  3ffcf ; 
+ a   :  48 ; 
+ b   :  c2 ; 
+ c   :  13c ; 
+ d   :  1b6 ; 
+ e   :  230 ; 
+ f   :  2ab ; 
+ 10   :  326 ; 
+ 11   :  3a1 ; 
+ 12   :  41d ; 
+ 13   :  498 ; 
+ 14   :  514 ; 
+ 15   :  591 ; 
+ 16   :  60d ; 
+ 17   :  68a ; 
+ 18   :  707 ; 
+ 19   :  784 ; 
+ 1a   :  802 ; 
+ 1b   :  880 ; 
+ 1c   :  8fe ; 
+ 1d   :  97c ; 
+ 1e   :  9fb ; 
+ 1f   :  a7a ; 
+ 20   :  af9 ; 
+ 21   :  b79 ; 
+ 22   :  bf8 ; 
+ 23   :  c78 ; 
+ 24   :  cf9 ; 
+ 25   :  d79 ; 
+ 26   :  dfa ; 
+ 27   :  e7b ; 
+ 28   :  efc ; 
+ 29   :  f7d ; 
+ 2a   :  fff ; 
+ 2b   :  1081 ; 
+ 2c   :  1103 ; 
+ 2d   :  1186 ; 
+ 2e   :  1209 ; 
+ 2f   :  128c ; 
+ 30   :  130f ; 
+ 31   :  1393 ; 
+ 32   :  1416 ; 
+ 33   :  149a ; 
+ 34   :  151f ; 
+ 35   :  15a3 ; 
+ 36   :  1628 ; 
+ 37   :  16ad ; 
+ 38   :  1732 ; 
+ 39   :  17b8 ; 
+ 3a   :  183e ; 
+ 3b   :  18c4 ; 
+ 3c   :  194a ; 
+ 3d   :  19d0 ; 
+ 3e   :  1a57 ; 
+ 3f   :  1ade ; 
+ 40   :  1b65 ; 
+ 41   :  1bed ; 
+ 42   :  1c75 ; 
+ 43   :  1cfd ; 
+ 44   :  1d85 ; 
+ 45   :  1e0d ; 
+ 46   :  1e96 ; 
+ 47   :  1f1f ; 
+ 48   :  1fa8 ; 
+ 49   :  2032 ; 
+ 4a   :  20bb ; 
+ 4b   :  2145 ; 
+ 4c   :  21cf ; 
+ 4d   :  225a ; 
+ 4e   :  22e4 ; 
+ 4f   :  236f ; 
+ 50   :  23fa ; 
+ 51   :  2486 ; 
+ 52   :  2511 ; 
+ 53   :  259d ; 
+ 54   :  2629 ; 
+ 55   :  26b5 ; 
+ 56   :  2742 ; 
+ 57   :  27cf ; 
+ 58   :  285c ; 
+ 59   :  28e9 ; 
+ 5a   :  2976 ; 
+ 5b   :  2a04 ; 
+ 5c   :  2a92 ; 
+ 5d   :  2b20 ; 
+ 5e   :  2bae ; 
+ 5f   :  2c3d ; 
+ 60   :  2ccc ; 
+ 61   :  2d5b ; 
+ 62   :  2dea ; 
+ 63   :  2e79 ; 
+ 64   :  2f09 ; 
+ 65   :  2f99 ; 
+ 66   :  3029 ; 
+ 67   :  30b9 ; 
+ 68   :  314a ; 
+ 69   :  31db ; 
+ 6a   :  326c ; 
+ 6b   :  32fd ; 
+ 6c   :  338f ; 
+ 6d   :  3420 ; 
+ 6e   :  34b2 ; 
+ 6f   :  3544 ; 
+ 70   :  35d7 ; 
+ 71   :  3669 ; 
+ 72   :  36fc ; 
+ 73   :  378f ; 
+ 74   :  3822 ; 
+ 75   :  38b6 ; 
+ 76   :  3949 ; 
+ 77   :  39dd ; 
+ 78   :  3a71 ; 
+ 79   :  3b05 ; 
+ 7a   :  3b9a ; 
+ 7b   :  3c2f ; 
+ 7c   :  3cc3 ; 
+ 7d   :  3d59 ; 
+ 7e   :  3dee ; 
+ 7f   :  3e83 ; 
+ 80   :  3f19 ; 
+ 81   :  3faf ; 
+ 82   :  4045 ; 
+ 83   :  40dc ; 
+ 84   :  4172 ; 
+ 85   :  4209 ; 
+ 86   :  42a0 ; 
+ 87   :  4337 ; 
+ 88   :  43ce ; 
+ 89   :  4466 ; 
+ 8a   :  44fe ; 
+ 8b   :  4596 ; 
+ 8c   :  462e ; 
+ 8d   :  46c6 ; 
+ 8e   :  475f ; 
+ 8f   :  47f8 ; 
+ 90   :  4891 ; 
+ 91   :  492a ; 
+ 92   :  49c3 ; 
+ 93   :  4a5d ; 
+ 94   :  4af6 ; 
+ 95   :  4b90 ; 
+ 96   :  4c2a ; 
+ 97   :  4cc5 ; 
+ 98   :  4d5f ; 
+ 99   :  4dfa ; 
+ 9a   :  4e95 ; 
+ 9b   :  4f30 ; 
+ 9c   :  4fcb ; 
+ 9d   :  5067 ; 
+ 9e   :  5102 ; 
+ 9f   :  519e ; 
+ a0   :  523a ; 
+ a1   :  52d6 ; 
+ a2   :  5373 ; 
+ a3   :  540f ; 
+ a4   :  54ac ; 
+ a5   :  5549 ; 
+ a6   :  55e6 ; 
+ a7   :  5683 ; 
+ a8   :  5721 ; 
+ a9   :  57be ; 
+ aa   :  585c ; 
+ ab   :  58fa ; 
+ ac   :  5998 ; 
+ ad   :  5a37 ; 
+ ae   :  5ad5 ; 
+ af   :  5b74 ; 
+ b0   :  5c13 ; 
+ b1   :  5cb2 ; 
+ b2   :  5d51 ; 
+ b3   :  5df1 ; 
+ b4   :  5e90 ; 
+ b5   :  5f30 ; 
+ b6   :  5fd0 ; 
+ b7   :  6070 ; 
+ b8   :  6110 ; 
+ b9   :  61b0 ; 
+ ba   :  6251 ; 
+ bb   :  62f2 ; 
+ bc   :  6393 ; 
+ bd   :  6434 ; 
+ be   :  64d5 ; 
+ bf   :  6576 ; 
+ c0   :  6618 ; 
+ c1   :  66ba ; 
+ c2   :  675c ; 
+ c3   :  67fe ; 
+ c4   :  68a0 ; 
+ c5   :  6942 ; 
+ c6   :  69e5 ; 
+ c7   :  6a87 ; 
+ c8   :  6b2a ; 
+ c9   :  6bcd ; 
+ ca   :  6c70 ; 
+ cb   :  6d14 ; 
+ cc   :  6db7 ; 
+ cd   :  6e5b ; 
+ ce   :  6efe ; 
+ cf   :  6fa2 ; 
+ d0   :  7046 ; 
+ d1   :  70ea ; 
+ d2   :  718f ; 
+ d3   :  7233 ; 
+ d4   :  72d8 ; 
+ d5   :  737d ; 
+ d6   :  7422 ; 
+ d7   :  74c7 ; 
+ d8   :  756c ; 
+ d9   :  7611 ; 
+ da   :  76b7 ; 
+ db   :  775c ; 
+ dc   :  7802 ; 
+ dd   :  78a8 ; 
+ de   :  794e ; 
+ df   :  79f4 ; 
+ e0   :  7a9b ; 
+ e1   :  7b41 ; 
+ e2   :  7be8 ; 
+ e3   :  7c8e ; 
+ e4   :  7d35 ; 
+ e5   :  7ddc ; 
+ e6   :  7e83 ; 
+ e7   :  7f2b ; 
+ e8   :  7fd2 ; 
+ e9   :  807a ; 
+ ea   :  8121 ; 
+ eb   :  81c9 ; 
+ ec   :  8271 ; 
+ ed   :  8319 ; 
+ ee   :  83c1 ; 
+ ef   :  8469 ; 
+ f0   :  8512 ; 
+ f1   :  85ba ; 
+ f2   :  8663 ; 
+ f3   :  870c ; 
+ f4   :  87b5 ; 
+ f5   :  885e ; 
+ f6   :  8907 ; 
+ f7   :  89b0 ; 
+ f8   :  8a59 ; 
+ f9   :  8b03 ; 
+ fa   :  8bac ; 
+ fb   :  8c56 ; 
+ fc   :  8d00 ; 
+ fd   :  8daa ; 
+ fe   :  8e54 ; 
+ ff   :  8efe ; 
+ 100   :  8fa8 ; 
+ 101   :  9053 ; 
+ 102   :  90fd ; 
+ 103   :  91a8 ; 
+ 104   :  9253 ; 
+ 105   :  92fd ; 
+ 106   :  93a8 ; 
+ 107   :  9453 ; 
+ 108   :  94fe ; 
+ 109   :  95aa ; 
+ 10a   :  9655 ; 
+ 10b   :  9700 ; 
+ 10c   :  97ac ; 
+ 10d   :  9857 ; 
+ 10e   :  9903 ; 
+ 10f   :  99af ; 
+ 110   :  9a5b ; 
+ 111   :  9b07 ; 
+ 112   :  9bb3 ; 
+ 113   :  9c5f ; 
+ 114   :  9d0b ; 
+ 115   :  9db8 ; 
+ 116   :  9e64 ; 
+ 117   :  9f11 ; 
+ 118   :  9fbe ; 
+ 119   :  a06a ; 
+ 11a   :  a117 ; 
+ 11b   :  a1c4 ; 
+ 11c   :  a271 ; 
+ 11d   :  a31e ; 
+ 11e   :  a3cb ; 
+ 11f   :  a478 ; 
+ 120   :  a526 ; 
+ 121   :  a5d3 ; 
+ 122   :  a681 ; 
+ 123   :  a72e ; 
+ 124   :  a7dc ; 
+ 125   :  a88a ; 
+ 126   :  a937 ; 
+ 127   :  a9e5 ; 
+ 128   :  aa93 ; 
+ 129   :  ab41 ; 
+ 12a   :  abef ; 
+ 12b   :  ac9e ; 
+ 12c   :  ad4c ; 
+ 12d   :  adfa ; 
+ 12e   :  aea8 ; 
+ 12f   :  af57 ; 
+ 130   :  b005 ; 
+ 131   :  b0b4 ; 
+ 132   :  b163 ; 
+ 133   :  b211 ; 
+ 134   :  b2c0 ; 
+ 135   :  b36f ; 
+ 136   :  b41e ; 
+ 137   :  b4cd ; 
+ 138   :  b57c ; 
+ 139   :  b62b ; 
+ 13a   :  b6da ; 
+ 13b   :  b789 ; 
+ 13c   :  b839 ; 
+ 13d   :  b8e8 ; 
+ 13e   :  b997 ; 
+ 13f   :  ba47 ; 
+ 140   :  baf6 ; 
+ 141   :  bba6 ; 
+ 142   :  bc55 ; 
+ 143   :  bd05 ; 
+ 144   :  bdb5 ; 
+ 145   :  be64 ; 
+ 146   :  bf14 ; 
+ 147   :  bfc4 ; 
+ 148   :  c074 ; 
+ 149   :  c124 ; 
+ 14a   :  c1d4 ; 
+ 14b   :  c284 ; 
+ 14c   :  c334 ; 
+ 14d   :  c3e4 ; 
+ 14e   :  c494 ; 
+ 14f   :  c544 ; 
+ 150   :  c5f4 ; 
+ 151   :  c6a4 ; 
+ 152   :  c755 ; 
+ 153   :  c805 ; 
+ 154   :  c8b5 ; 
+ 155   :  c966 ; 
+ 156   :  ca16 ; 
+ 157   :  cac7 ; 
+ 158   :  cb77 ; 
+ 159   :  cc27 ; 
+ 15a   :  ccd8 ; 
+ 15b   :  cd89 ; 
+ 15c   :  ce39 ; 
+ 15d   :  ceea ; 
+ 15e   :  cf9a ; 
+ 15f   :  d04b ; 
+ 160   :  d0fc ; 
+ 161   :  d1ac ; 
+ 162   :  d25d ; 
+ 163   :  d30e ; 
+ 164   :  d3bf ; 
+ 165   :  d46f ; 
+ 166   :  d520 ; 
+ 167   :  d5d1 ; 
+ 168   :  d682 ; 
+ 169   :  d733 ; 
+ 16a   :  d7e3 ; 
+ 16b   :  d894 ; 
+ 16c   :  d945 ; 
+ 16d   :  d9f6 ; 
+ 16e   :  daa7 ; 
+ 16f   :  db58 ; 
+ 170   :  dc09 ; 
+ 171   :  dcba ; 
+ 172   :  dd6b ; 
+ 173   :  de1c ; 
+ 174   :  decc ; 
+ 175   :  df7d ; 
+ 176   :  e02e ; 
+ 177   :  e0df ; 
+ 178   :  e190 ; 
+ 179   :  e241 ; 
+ 17a   :  e2f2 ; 
+ 17b   :  e3a3 ; 
+ 17c   :  e454 ; 
+ 17d   :  e505 ; 
+ 17e   :  e5b6 ; 
+ 17f   :  e667 ; 
+ 180   :  e718 ; 
+ 181   :  e7c8 ; 
+ 182   :  e879 ; 
+ 183   :  e92a ; 
+ 184   :  e9db ; 
+ 185   :  ea8c ; 
+ 186   :  eb3d ; 
+ 187   :  ebed ; 
+ 188   :  ec9e ; 
+ 189   :  ed4f ; 
+ 18a   :  ee00 ; 
+ 18b   :  eeb1 ; 
+ 18c   :  ef61 ; 
+ 18d   :  f012 ; 
+ 18e   :  f0c3 ; 
+ 18f   :  f173 ; 
+ 190   :  f224 ; 
+ 191   :  f2d5 ; 
+ 192   :  f385 ; 
+ 193   :  f436 ; 
+ 194   :  f4e6 ; 
+ 195   :  f597 ; 
+ 196   :  f647 ; 
+ 197   :  f6f8 ; 
+ 198   :  f7a8 ; 
+ 199   :  f859 ; 
+ 19a   :  f909 ; 
+ 19b   :  f9b9 ; 
+ 19c   :  fa69 ; 
+ 19d   :  fb1a ; 
+ 19e   :  fbca ; 
+ 19f   :  fc7a ; 
+ 1a0   :  fd2a ; 
+ 1a1   :  fdda ; 
+ 1a2   :  fe8a ; 
+ 1a3   :  ff3a ; 
+ 1a4   :  ffea ; 
+ 1a5   :  1009a ; 
+ 1a6   :  1014a ; 
+ 1a7   :  101fa ; 
+ 1a8   :  102aa ; 
+ 1a9   :  10359 ; 
+ 1aa   :  10409 ; 
+ 1ab   :  104b9 ; 
+ 1ac   :  10568 ; 
+ 1ad   :  10618 ; 
+ 1ae   :  106c7 ; 
+ 1af   :  10777 ; 
+ 1b0   :  10826 ; 
+ 1b1   :  108d5 ; 
+ 1b2   :  10985 ; 
+ 1b3   :  10a34 ; 
+ 1b4   :  10ae3 ; 
+ 1b5   :  10b92 ; 
+ 1b6   :  10c41 ; 
+ 1b7   :  10cf0 ; 
+ 1b8   :  10d9f ; 
+ 1b9   :  10e4e ; 
+ 1ba   :  10efd ; 
+ 1bb   :  10fab ; 
+ 1bc   :  1105a ; 
+ 1bd   :  11109 ; 
+ 1be   :  111b7 ; 
+ 1bf   :  11265 ; 
+ 1c0   :  11314 ; 
+ 1c1   :  113c2 ; 
+ 1c2   :  11470 ; 
+ 1c3   :  1151e ; 
+ 1c4   :  115cc ; 
+ 1c5   :  1167a ; 
+ 1c6   :  11728 ; 
+ 1c7   :  117d6 ; 
+ 1c8   :  11884 ; 
+ 1c9   :  11932 ; 
+ 1ca   :  119df ; 
+ 1cb   :  11a8d ; 
+ 1cc   :  11b3a ; 
+ 1cd   :  11be8 ; 
+ 1ce   :  11c95 ; 
+ 1cf   :  11d42 ; 
+ 1d0   :  11def ; 
+ 1d1   :  11e9c ; 
+ 1d2   :  11f49 ; 
+ 1d3   :  11ff6 ; 
+ 1d4   :  120a3 ; 
+ 1d5   :  1214f ; 
+ 1d6   :  121fc ; 
+ 1d7   :  122a8 ; 
+ 1d8   :  12355 ; 
+ 1d9   :  12401 ; 
+ 1da   :  124ad ; 
+ 1db   :  12559 ; 
+ 1dc   :  12605 ; 
+ 1dd   :  126b1 ; 
+ 1de   :  1275d ; 
+ 1df   :  12808 ; 
+ 1e0   :  128b4 ; 
+ 1e1   :  1295f ; 
+ 1e2   :  12a0b ; 
+ 1e3   :  12ab6 ; 
+ 1e4   :  12b61 ; 
+ 1e5   :  12c0c ; 
+ 1e6   :  12cb7 ; 
+ 1e7   :  12d62 ; 
+ 1e8   :  12e0d ; 
+ 1e9   :  12eb7 ; 
+ 1ea   :  12f62 ; 
+ 1eb   :  1300c ; 
+ 1ec   :  130b7 ; 
+ 1ed   :  13161 ; 
+ 1ee   :  1320b ; 
+ 1ef   :  132b5 ; 
+ 1f0   :  1335e ; 
+ 1f1   :  13408 ; 
+ 1f2   :  134b2 ; 
+ 1f3   :  1355b ; 
+ 1f4   :  13604 ; 
+ 1f5   :  136ae ; 
+ 1f6   :  13757 ; 
+ 1f7   :  13800 ; 
+ 1f8   :  138a8 ; 
+ 1f9   :  13951 ; 
+ 1fa   :  139fa ; 
+ 1fb   :  13aa2 ; 
+ 1fc   :  13b4b ; 
+ 1fd   :  13bf3 ; 
+ 1fe   :  13c9b ; 
+ 1ff   :  13d43 ; 
+ 200   :  13dea ; 
+ 201   :  13e92 ; 
+ 202   :  13f3a ; 
+ 203   :  13fe1 ; 
+ 204   :  14088 ; 
+ 205   :  1412f ; 
+ 206   :  141d6 ; 
+ 207   :  1427d ; 
+ 208   :  14324 ; 
+ 209   :  143ca ; 
+ 20a   :  14471 ; 
+ 20b   :  14517 ; 
+ 20c   :  145bd ; 
+ 20d   :  14663 ; 
+ 20e   :  14709 ; 
+ 20f   :  147af ; 
+ 210   :  14854 ; 
+ 211   :  148f9 ; 
+ 212   :  1499f ; 
+ 213   :  14a44 ; 
+ 214   :  14ae9 ; 
+ 215   :  14b8d ; 
+ 216   :  14c32 ; 
+ 217   :  14cd6 ; 
+ 218   :  14d7b ; 
+ 219   :  14e1f ; 
+ 21a   :  14ec3 ; 
+ 21b   :  14f67 ; 
+ 21c   :  1500a ; 
+ 21d   :  150ae ; 
+ 21e   :  15151 ; 
+ 21f   :  151f4 ; 
+ 220   :  15297 ; 
+ 221   :  1533a ; 
+ 222   :  153dd ; 
+ 223   :  1547f ; 
+ 224   :  15522 ; 
+ 225   :  155c4 ; 
+ 226   :  15666 ; 
+ 227   :  15708 ; 
+ 228   :  157a9 ; 
+ 229   :  1584b ; 
+ 22a   :  158ec ; 
+ 22b   :  1598d ; 
+ 22c   :  15a2e ; 
+ 22d   :  15acf ; 
+ 22e   :  15b6f ; 
+ 22f   :  15c10 ; 
+ 230   :  15cb0 ; 
+ 231   :  15d50 ; 
+ 232   :  15df0 ; 
+ 233   :  15e90 ; 
+ 234   :  15f2f ; 
+ 235   :  15fce ; 
+ 236   :  1606e ; 
+ 237   :  1610d ; 
+ 238   :  161ab ; 
+ 239   :  1624a ; 
+ 23a   :  162e8 ; 
+ 23b   :  16387 ; 
+ 23c   :  16425 ; 
+ 23d   :  164c2 ; 
+ 23e   :  16560 ; 
+ 23f   :  165fd ; 
+ 240   :  1669b ; 
+ 241   :  16738 ; 
+ 242   :  167d5 ; 
+ 243   :  16871 ; 
+ 244   :  1690e ; 
+ 245   :  169aa ; 
+ 246   :  16a46 ; 
+ 247   :  16ae2 ; 
+ 248   :  16b7d ; 
+ 249   :  16c19 ; 
+ 24a   :  16cb4 ; 
+ 24b   :  16d4f ; 
+ 24c   :  16dea ; 
+ 24d   :  16e85 ; 
+ 24e   :  16f1f ; 
+ 24f   :  16fb9 ; 
+ 250   :  17053 ; 
+ 251   :  170ed ; 
+ 252   :  17187 ; 
+ 253   :  17220 ; 
+ 254   :  172b9 ; 
+ 255   :  17352 ; 
+ 256   :  173eb ; 
+ 257   :  17483 ; 
+ 258   :  1751b ; 
+ 259   :  175b4 ; 
+ 25a   :  1764b ; 
+ 25b   :  176e3 ; 
+ 25c   :  1777a ; 
+ 25d   :  17812 ; 
+ 25e   :  178a9 ; 
+ 25f   :  1793f ; 
+ 260   :  179d6 ; 
+ 261   :  17a6c ; 
+ 262   :  17b02 ; 
+ 263   :  17b98 ; 
+ 264   :  17c2e ; 
+ 265   :  17cc3 ; 
+ 266   :  17d58 ; 
+ 267   :  17ded ; 
+ 268   :  17e82 ; 
+ 269   :  17f16 ; 
+ 26a   :  17faa ; 
+ 26b   :  1803e ; 
+ 26c   :  180d2 ; 
+ 26d   :  18166 ; 
+ 26e   :  181f9 ; 
+ 26f   :  1828c ; 
+ 270   :  1831f ; 
+ 271   :  183b1 ; 
+ 272   :  18444 ; 
+ 273   :  184d6 ; 
+ 274   :  18568 ; 
+ 275   :  185f9 ; 
+ 276   :  1868b ; 
+ 277   :  1871c ; 
+ 278   :  187ad ; 
+ 279   :  1883d ; 
+ 27a   :  188ce ; 
+ 27b   :  1895e ; 
+ 27c   :  189ee ; 
+ 27d   :  18a7d ; 
+ 27e   :  18b0d ; 
+ 27f   :  18b9c ; 
+ 280   :  18c2b ; 
+ 281   :  18cb9 ; 
+ 282   :  18d48 ; 
+ 283   :  18dd6 ; 
+ 284   :  18e64 ; 
+ 285   :  18ef1 ; 
+ 286   :  18f7f ; 
+ 287   :  1900c ; 
+ 288   :  19099 ; 
+ 289   :  19125 ; 
+ 28a   :  191b2 ; 
+ 28b   :  1923e ; 
+ 28c   :  192c9 ; 
+ 28d   :  19355 ; 
+ 28e   :  193e0 ; 
+ 28f   :  1946b ; 
+ 290   :  194f6 ; 
+ 291   :  19580 ; 
+ 292   :  1960b ; 
+ 293   :  19694 ; 
+ 294   :  1971e ; 
+ 295   :  197a8 ; 
+ 296   :  19831 ; 
+ 297   :  198b9 ; 
+ 298   :  19942 ; 
+ 299   :  199ca ; 
+ 29a   :  19a52 ; 
+ 29b   :  19ada ; 
+ 29c   :  19b62 ; 
+ 29d   :  19be9 ; 
+ 29e   :  19c70 ; 
+ 29f   :  19cf6 ; 
+ 2a0   :  19d7d ; 
+ 2a1   :  19e03 ; 
+ 2a2   :  19e89 ; 
+ 2a3   :  19f0e ; 
+ 2a4   :  19f93 ; 
+ 2a5   :  1a018 ; 
+ 2a6   :  1a09d ; 
+ 2a7   :  1a121 ; 
+ 2a8   :  1a1a6 ; 
+ 2a9   :  1a229 ; 
+ 2aa   :  1a2ad ; 
+ 2ab   :  1a330 ; 
+ 2ac   :  1a3b3 ; 
+ 2ad   :  1a436 ; 
+ 2ae   :  1a4b8 ; 
+ 2af   :  1a53a ; 
+ 2b0   :  1a5bc ; 
+ 2b1   :  1a63d ; 
+ 2b2   :  1a6bf ; 
+ 2b3   :  1a740 ; 
+ 2b4   :  1a7c0 ; 
+ 2b5   :  1a841 ; 
+ 2b6   :  1a8c1 ; 
+ 2b7   :  1a940 ; 
+ 2b8   :  1a9c0 ; 
+ 2b9   :  1aa3f ; 
+ 2ba   :  1aabe ; 
+ 2bb   :  1ab3c ; 
+ 2bc   :  1abba ; 
+ 2bd   :  1ac38 ; 
+ 2be   :  1acb6 ; 
+ 2bf   :  1ad33 ; 
+ 2c0   :  1adb0 ; 
+ 2c1   :  1ae2d ; 
+ 2c2   :  1aea9 ; 
+ 2c3   :  1af26 ; 
+ 2c4   :  1afa1 ; 
+ 2c5   :  1b01d ; 
+ 2c6   :  1b098 ; 
+ 2c7   :  1b113 ; 
+ 2c8   :  1b18d ; 
+ 2c9   :  1b208 ; 
+ 2ca   :  1b282 ; 
+ 2cb   :  1b2fb ; 
+ 2cc   :  1b374 ; 
+ 2cd   :  1b3ed ; 
+ 2ce   :  1b466 ; 
+ 2cf   :  1b4de ; 
+ 2d0   :  1b557 ; 
+ 2d1   :  1b5ce ; 
+ 2d2   :  1b646 ; 
+ 2d3   :  1b6bd ; 
+ 2d4   :  1b734 ; 
+ 2d5   :  1b7aa ; 
+ 2d6   :  1b820 ; 
+ 2d7   :  1b896 ; 
+ 2d8   :  1b90b ; 
+ 2d9   :  1b981 ; 
+ 2da   :  1b9f5 ; 
+ 2db   :  1ba6a ; 
+ 2dc   :  1bade ; 
+ 2dd   :  1bb52 ; 
+ 2de   :  1bbc6 ; 
+ 2df   :  1bc39 ; 
+ 2e0   :  1bcac ; 
+ 2e1   :  1bd1e ; 
+ 2e2   :  1bd90 ; 
+ 2e3   :  1be02 ; 
+ 2e4   :  1be74 ; 
+ 2e5   :  1bee5 ; 
+ 2e6   :  1bf56 ; 
+ 2e7   :  1bfc7 ; 
+ 2e8   :  1c037 ; 
+ 2e9   :  1c0a7 ; 
+ 2ea   :  1c116 ; 
+ 2eb   :  1c185 ; 
+ 2ec   :  1c1f4 ; 
+ 2ed   :  1c263 ; 
+ 2ee   :  1c2d1 ; 
+ 2ef   :  1c33f ; 
+ 2f0   :  1c3ad ; 
+ 2f1   :  1c41a ; 
+ 2f2   :  1c487 ; 
+ 2f3   :  1c4f3 ; 
+ 2f4   :  1c55f ; 
+ 2f5   :  1c5cb ; 
+ 2f6   :  1c637 ; 
+ 2f7   :  1c6a2 ; 
+ 2f8   :  1c70d ; 
+ 2f9   :  1c777 ; 
+ 2fa   :  1c7e1 ; 
+ 2fb   :  1c84b ; 
+ 2fc   :  1c8b4 ; 
+ 2fd   :  1c91e ; 
+ 2fe   :  1c986 ; 
+ 2ff   :  1c9ef ; 
+ 300   :  1ca57 ; 
+ 301   :  1cabe ; 
+ 302   :  1cb26 ; 
+ 303   :  1cb8d ; 
+ 304   :  1cbf3 ; 
+ 305   :  1cc5a ; 
+ 306   :  1ccbf ; 
+ 307   :  1cd25 ; 
+ 308   :  1cd8a ; 
+ 309   :  1cdef ; 
+ 30a   :  1ce54 ; 
+ 30b   :  1ceb8 ; 
+ 30c   :  1cf1c ; 
+ 30d   :  1cf7f ; 
+ 30e   :  1cfe2 ; 
+ 30f   :  1d045 ; 
+ 310   :  1d0a7 ; 
+ 311   :  1d109 ; 
+ 312   :  1d16b ; 
+ 313   :  1d1cc ; 
+ 314   :  1d22d ; 
+ 315   :  1d28e ; 
+ 316   :  1d2ee ; 
+ 317   :  1d34e ; 
+ 318   :  1d3ad ; 
+ 319   :  1d40c ; 
+ 31a   :  1d46b ; 
+ 31b   :  1d4c9 ; 
+ 31c   :  1d527 ; 
+ 31d   :  1d585 ; 
+ 31e   :  1d5e2 ; 
+ 31f   :  1d63f ; 
+ 320   :  1d69b ; 
+ 321   :  1d6f8 ; 
+ 322   :  1d753 ; 
+ 323   :  1d7af ; 
+ 324   :  1d80a ; 
+ 325   :  1d865 ; 
+ 326   :  1d8bf ; 
+ 327   :  1d919 ; 
+ 328   :  1d972 ; 
+ 329   :  1d9cc ; 
+ 32a   :  1da24 ; 
+ 32b   :  1da7d ; 
+ 32c   :  1dad5 ; 
+ 32d   :  1db2c ; 
+ 32e   :  1db84 ; 
+ 32f   :  1dbdb ; 
+ 330   :  1dc31 ; 
+ 331   :  1dc87 ; 
+ 332   :  1dcdd ; 
+ 333   :  1dd33 ; 
+ 334   :  1dd88 ; 
+ 335   :  1dddc ; 
+ 336   :  1de31 ; 
+ 337   :  1de84 ; 
+ 338   :  1ded8 ; 
+ 339   :  1df2b ; 
+ 33a   :  1df7e ; 
+ 33b   :  1dfd0 ; 
+ 33c   :  1e022 ; 
+ 33d   :  1e074 ; 
+ 33e   :  1e0c5 ; 
+ 33f   :  1e116 ; 
+ 340   :  1e166 ; 
+ 341   :  1e1b6 ; 
+ 342   :  1e206 ; 
+ 343   :  1e255 ; 
+ 344   :  1e2a4 ; 
+ 345   :  1e2f3 ; 
+ 346   :  1e341 ; 
+ 347   :  1e38e ; 
+ 348   :  1e3dc ; 
+ 349   :  1e429 ; 
+ 34a   :  1e475 ; 
+ 34b   :  1e4c1 ; 
+ 34c   :  1e50d ; 
+ 34d   :  1e558 ; 
+ 34e   :  1e5a3 ; 
+ 34f   :  1e5ee ; 
+ 350   :  1e638 ; 
+ 351   :  1e682 ; 
+ 352   :  1e6cb ; 
+ 353   :  1e714 ; 
+ 354   :  1e75d ; 
+ 355   :  1e7a5 ; 
+ 356   :  1e7ed ; 
+ 357   :  1e834 ; 
+ 358   :  1e87b ; 
+ 359   :  1e8c2 ; 
+ 35a   :  1e908 ; 
+ 35b   :  1e94e ; 
+ 35c   :  1e994 ; 
+ 35d   :  1e9d9 ; 
+ 35e   :  1ea1d ; 
+ 35f   :  1ea61 ; 
+ 360   :  1eaa5 ; 
+ 361   :  1eae9 ; 
+ 362   :  1eb2c ; 
+ 363   :  1eb6e ; 
+ 364   :  1ebb1 ; 
+ 365   :  1ebf2 ; 
+ 366   :  1ec34 ; 
+ 367   :  1ec75 ; 
+ 368   :  1ecb5 ; 
+ 369   :  1ecf6 ; 
+ 36a   :  1ed35 ; 
+ 36b   :  1ed75 ; 
+ 36c   :  1edb4 ; 
+ 36d   :  1edf2 ; 
+ 36e   :  1ee30 ; 
+ 36f   :  1ee6e ; 
+ 370   :  1eeac ; 
+ 371   :  1eee9 ; 
+ 372   :  1ef25 ; 
+ 373   :  1ef61 ; 
+ 374   :  1ef9d ; 
+ 375   :  1efd8 ; 
+ 376   :  1f013 ; 
+ 377   :  1f04e ; 
+ 378   :  1f088 ; 
+ 379   :  1f0c1 ; 
+ 37a   :  1f0fb ; 
+ 37b   :  1f134 ; 
+ 37c   :  1f16c ; 
+ 37d   :  1f1a4 ; 
+ 37e   :  1f1dc ; 
+ 37f   :  1f213 ; 
+ 380   :  1f24a ; 
+ 381   :  1f280 ; 
+ 382   :  1f2b6 ; 
+ 383   :  1f2ec ; 
+ 384   :  1f321 ; 
+ 385   :  1f355 ; 
+ 386   :  1f38a ; 
+ 387   :  1f3be ; 
+ 388   :  1f3f1 ; 
+ 389   :  1f424 ; 
+ 38a   :  1f457 ; 
+ 38b   :  1f489 ; 
+ 38c   :  1f4bb ; 
+ 38d   :  1f4ec ; 
+ 38e   :  1f51d ; 
+ 38f   :  1f54e ; 
+ 390   :  1f57e ; 
+ 391   :  1f5ae ; 
+ 392   :  1f5dd ; 
+ 393   :  1f60c ; 
+ 394   :  1f63a ; 
+ 395   :  1f668 ; 
+ 396   :  1f696 ; 
+ 397   :  1f6c3 ; 
+ 398   :  1f6f0 ; 
+ 399   :  1f71c ; 
+ 39a   :  1f748 ; 
+ 39b   :  1f774 ; 
+ 39c   :  1f79f ; 
+ 39d   :  1f7c9 ; 
+ 39e   :  1f7f4 ; 
+ 39f   :  1f81d ; 
+ 3a0   :  1f847 ; 
+ 3a1   :  1f870 ; 
+ 3a2   :  1f898 ; 
+ 3a3   :  1f8c0 ; 
+ 3a4   :  1f8e8 ; 
+ 3a5   :  1f90f ; 
+ 3a6   :  1f936 ; 
+ 3a7   :  1f95d ; 
+ 3a8   :  1f983 ; 
+ 3a9   :  1f9a8 ; 
+ 3aa   :  1f9ce ; 
+ 3ab   :  1f9f2 ; 
+ 3ac   :  1fa17 ; 
+ 3ad   :  1fa3a ; 
+ 3ae   :  1fa5e ; 
+ 3af   :  1fa81 ; 
+ 3b0   :  1faa4 ; 
+ 3b1   :  1fac6 ; 
+ 3b2   :  1fae7 ; 
+ 3b3   :  1fb09 ; 
+ 3b4   :  1fb2a ; 
+ 3b5   :  1fb4a ; 
+ 3b6   :  1fb6a ; 
+ 3b7   :  1fb8a ; 
+ 3b8   :  1fba9 ; 
+ 3b9   :  1fbc8 ; 
+ 3ba   :  1fbe6 ; 
+ 3bb   :  1fc04 ; 
+ 3bc   :  1fc21 ; 
+ 3bd   :  1fc3e ; 
+ 3be   :  1fc5b ; 
+ 3bf   :  1fc77 ; 
+ 3c0   :  1fc93 ; 
+ 3c1   :  1fcae ; 
+ 3c2   :  1fcc9 ; 
+ 3c3   :  1fce4 ; 
+ 3c4   :  1fcfe ; 
+ 3c5   :  1fd17 ; 
+ 3c6   :  1fd30 ; 
+ 3c7   :  1fd49 ; 
+ 3c8   :  1fd61 ; 
+ 3c9   :  1fd79 ; 
+ 3ca   :  1fd91 ; 
+ 3cb   :  1fda8 ; 
+ 3cc   :  1fdbe ; 
+ 3cd   :  1fdd5 ; 
+ 3ce   :  1fdea ; 
+ 3cf   :  1fe00 ; 
+ 3d0   :  1fe14 ; 
+ 3d1   :  1fe29 ; 
+ 3d2   :  1fe3d ; 
+ 3d3   :  1fe50 ; 
+ 3d4   :  1fe63 ; 
+ 3d5   :  1fe76 ; 
+ 3d6   :  1fe88 ; 
+ 3d7   :  1fe9a ; 
+ 3d8   :  1feac ; 
+ 3d9   :  1febd ; 
+ 3da   :  1fecd ; 
+ 3db   :  1fedd ; 
+ 3dc   :  1feed ; 
+ 3dd   :  1fefc ; 
+ 3de   :  1ff0b ; 
+ 3df   :  1ff19 ; 
+ 3e0   :  1ff27 ; 
+ 3e1   :  1ff35 ; 
+ 3e2   :  1ff42 ; 
+ 3e3   :  1ff4e ; 
+ 3e4   :  1ff5a ; 
+ 3e5   :  1ff66 ; 
+ 3e6   :  1ff72 ; 
+ 3e7   :  1ff7c ; 
+ 3e8   :  1ff87 ; 
+ 3e9   :  1ff91 ; 
+ 3ea   :  1ff9a ; 
+ 3eb   :  1ffa4 ; 
+ 3ec   :  1ffac ; 
+ 3ed   :  1ffb5 ; 
+ 3ee   :  1ffbc ; 
+ 3ef   :  1ffc4 ; 
+ 3f0   :  1ffcb ; 
+ 3f1   :  1ffd1 ; 
+ 3f2   :  1ffd7 ; 
+ 3f3   :  1ffdd ; 
+ 3f4   :  1ffe2 ; 
+ 3f5   :  1ffe7 ; 
+ 3f6   :  1ffeb ; 
+ 3f7   :  1ffef ; 
+ 3f8   :  1fff3 ; 
+ 3f9   :  1fff6 ; 
+ 3fa   :  1fff8 ; 
+ 3fb   :  1fffb ; 
+ 3fc   :  1fffc ; 
+ 3fd   :  1fffe ; 
+ 3fe   :  1ffff ; 
+ 3ff   :  1ffff ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_9.mif b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_9.mif
new file mode 100644
index 0000000000000000000000000000000000000000..9e10e8f1e1465c473dcf2d9eaa8ff737f6a06929
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KHanning_18b_1wb_9.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  390 ; 
+ 1   :  35f ; 
+ 2   :  32e ; 
+ 3   :  2fd ; 
+ 4   :  2cc ; 
+ 5   :  29b ; 
+ 6   :  26a ; 
+ 7   :  239 ; 
+ 8   :  208 ; 
+ 9   :  1d6 ; 
+ a   :  1a5 ; 
+ b   :  174 ; 
+ c   :  142 ; 
+ d   :  110 ; 
+ e   :  df ; 
+ f   :  ad ; 
+ 10   :  7b ; 
+ 11   :  49 ; 
+ 12   :  17 ; 
+ 13   :  3ffe5 ; 
+ 14   :  3ffb3 ; 
+ 15   :  3ff80 ; 
+ 16   :  3ff4e ; 
+ 17   :  3ff1c ; 
+ 18   :  3fee9 ; 
+ 19   :  3feb7 ; 
+ 1a   :  3fe84 ; 
+ 1b   :  3fe51 ; 
+ 1c   :  3fe1f ; 
+ 1d   :  3fdec ; 
+ 1e   :  3fdb9 ; 
+ 1f   :  3fd86 ; 
+ 20   :  3fd53 ; 
+ 21   :  3fd20 ; 
+ 22   :  3fced ; 
+ 23   :  3fcb9 ; 
+ 24   :  3fc86 ; 
+ 25   :  3fc53 ; 
+ 26   :  3fc1f ; 
+ 27   :  3fbec ; 
+ 28   :  3fbb8 ; 
+ 29   :  3fb84 ; 
+ 2a   :  3fb51 ; 
+ 2b   :  3fb1d ; 
+ 2c   :  3fae9 ; 
+ 2d   :  3fab5 ; 
+ 2e   :  3fa81 ; 
+ 2f   :  3fa4d ; 
+ 30   :  3fa19 ; 
+ 31   :  3f9e5 ; 
+ 32   :  3f9b1 ; 
+ 33   :  3f97c ; 
+ 34   :  3f948 ; 
+ 35   :  3f914 ; 
+ 36   :  3f8df ; 
+ 37   :  3f8ab ; 
+ 38   :  3f876 ; 
+ 39   :  3f842 ; 
+ 3a   :  3f80d ; 
+ 3b   :  3f7d8 ; 
+ 3c   :  3f7a3 ; 
+ 3d   :  3f76e ; 
+ 3e   :  3f739 ; 
+ 3f   :  3f704 ; 
+ 40   :  3f6cf ; 
+ 41   :  3f69a ; 
+ 42   :  3f665 ; 
+ 43   :  3f630 ; 
+ 44   :  3f5fb ; 
+ 45   :  3f5c5 ; 
+ 46   :  3f590 ; 
+ 47   :  3f55a ; 
+ 48   :  3f525 ; 
+ 49   :  3f4ef ; 
+ 4a   :  3f4ba ; 
+ 4b   :  3f484 ; 
+ 4c   :  3f44f ; 
+ 4d   :  3f419 ; 
+ 4e   :  3f3e3 ; 
+ 4f   :  3f3ad ; 
+ 50   :  3f377 ; 
+ 51   :  3f341 ; 
+ 52   :  3f30b ; 
+ 53   :  3f2d5 ; 
+ 54   :  3f29f ; 
+ 55   :  3f269 ; 
+ 56   :  3f233 ; 
+ 57   :  3f1fd ; 
+ 58   :  3f1c6 ; 
+ 59   :  3f190 ; 
+ 5a   :  3f15a ; 
+ 5b   :  3f123 ; 
+ 5c   :  3f0ed ; 
+ 5d   :  3f0b6 ; 
+ 5e   :  3f080 ; 
+ 5f   :  3f049 ; 
+ 60   :  3f013 ; 
+ 61   :  3efdc ; 
+ 62   :  3efa5 ; 
+ 63   :  3ef6e ; 
+ 64   :  3ef38 ; 
+ 65   :  3ef01 ; 
+ 66   :  3eeca ; 
+ 67   :  3ee93 ; 
+ 68   :  3ee5c ; 
+ 69   :  3ee25 ; 
+ 6a   :  3edee ; 
+ 6b   :  3edb7 ; 
+ 6c   :  3ed80 ; 
+ 6d   :  3ed49 ; 
+ 6e   :  3ed12 ; 
+ 6f   :  3ecdb ; 
+ 70   :  3eca3 ; 
+ 71   :  3ec6c ; 
+ 72   :  3ec35 ; 
+ 73   :  3ebfd ; 
+ 74   :  3ebc6 ; 
+ 75   :  3eb8f ; 
+ 76   :  3eb57 ; 
+ 77   :  3eb20 ; 
+ 78   :  3eae8 ; 
+ 79   :  3eab1 ; 
+ 7a   :  3ea79 ; 
+ 7b   :  3ea42 ; 
+ 7c   :  3ea0a ; 
+ 7d   :  3e9d2 ; 
+ 7e   :  3e99b ; 
+ 7f   :  3e963 ; 
+ 80   :  3e92b ; 
+ 81   :  3e8f4 ; 
+ 82   :  3e8bc ; 
+ 83   :  3e884 ; 
+ 84   :  3e84c ; 
+ 85   :  3e814 ; 
+ 86   :  3e7dd ; 
+ 87   :  3e7a5 ; 
+ 88   :  3e76d ; 
+ 89   :  3e735 ; 
+ 8a   :  3e6fd ; 
+ 8b   :  3e6c5 ; 
+ 8c   :  3e68d ; 
+ 8d   :  3e655 ; 
+ 8e   :  3e61d ; 
+ 8f   :  3e5e5 ; 
+ 90   :  3e5ad ; 
+ 91   :  3e575 ; 
+ 92   :  3e53d ; 
+ 93   :  3e504 ; 
+ 94   :  3e4cc ; 
+ 95   :  3e494 ; 
+ 96   :  3e45c ; 
+ 97   :  3e424 ; 
+ 98   :  3e3ec ; 
+ 99   :  3e3b3 ; 
+ 9a   :  3e37b ; 
+ 9b   :  3e343 ; 
+ 9c   :  3e30b ; 
+ 9d   :  3e2d2 ; 
+ 9e   :  3e29a ; 
+ 9f   :  3e262 ; 
+ a0   :  3e22a ; 
+ a1   :  3e1f1 ; 
+ a2   :  3e1b9 ; 
+ a3   :  3e181 ; 
+ a4   :  3e148 ; 
+ a5   :  3e110 ; 
+ a6   :  3e0d8 ; 
+ a7   :  3e09f ; 
+ a8   :  3e067 ; 
+ a9   :  3e02f ; 
+ aa   :  3dff6 ; 
+ ab   :  3dfbe ; 
+ ac   :  3df85 ; 
+ ad   :  3df4d ; 
+ ae   :  3df15 ; 
+ af   :  3dedc ; 
+ b0   :  3dea4 ; 
+ b1   :  3de6c ; 
+ b2   :  3de33 ; 
+ b3   :  3ddfb ; 
+ b4   :  3ddc2 ; 
+ b5   :  3dd8a ; 
+ b6   :  3dd52 ; 
+ b7   :  3dd19 ; 
+ b8   :  3dce1 ; 
+ b9   :  3dca9 ; 
+ ba   :  3dc70 ; 
+ bb   :  3dc38 ; 
+ bc   :  3dbff ; 
+ bd   :  3dbc7 ; 
+ be   :  3db8f ; 
+ bf   :  3db56 ; 
+ c0   :  3db1e ; 
+ c1   :  3dae6 ; 
+ c2   :  3daad ; 
+ c3   :  3da75 ; 
+ c4   :  3da3d ; 
+ c5   :  3da05 ; 
+ c6   :  3d9cc ; 
+ c7   :  3d994 ; 
+ c8   :  3d95c ; 
+ c9   :  3d924 ; 
+ ca   :  3d8eb ; 
+ cb   :  3d8b3 ; 
+ cc   :  3d87b ; 
+ cd   :  3d843 ; 
+ ce   :  3d80b ; 
+ cf   :  3d7d2 ; 
+ d0   :  3d79a ; 
+ d1   :  3d762 ; 
+ d2   :  3d72a ; 
+ d3   :  3d6f2 ; 
+ d4   :  3d6ba ; 
+ d5   :  3d682 ; 
+ d6   :  3d64a ; 
+ d7   :  3d612 ; 
+ d8   :  3d5da ; 
+ d9   :  3d5a2 ; 
+ da   :  3d56a ; 
+ db   :  3d532 ; 
+ dc   :  3d4fa ; 
+ dd   :  3d4c2 ; 
+ de   :  3d48a ; 
+ df   :  3d453 ; 
+ e0   :  3d41b ; 
+ e1   :  3d3e3 ; 
+ e2   :  3d3ab ; 
+ e3   :  3d373 ; 
+ e4   :  3d33c ; 
+ e5   :  3d304 ; 
+ e6   :  3d2cd ; 
+ e7   :  3d295 ; 
+ e8   :  3d25d ; 
+ e9   :  3d226 ; 
+ ea   :  3d1ee ; 
+ eb   :  3d1b7 ; 
+ ec   :  3d17f ; 
+ ed   :  3d148 ; 
+ ee   :  3d111 ; 
+ ef   :  3d0d9 ; 
+ f0   :  3d0a2 ; 
+ f1   :  3d06b ; 
+ f2   :  3d033 ; 
+ f3   :  3cffc ; 
+ f4   :  3cfc5 ; 
+ f5   :  3cf8e ; 
+ f6   :  3cf57 ; 
+ f7   :  3cf20 ; 
+ f8   :  3cee9 ; 
+ f9   :  3ceb2 ; 
+ fa   :  3ce7b ; 
+ fb   :  3ce44 ; 
+ fc   :  3ce0d ; 
+ fd   :  3cdd6 ; 
+ fe   :  3cd9f ; 
+ ff   :  3cd69 ; 
+ 100   :  3cd32 ; 
+ 101   :  3ccfb ; 
+ 102   :  3ccc5 ; 
+ 103   :  3cc8e ; 
+ 104   :  3cc58 ; 
+ 105   :  3cc21 ; 
+ 106   :  3cbeb ; 
+ 107   :  3cbb5 ; 
+ 108   :  3cb7e ; 
+ 109   :  3cb48 ; 
+ 10a   :  3cb12 ; 
+ 10b   :  3cadc ; 
+ 10c   :  3caa6 ; 
+ 10d   :  3ca70 ; 
+ 10e   :  3ca3a ; 
+ 10f   :  3ca04 ; 
+ 110   :  3c9ce ; 
+ 111   :  3c998 ; 
+ 112   :  3c962 ; 
+ 113   :  3c92c ; 
+ 114   :  3c8f7 ; 
+ 115   :  3c8c1 ; 
+ 116   :  3c88c ; 
+ 117   :  3c856 ; 
+ 118   :  3c821 ; 
+ 119   :  3c7eb ; 
+ 11a   :  3c7b6 ; 
+ 11b   :  3c781 ; 
+ 11c   :  3c74c ; 
+ 11d   :  3c716 ; 
+ 11e   :  3c6e1 ; 
+ 11f   :  3c6ac ; 
+ 120   :  3c677 ; 
+ 121   :  3c642 ; 
+ 122   :  3c60e ; 
+ 123   :  3c5d9 ; 
+ 124   :  3c5a4 ; 
+ 125   :  3c570 ; 
+ 126   :  3c53b ; 
+ 127   :  3c507 ; 
+ 128   :  3c4d2 ; 
+ 129   :  3c49e ; 
+ 12a   :  3c46a ; 
+ 12b   :  3c435 ; 
+ 12c   :  3c401 ; 
+ 12d   :  3c3cd ; 
+ 12e   :  3c399 ; 
+ 12f   :  3c365 ; 
+ 130   :  3c331 ; 
+ 131   :  3c2fe ; 
+ 132   :  3c2ca ; 
+ 133   :  3c296 ; 
+ 134   :  3c263 ; 
+ 135   :  3c22f ; 
+ 136   :  3c1fc ; 
+ 137   :  3c1c9 ; 
+ 138   :  3c195 ; 
+ 139   :  3c162 ; 
+ 13a   :  3c12f ; 
+ 13b   :  3c0fc ; 
+ 13c   :  3c0c9 ; 
+ 13d   :  3c096 ; 
+ 13e   :  3c064 ; 
+ 13f   :  3c031 ; 
+ 140   :  3bffe ; 
+ 141   :  3bfcc ; 
+ 142   :  3bf99 ; 
+ 143   :  3bf67 ; 
+ 144   :  3bf35 ; 
+ 145   :  3bf03 ; 
+ 146   :  3bed1 ; 
+ 147   :  3be9f ; 
+ 148   :  3be6d ; 
+ 149   :  3be3b ; 
+ 14a   :  3be09 ; 
+ 14b   :  3bdd7 ; 
+ 14c   :  3bda6 ; 
+ 14d   :  3bd74 ; 
+ 14e   :  3bd43 ; 
+ 14f   :  3bd12 ; 
+ 150   :  3bce1 ; 
+ 151   :  3bcb0 ; 
+ 152   :  3bc7f ; 
+ 153   :  3bc4e ; 
+ 154   :  3bc1d ; 
+ 155   :  3bbec ; 
+ 156   :  3bbbc ; 
+ 157   :  3bb8b ; 
+ 158   :  3bb5b ; 
+ 159   :  3bb2a ; 
+ 15a   :  3bafa ; 
+ 15b   :  3baca ; 
+ 15c   :  3ba9a ; 
+ 15d   :  3ba6a ; 
+ 15e   :  3ba3a ; 
+ 15f   :  3ba0a ; 
+ 160   :  3b9db ; 
+ 161   :  3b9ab ; 
+ 162   :  3b97c ; 
+ 163   :  3b94d ; 
+ 164   :  3b91d ; 
+ 165   :  3b8ee ; 
+ 166   :  3b8bf ; 
+ 167   :  3b891 ; 
+ 168   :  3b862 ; 
+ 169   :  3b833 ; 
+ 16a   :  3b805 ; 
+ 16b   :  3b7d6 ; 
+ 16c   :  3b7a8 ; 
+ 16d   :  3b77a ; 
+ 16e   :  3b74b ; 
+ 16f   :  3b71e ; 
+ 170   :  3b6f0 ; 
+ 171   :  3b6c2 ; 
+ 172   :  3b694 ; 
+ 173   :  3b667 ; 
+ 174   :  3b639 ; 
+ 175   :  3b60c ; 
+ 176   :  3b5df ; 
+ 177   :  3b5b2 ; 
+ 178   :  3b585 ; 
+ 179   :  3b558 ; 
+ 17a   :  3b52b ; 
+ 17b   :  3b4ff ; 
+ 17c   :  3b4d2 ; 
+ 17d   :  3b4a6 ; 
+ 17e   :  3b479 ; 
+ 17f   :  3b44d ; 
+ 180   :  3b421 ; 
+ 181   :  3b3f6 ; 
+ 182   :  3b3ca ; 
+ 183   :  3b39e ; 
+ 184   :  3b373 ; 
+ 185   :  3b347 ; 
+ 186   :  3b31c ; 
+ 187   :  3b2f1 ; 
+ 188   :  3b2c6 ; 
+ 189   :  3b29b ; 
+ 18a   :  3b270 ; 
+ 18b   :  3b246 ; 
+ 18c   :  3b21b ; 
+ 18d   :  3b1f1 ; 
+ 18e   :  3b1c7 ; 
+ 18f   :  3b19d ; 
+ 190   :  3b173 ; 
+ 191   :  3b149 ; 
+ 192   :  3b11f ; 
+ 193   :  3b0f6 ; 
+ 194   :  3b0cc ; 
+ 195   :  3b0a3 ; 
+ 196   :  3b07a ; 
+ 197   :  3b051 ; 
+ 198   :  3b028 ; 
+ 199   :  3afff ; 
+ 19a   :  3afd7 ; 
+ 19b   :  3afae ; 
+ 19c   :  3af86 ; 
+ 19d   :  3af5e ; 
+ 19e   :  3af36 ; 
+ 19f   :  3af0e ; 
+ 1a0   :  3aee6 ; 
+ 1a1   :  3aebe ; 
+ 1a2   :  3ae97 ; 
+ 1a3   :  3ae70 ; 
+ 1a4   :  3ae49 ; 
+ 1a5   :  3ae21 ; 
+ 1a6   :  3adfb ; 
+ 1a7   :  3add4 ; 
+ 1a8   :  3adad ; 
+ 1a9   :  3ad87 ; 
+ 1aa   :  3ad61 ; 
+ 1ab   :  3ad3a ; 
+ 1ac   :  3ad14 ; 
+ 1ad   :  3acef ; 
+ 1ae   :  3acc9 ; 
+ 1af   :  3aca3 ; 
+ 1b0   :  3ac7e ; 
+ 1b1   :  3ac59 ; 
+ 1b2   :  3ac34 ; 
+ 1b3   :  3ac0f ; 
+ 1b4   :  3abea ; 
+ 1b5   :  3abc5 ; 
+ 1b6   :  3aba1 ; 
+ 1b7   :  3ab7d ; 
+ 1b8   :  3ab58 ; 
+ 1b9   :  3ab34 ; 
+ 1ba   :  3ab11 ; 
+ 1bb   :  3aaed ; 
+ 1bc   :  3aac9 ; 
+ 1bd   :  3aaa6 ; 
+ 1be   :  3aa83 ; 
+ 1bf   :  3aa60 ; 
+ 1c0   :  3aa3d ; 
+ 1c1   :  3aa1a ; 
+ 1c2   :  3a9f8 ; 
+ 1c3   :  3a9d5 ; 
+ 1c4   :  3a9b3 ; 
+ 1c5   :  3a991 ; 
+ 1c6   :  3a96f ; 
+ 1c7   :  3a94d ; 
+ 1c8   :  3a92c ; 
+ 1c9   :  3a90a ; 
+ 1ca   :  3a8e9 ; 
+ 1cb   :  3a8c8 ; 
+ 1cc   :  3a8a7 ; 
+ 1cd   :  3a887 ; 
+ 1ce   :  3a866 ; 
+ 1cf   :  3a846 ; 
+ 1d0   :  3a825 ; 
+ 1d1   :  3a805 ; 
+ 1d2   :  3a7e5 ; 
+ 1d3   :  3a7c6 ; 
+ 1d4   :  3a7a6 ; 
+ 1d5   :  3a787 ; 
+ 1d6   :  3a768 ; 
+ 1d7   :  3a749 ; 
+ 1d8   :  3a72a ; 
+ 1d9   :  3a70b ; 
+ 1da   :  3a6ed ; 
+ 1db   :  3a6cf ; 
+ 1dc   :  3a6b0 ; 
+ 1dd   :  3a692 ; 
+ 1de   :  3a675 ; 
+ 1df   :  3a657 ; 
+ 1e0   :  3a63a ; 
+ 1e1   :  3a61d ; 
+ 1e2   :  3a5ff ; 
+ 1e3   :  3a5e3 ; 
+ 1e4   :  3a5c6 ; 
+ 1e5   :  3a5a9 ; 
+ 1e6   :  3a58d ; 
+ 1e7   :  3a571 ; 
+ 1e8   :  3a555 ; 
+ 1e9   :  3a539 ; 
+ 1ea   :  3a51e ; 
+ 1eb   :  3a502 ; 
+ 1ec   :  3a4e7 ; 
+ 1ed   :  3a4cc ; 
+ 1ee   :  3a4b1 ; 
+ 1ef   :  3a497 ; 
+ 1f0   :  3a47c ; 
+ 1f1   :  3a462 ; 
+ 1f2   :  3a448 ; 
+ 1f3   :  3a42e ; 
+ 1f4   :  3a415 ; 
+ 1f5   :  3a3fb ; 
+ 1f6   :  3a3e2 ; 
+ 1f7   :  3a3c9 ; 
+ 1f8   :  3a3b0 ; 
+ 1f9   :  3a397 ; 
+ 1fa   :  3a37f ; 
+ 1fb   :  3a366 ; 
+ 1fc   :  3a34e ; 
+ 1fd   :  3a336 ; 
+ 1fe   :  3a31f ; 
+ 1ff   :  3a307 ; 
+ 200   :  3a2f0 ; 
+ 201   :  3a2d9 ; 
+ 202   :  3a2c2 ; 
+ 203   :  3a2ab ; 
+ 204   :  3a294 ; 
+ 205   :  3a27e ; 
+ 206   :  3a268 ; 
+ 207   :  3a252 ; 
+ 208   :  3a23c ; 
+ 209   :  3a227 ; 
+ 20a   :  3a211 ; 
+ 20b   :  3a1fc ; 
+ 20c   :  3a1e7 ; 
+ 20d   :  3a1d3 ; 
+ 20e   :  3a1be ; 
+ 20f   :  3a1aa ; 
+ 210   :  3a196 ; 
+ 211   :  3a182 ; 
+ 212   :  3a16e ; 
+ 213   :  3a15b ; 
+ 214   :  3a148 ; 
+ 215   :  3a135 ; 
+ 216   :  3a122 ; 
+ 217   :  3a10f ; 
+ 218   :  3a0fd ; 
+ 219   :  3a0eb ; 
+ 21a   :  3a0d9 ; 
+ 21b   :  3a0c7 ; 
+ 21c   :  3a0b5 ; 
+ 21d   :  3a0a4 ; 
+ 21e   :  3a093 ; 
+ 21f   :  3a082 ; 
+ 220   :  3a071 ; 
+ 221   :  3a061 ; 
+ 222   :  3a050 ; 
+ 223   :  3a040 ; 
+ 224   :  3a031 ; 
+ 225   :  3a021 ; 
+ 226   :  3a012 ; 
+ 227   :  3a002 ; 
+ 228   :  39ff3 ; 
+ 229   :  39fe5 ; 
+ 22a   :  39fd6 ; 
+ 22b   :  39fc8 ; 
+ 22c   :  39fba ; 
+ 22d   :  39fac ; 
+ 22e   :  39f9e ; 
+ 22f   :  39f91 ; 
+ 230   :  39f84 ; 
+ 231   :  39f77 ; 
+ 232   :  39f6a ; 
+ 233   :  39f5e ; 
+ 234   :  39f51 ; 
+ 235   :  39f45 ; 
+ 236   :  39f3a ; 
+ 237   :  39f2e ; 
+ 238   :  39f23 ; 
+ 239   :  39f17 ; 
+ 23a   :  39f0d ; 
+ 23b   :  39f02 ; 
+ 23c   :  39ef7 ; 
+ 23d   :  39eed ; 
+ 23e   :  39ee3 ; 
+ 23f   :  39ed9 ; 
+ 240   :  39ed0 ; 
+ 241   :  39ec7 ; 
+ 242   :  39ebe ; 
+ 243   :  39eb5 ; 
+ 244   :  39eac ; 
+ 245   :  39ea4 ; 
+ 246   :  39e9c ; 
+ 247   :  39e94 ; 
+ 248   :  39e8c ; 
+ 249   :  39e85 ; 
+ 24a   :  39e7e ; 
+ 24b   :  39e77 ; 
+ 24c   :  39e70 ; 
+ 24d   :  39e69 ; 
+ 24e   :  39e63 ; 
+ 24f   :  39e5d ; 
+ 250   :  39e57 ; 
+ 251   :  39e52 ; 
+ 252   :  39e4d ; 
+ 253   :  39e48 ; 
+ 254   :  39e43 ; 
+ 255   :  39e3e ; 
+ 256   :  39e3a ; 
+ 257   :  39e36 ; 
+ 258   :  39e32 ; 
+ 259   :  39e2f ; 
+ 25a   :  39e2b ; 
+ 25b   :  39e28 ; 
+ 25c   :  39e25 ; 
+ 25d   :  39e23 ; 
+ 25e   :  39e20 ; 
+ 25f   :  39e1e ; 
+ 260   :  39e1c ; 
+ 261   :  39e1b ; 
+ 262   :  39e19 ; 
+ 263   :  39e18 ; 
+ 264   :  39e17 ; 
+ 265   :  39e17 ; 
+ 266   :  39e16 ; 
+ 267   :  39e16 ; 
+ 268   :  39e16 ; 
+ 269   :  39e17 ; 
+ 26a   :  39e17 ; 
+ 26b   :  39e18 ; 
+ 26c   :  39e19 ; 
+ 26d   :  39e1b ; 
+ 26e   :  39e1d ; 
+ 26f   :  39e1e ; 
+ 270   :  39e21 ; 
+ 271   :  39e23 ; 
+ 272   :  39e26 ; 
+ 273   :  39e29 ; 
+ 274   :  39e2c ; 
+ 275   :  39e2f ; 
+ 276   :  39e33 ; 
+ 277   :  39e37 ; 
+ 278   :  39e3b ; 
+ 279   :  39e3f ; 
+ 27a   :  39e44 ; 
+ 27b   :  39e49 ; 
+ 27c   :  39e4e ; 
+ 27d   :  39e54 ; 
+ 27e   :  39e5a ; 
+ 27f   :  39e60 ; 
+ 280   :  39e66 ; 
+ 281   :  39e6c ; 
+ 282   :  39e73 ; 
+ 283   :  39e7a ; 
+ 284   :  39e82 ; 
+ 285   :  39e89 ; 
+ 286   :  39e91 ; 
+ 287   :  39e99 ; 
+ 288   :  39ea1 ; 
+ 289   :  39eaa ; 
+ 28a   :  39eb3 ; 
+ 28b   :  39ebc ; 
+ 28c   :  39ec5 ; 
+ 28d   :  39ecf ; 
+ 28e   :  39ed9 ; 
+ 28f   :  39ee3 ; 
+ 290   :  39eee ; 
+ 291   :  39ef9 ; 
+ 292   :  39f04 ; 
+ 293   :  39f0f ; 
+ 294   :  39f1a ; 
+ 295   :  39f26 ; 
+ 296   :  39f32 ; 
+ 297   :  39f3f ; 
+ 298   :  39f4b ; 
+ 299   :  39f58 ; 
+ 29a   :  39f65 ; 
+ 29b   :  39f73 ; 
+ 29c   :  39f81 ; 
+ 29d   :  39f8f ; 
+ 29e   :  39f9d ; 
+ 29f   :  39fab ; 
+ 2a0   :  39fba ; 
+ 2a1   :  39fc9 ; 
+ 2a2   :  39fd9 ; 
+ 2a3   :  39fe8 ; 
+ 2a4   :  39ff8 ; 
+ 2a5   :  3a008 ; 
+ 2a6   :  3a019 ; 
+ 2a7   :  3a029 ; 
+ 2a8   :  3a03a ; 
+ 2a9   :  3a04c ; 
+ 2aa   :  3a05d ; 
+ 2ab   :  3a06f ; 
+ 2ac   :  3a081 ; 
+ 2ad   :  3a094 ; 
+ 2ae   :  3a0a6 ; 
+ 2af   :  3a0b9 ; 
+ 2b0   :  3a0cc ; 
+ 2b1   :  3a0e0 ; 
+ 2b2   :  3a0f4 ; 
+ 2b3   :  3a108 ; 
+ 2b4   :  3a11c ; 
+ 2b5   :  3a131 ; 
+ 2b6   :  3a146 ; 
+ 2b7   :  3a15b ; 
+ 2b8   :  3a170 ; 
+ 2b9   :  3a186 ; 
+ 2ba   :  3a19c ; 
+ 2bb   :  3a1b2 ; 
+ 2bc   :  3a1c9 ; 
+ 2bd   :  3a1e0 ; 
+ 2be   :  3a1f7 ; 
+ 2bf   :  3a20e ; 
+ 2c0   :  3a226 ; 
+ 2c1   :  3a23e ; 
+ 2c2   :  3a256 ; 
+ 2c3   :  3a26f ; 
+ 2c4   :  3a287 ; 
+ 2c5   :  3a2a1 ; 
+ 2c6   :  3a2ba ; 
+ 2c7   :  3a2d4 ; 
+ 2c8   :  3a2ee ; 
+ 2c9   :  3a308 ; 
+ 2ca   :  3a322 ; 
+ 2cb   :  3a33d ; 
+ 2cc   :  3a358 ; 
+ 2cd   :  3a374 ; 
+ 2ce   :  3a390 ; 
+ 2cf   :  3a3ab ; 
+ 2d0   :  3a3c8 ; 
+ 2d1   :  3a3e4 ; 
+ 2d2   :  3a401 ; 
+ 2d3   :  3a41e ; 
+ 2d4   :  3a43c ; 
+ 2d5   :  3a459 ; 
+ 2d6   :  3a477 ; 
+ 2d7   :  3a496 ; 
+ 2d8   :  3a4b4 ; 
+ 2d9   :  3a4d3 ; 
+ 2da   :  3a4f2 ; 
+ 2db   :  3a512 ; 
+ 2dc   :  3a531 ; 
+ 2dd   :  3a551 ; 
+ 2de   :  3a572 ; 
+ 2df   :  3a592 ; 
+ 2e0   :  3a5b3 ; 
+ 2e1   :  3a5d5 ; 
+ 2e2   :  3a5f6 ; 
+ 2e3   :  3a618 ; 
+ 2e4   :  3a63a ; 
+ 2e5   :  3a65c ; 
+ 2e6   :  3a67f ; 
+ 2e7   :  3a6a2 ; 
+ 2e8   :  3a6c5 ; 
+ 2e9   :  3a6e9 ; 
+ 2ea   :  3a70c ; 
+ 2eb   :  3a731 ; 
+ 2ec   :  3a755 ; 
+ 2ed   :  3a77a ; 
+ 2ee   :  3a79f ; 
+ 2ef   :  3a7c4 ; 
+ 2f0   :  3a7ea ; 
+ 2f1   :  3a80f ; 
+ 2f2   :  3a836 ; 
+ 2f3   :  3a85c ; 
+ 2f4   :  3a883 ; 
+ 2f5   :  3a8aa ; 
+ 2f6   :  3a8d1 ; 
+ 2f7   :  3a8f9 ; 
+ 2f8   :  3a921 ; 
+ 2f9   :  3a949 ; 
+ 2fa   :  3a972 ; 
+ 2fb   :  3a99b ; 
+ 2fc   :  3a9c4 ; 
+ 2fd   :  3a9ed ; 
+ 2fe   :  3aa17 ; 
+ 2ff   :  3aa41 ; 
+ 300   :  3aa6b ; 
+ 301   :  3aa96 ; 
+ 302   :  3aac1 ; 
+ 303   :  3aaec ; 
+ 304   :  3ab18 ; 
+ 305   :  3ab44 ; 
+ 306   :  3ab70 ; 
+ 307   :  3ab9c ; 
+ 308   :  3abc9 ; 
+ 309   :  3abf6 ; 
+ 30a   :  3ac23 ; 
+ 30b   :  3ac51 ; 
+ 30c   :  3ac7f ; 
+ 30d   :  3acad ; 
+ 30e   :  3acdc ; 
+ 30f   :  3ad0b ; 
+ 310   :  3ad3a ; 
+ 311   :  3ad69 ; 
+ 312   :  3ad99 ; 
+ 313   :  3adc9 ; 
+ 314   :  3adf9 ; 
+ 315   :  3ae2a ; 
+ 316   :  3ae5b ; 
+ 317   :  3ae8c ; 
+ 318   :  3aebe ; 
+ 319   :  3aef0 ; 
+ 31a   :  3af22 ; 
+ 31b   :  3af54 ; 
+ 31c   :  3af87 ; 
+ 31d   :  3afba ; 
+ 31e   :  3afed ; 
+ 31f   :  3b021 ; 
+ 320   :  3b055 ; 
+ 321   :  3b089 ; 
+ 322   :  3b0be ; 
+ 323   :  3b0f3 ; 
+ 324   :  3b128 ; 
+ 325   :  3b15e ; 
+ 326   :  3b193 ; 
+ 327   :  3b1c9 ; 
+ 328   :  3b200 ; 
+ 329   :  3b237 ; 
+ 32a   :  3b26e ; 
+ 32b   :  3b2a5 ; 
+ 32c   :  3b2dd ; 
+ 32d   :  3b314 ; 
+ 32e   :  3b34d ; 
+ 32f   :  3b385 ; 
+ 330   :  3b3be ; 
+ 331   :  3b3f7 ; 
+ 332   :  3b431 ; 
+ 333   :  3b46a ; 
+ 334   :  3b4a4 ; 
+ 335   :  3b4df ; 
+ 336   :  3b519 ; 
+ 337   :  3b554 ; 
+ 338   :  3b590 ; 
+ 339   :  3b5cb ; 
+ 33a   :  3b607 ; 
+ 33b   :  3b643 ; 
+ 33c   :  3b680 ; 
+ 33d   :  3b6bd ; 
+ 33e   :  3b6fa ; 
+ 33f   :  3b737 ; 
+ 340   :  3b775 ; 
+ 341   :  3b7b3 ; 
+ 342   :  3b7f1 ; 
+ 343   :  3b830 ; 
+ 344   :  3b86f ; 
+ 345   :  3b8ae ; 
+ 346   :  3b8ee ; 
+ 347   :  3b92e ; 
+ 348   :  3b96e ; 
+ 349   :  3b9ae ; 
+ 34a   :  3b9ef ; 
+ 34b   :  3ba30 ; 
+ 34c   :  3ba71 ; 
+ 34d   :  3bab3 ; 
+ 34e   :  3baf5 ; 
+ 34f   :  3bb38 ; 
+ 350   :  3bb7a ; 
+ 351   :  3bbbd ; 
+ 352   :  3bc00 ; 
+ 353   :  3bc44 ; 
+ 354   :  3bc88 ; 
+ 355   :  3bccc ; 
+ 356   :  3bd10 ; 
+ 357   :  3bd55 ; 
+ 358   :  3bd9a ; 
+ 359   :  3bddf ; 
+ 35a   :  3be25 ; 
+ 35b   :  3be6b ; 
+ 35c   :  3beb1 ; 
+ 35d   :  3bef8 ; 
+ 35e   :  3bf3f ; 
+ 35f   :  3bf86 ; 
+ 360   :  3bfce ; 
+ 361   :  3c015 ; 
+ 362   :  3c05e ; 
+ 363   :  3c0a6 ; 
+ 364   :  3c0ef ; 
+ 365   :  3c138 ; 
+ 366   :  3c181 ; 
+ 367   :  3c1cb ; 
+ 368   :  3c215 ; 
+ 369   :  3c25f ; 
+ 36a   :  3c2aa ; 
+ 36b   :  3c2f4 ; 
+ 36c   :  3c340 ; 
+ 36d   :  3c38b ; 
+ 36e   :  3c3d7 ; 
+ 36f   :  3c423 ; 
+ 370   :  3c46f ; 
+ 371   :  3c4bc ; 
+ 372   :  3c509 ; 
+ 373   :  3c556 ; 
+ 374   :  3c5a4 ; 
+ 375   :  3c5f2 ; 
+ 376   :  3c640 ; 
+ 377   :  3c68f ; 
+ 378   :  3c6de ; 
+ 379   :  3c72d ; 
+ 37a   :  3c77c ; 
+ 37b   :  3c7cc ; 
+ 37c   :  3c81c ; 
+ 37d   :  3c86c ; 
+ 37e   :  3c8bd ; 
+ 37f   :  3c90e ; 
+ 380   :  3c95f ; 
+ 381   :  3c9b1 ; 
+ 382   :  3ca03 ; 
+ 383   :  3ca55 ; 
+ 384   :  3caa8 ; 
+ 385   :  3cafa ; 
+ 386   :  3cb4d ; 
+ 387   :  3cba1 ; 
+ 388   :  3cbf5 ; 
+ 389   :  3cc49 ; 
+ 38a   :  3cc9d ; 
+ 38b   :  3ccf2 ; 
+ 38c   :  3cd46 ; 
+ 38d   :  3cd9c ; 
+ 38e   :  3cdf1 ; 
+ 38f   :  3ce47 ; 
+ 390   :  3ce9d ; 
+ 391   :  3cef4 ; 
+ 392   :  3cf4a ; 
+ 393   :  3cfa1 ; 
+ 394   :  3cff9 ; 
+ 395   :  3d050 ; 
+ 396   :  3d0a8 ; 
+ 397   :  3d101 ; 
+ 398   :  3d159 ; 
+ 399   :  3d1b2 ; 
+ 39a   :  3d20b ; 
+ 39b   :  3d265 ; 
+ 39c   :  3d2be ; 
+ 39d   :  3d318 ; 
+ 39e   :  3d373 ; 
+ 39f   :  3d3cd ; 
+ 3a0   :  3d428 ; 
+ 3a1   :  3d484 ; 
+ 3a2   :  3d4df ; 
+ 3a3   :  3d53b ; 
+ 3a4   :  3d597 ; 
+ 3a5   :  3d5f4 ; 
+ 3a6   :  3d650 ; 
+ 3a7   :  3d6ad ; 
+ 3a8   :  3d70b ; 
+ 3a9   :  3d768 ; 
+ 3aa   :  3d7c6 ; 
+ 3ab   :  3d825 ; 
+ 3ac   :  3d883 ; 
+ 3ad   :  3d8e2 ; 
+ 3ae   :  3d941 ; 
+ 3af   :  3d9a0 ; 
+ 3b0   :  3da00 ; 
+ 3b1   :  3da60 ; 
+ 3b2   :  3dac1 ; 
+ 3b3   :  3db21 ; 
+ 3b4   :  3db82 ; 
+ 3b5   :  3dbe3 ; 
+ 3b6   :  3dc45 ; 
+ 3b7   :  3dca7 ; 
+ 3b8   :  3dd09 ; 
+ 3b9   :  3dd6b ; 
+ 3ba   :  3ddce ; 
+ 3bb   :  3de31 ; 
+ 3bc   :  3de94 ; 
+ 3bd   :  3def8 ; 
+ 3be   :  3df5b ; 
+ 3bf   :  3dfc0 ; 
+ 3c0   :  3e024 ; 
+ 3c1   :  3e089 ; 
+ 3c2   :  3e0ee ; 
+ 3c3   :  3e153 ; 
+ 3c4   :  3e1b9 ; 
+ 3c5   :  3e21f ; 
+ 3c6   :  3e285 ; 
+ 3c7   :  3e2eb ; 
+ 3c8   :  3e352 ; 
+ 3c9   :  3e3b9 ; 
+ 3ca   :  3e421 ; 
+ 3cb   :  3e488 ; 
+ 3cc   :  3e4f0 ; 
+ 3cd   :  3e559 ; 
+ 3ce   :  3e5c1 ; 
+ 3cf   :  3e62a ; 
+ 3d0   :  3e693 ; 
+ 3d1   :  3e6fc ; 
+ 3d2   :  3e766 ; 
+ 3d3   :  3e7d0 ; 
+ 3d4   :  3e83a ; 
+ 3d5   :  3e8a5 ; 
+ 3d6   :  3e910 ; 
+ 3d7   :  3e97b ; 
+ 3d8   :  3e9e6 ; 
+ 3d9   :  3ea52 ; 
+ 3da   :  3eabe ; 
+ 3db   :  3eb2a ; 
+ 3dc   :  3eb97 ; 
+ 3dd   :  3ec04 ; 
+ 3de   :  3ec71 ; 
+ 3df   :  3ecde ; 
+ 3e0   :  3ed4c ; 
+ 3e1   :  3edba ; 
+ 3e2   :  3ee28 ; 
+ 3e3   :  3ee97 ; 
+ 3e4   :  3ef06 ; 
+ 3e5   :  3ef75 ; 
+ 3e6   :  3efe4 ; 
+ 3e7   :  3f054 ; 
+ 3e8   :  3f0c4 ; 
+ 3e9   :  3f134 ; 
+ 3ea   :  3f1a5 ; 
+ 3eb   :  3f215 ; 
+ 3ec   :  3f286 ; 
+ 3ed   :  3f2f8 ; 
+ 3ee   :  3f369 ; 
+ 3ef   :  3f3db ; 
+ 3f0   :  3f44e ; 
+ 3f1   :  3f4c0 ; 
+ 3f2   :  3f533 ; 
+ 3f3   :  3f5a6 ; 
+ 3f4   :  3f619 ; 
+ 3f5   :  3f68d ; 
+ 3f6   :  3f701 ; 
+ 3f7   :  3f775 ; 
+ 3f8   :  3f7e9 ; 
+ 3f9   :  3f85e ; 
+ 3fa   :  3f8d3 ; 
+ 3fb   :  3f948 ; 
+ 3fc   :  3f9be ; 
+ 3fd   :  3fa34 ; 
+ 3fe   :  3faaa ; 
+ 3ff   :  3fb20 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b.dat b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b.dat
new file mode 100644
index 0000000000000000000000000000000000000000..a7d31bdfc7cd89b2588db0f2afe4f2604718a5a1
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b.dat
@@ -0,0 +1,16384 @@
+40
+40
+40
+39
+38
+37
+36
+34
+33
+31
+30
+28
+26
+24
+22
+21
+19
+17
+16
+14
+13
+12
+11
+10
+9
+9
+9
+8
+8
+8
+8
+8
+9
+9
+9
+10
+10
+10
+11
+11
+11
+12
+12
+12
+12
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+14
+14
+14
+14
+14
+15
+15
+15
+15
+15
+15
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+17
+17
+17
+17
+17
+17
+17
+17
+17
+18
+18
+18
+18
+18
+18
+19
+19
+19
+19
+19
+19
+19
+20
+20
+20
+20
+20
+20
+20
+20
+20
+21
+21
+21
+21
+21
+21
+21
+22
+22
+22
+22
+22
+22
+22
+23
+23
+23
+23
+23
+23
+24
+24
+24
+24
+24
+24
+24
+25
+25
+25
+25
+25
+25
+25
+26
+26
+26
+26
+26
+26
+27
+27
+27
+27
+27
+27
+28
+28
+28
+28
+28
+28
+29
+29
+29
+29
+29
+30
+30
+30
+30
+30
+30
+30
+31
+31
+31
+31
+31
+32
+32
+32
+32
+32
+32
+33
+33
+33
+33
+33
+34
+34
+34
+34
+34
+35
+35
+35
+35
+35
+36
+36
+36
+36
+36
+37
+37
+37
+37
+37
+38
+38
+38
+38
+38
+39
+39
+39
+39
+39
+40
+40
+40
+40
+40
+41
+41
+41
+41
+42
+42
+42
+42
+42
+43
+43
+43
+43
+43
+44
+44
+44
+44
+45
+45
+45
+45
+46
+46
+46
+46
+46
+47
+47
+47
+47
+48
+48
+48
+48
+49
+49
+49
+49
+50
+50
+50
+50
+51
+51
+51
+51
+52
+52
+52
+52
+53
+53
+53
+53
+54
+54
+54
+54
+55
+55
+55
+55
+56
+56
+56
+56
+57
+57
+57
+58
+58
+58
+58
+59
+59
+59
+59
+60
+60
+60
+61
+61
+61
+61
+62
+62
+62
+62
+63
+63
+63
+64
+64
+64
+65
+65
+65
+65
+66
+66
+66
+67
+67
+67
+67
+68
+68
+68
+69
+69
+69
+70
+70
+70
+70
+71
+71
+71
+72
+72
+72
+73
+73
+73
+74
+74
+74
+74
+75
+75
+75
+76
+76
+76
+77
+77
+77
+78
+78
+78
+79
+79
+79
+80
+80
+80
+81
+81
+81
+82
+82
+82
+83
+83
+83
+84
+84
+84
+85
+85
+85
+86
+86
+86
+87
+87
+87
+88
+88
+89
+89
+89
+90
+90
+90
+91
+91
+91
+92
+92
+92
+93
+93
+94
+94
+94
+95
+95
+95
+96
+96
+97
+97
+97
+98
+98
+98
+99
+99
+100
+100
+100
+101
+101
+101
+102
+102
+103
+103
+103
+104
+104
+105
+105
+105
+106
+106
+106
+107
+107
+108
+108
+108
+109
+109
+110
+110
+110
+111
+111
+112
+112
+113
+113
+113
+114
+114
+115
+115
+115
+116
+116
+117
+117
+117
+118
+118
+119
+119
+120
+120
+120
+121
+121
+122
+122
+123
+123
+123
+124
+124
+125
+125
+126
+126
+126
+127
+127
+128
+128
+129
+129
+129
+130
+130
+131
+131
+132
+132
+133
+133
+134
+134
+134
+135
+135
+136
+136
+137
+137
+138
+138
+139
+139
+139
+140
+140
+141
+141
+142
+142
+143
+143
+144
+144
+145
+145
+145
+146
+146
+147
+147
+148
+148
+149
+149
+150
+150
+151
+151
+152
+152
+153
+153
+154
+154
+155
+155
+156
+156
+157
+157
+158
+158
+159
+159
+159
+160
+160
+161
+161
+162
+162
+163
+163
+164
+164
+165
+165
+166
+167
+167
+168
+168
+169
+169
+170
+170
+171
+171
+172
+172
+173
+173
+174
+174
+175
+175
+176
+176
+177
+177
+178
+178
+179
+179
+180
+180
+181
+181
+182
+183
+183
+184
+184
+185
+185
+186
+186
+187
+187
+188
+188
+189
+189
+190
+191
+191
+192
+192
+193
+193
+194
+194
+195
+195
+196
+197
+197
+198
+198
+199
+199
+200
+200
+201
+201
+202
+203
+203
+204
+204
+205
+205
+206
+206
+207
+208
+208
+209
+209
+210
+210
+211
+212
+212
+213
+213
+214
+214
+215
+215
+216
+217
+217
+218
+218
+219
+219
+220
+221
+221
+222
+222
+223
+223
+224
+225
+225
+226
+226
+227
+228
+228
+229
+229
+230
+230
+231
+232
+232
+233
+233
+234
+235
+235
+236
+236
+237
+237
+238
+239
+239
+240
+240
+241
+242
+242
+243
+243
+244
+245
+245
+246
+246
+247
+248
+248
+249
+249
+250
+251
+251
+252
+252
+253
+254
+254
+255
+255
+256
+257
+257
+258
+258
+259
+260
+260
+261
+261
+262
+263
+263
+264
+264
+265
+266
+266
+267
+267
+268
+269
+269
+270
+271
+271
+272
+272
+273
+274
+274
+275
+275
+276
+277
+277
+278
+278
+279
+280
+280
+281
+282
+282
+283
+283
+284
+285
+285
+286
+287
+287
+288
+288
+289
+290
+290
+291
+291
+292
+293
+293
+294
+295
+295
+296
+296
+297
+298
+298
+299
+300
+300
+301
+301
+302
+303
+303
+304
+304
+305
+306
+306
+307
+308
+308
+309
+309
+310
+311
+311
+312
+313
+313
+314
+314
+315
+316
+316
+317
+318
+318
+319
+319
+320
+321
+321
+322
+323
+323
+324
+324
+325
+326
+326
+327
+328
+328
+329
+329
+330
+331
+331
+332
+333
+333
+334
+334
+335
+336
+336
+337
+338
+338
+339
+339
+340
+341
+341
+342
+342
+343
+344
+344
+345
+346
+346
+347
+347
+348
+349
+349
+350
+351
+351
+352
+352
+353
+354
+354
+355
+355
+356
+357
+357
+358
+359
+359
+360
+360
+361
+362
+362
+363
+363
+364
+365
+365
+366
+366
+367
+368
+368
+369
+370
+370
+371
+371
+372
+373
+373
+374
+374
+375
+376
+376
+377
+377
+378
+379
+379
+380
+380
+381
+382
+382
+383
+383
+384
+385
+385
+386
+386
+387
+388
+388
+389
+389
+390
+390
+391
+392
+392
+393
+393
+394
+395
+395
+396
+396
+397
+398
+398
+399
+399
+400
+400
+401
+402
+402
+403
+403
+404
+404
+405
+406
+406
+407
+407
+408
+408
+409
+410
+410
+411
+411
+412
+412
+413
+414
+414
+415
+415
+416
+416
+417
+417
+418
+419
+419
+420
+420
+421
+421
+422
+422
+423
+424
+424
+425
+425
+426
+426
+427
+427
+428
+428
+429
+429
+430
+431
+431
+432
+432
+433
+433
+434
+434
+435
+435
+436
+436
+437
+437
+438
+438
+439
+439
+440
+440
+441
+441
+442
+443
+443
+444
+444
+445
+445
+446
+446
+447
+447
+448
+448
+449
+449
+450
+450
+450
+451
+451
+452
+452
+453
+453
+454
+454
+455
+455
+456
+456
+457
+457
+458
+458
+459
+459
+460
+460
+460
+461
+461
+462
+462
+463
+463
+464
+464
+465
+465
+465
+466
+466
+467
+467
+468
+468
+469
+469
+469
+470
+470
+471
+471
+472
+472
+472
+473
+473
+474
+474
+475
+475
+475
+476
+476
+477
+477
+477
+478
+478
+479
+479
+479
+480
+480
+481
+481
+481
+482
+482
+482
+483
+483
+484
+484
+484
+485
+485
+485
+486
+486
+487
+487
+487
+488
+488
+488
+489
+489
+489
+490
+490
+490
+491
+491
+491
+492
+492
+492
+493
+493
+493
+494
+494
+494
+495
+495
+495
+496
+496
+496
+497
+497
+497
+497
+498
+498
+498
+499
+499
+499
+500
+500
+500
+500
+501
+501
+501
+501
+502
+502
+502
+503
+503
+503
+503
+504
+504
+504
+504
+505
+505
+505
+505
+506
+506
+506
+506
+506
+507
+507
+507
+507
+508
+508
+508
+508
+508
+509
+509
+509
+509
+509
+510
+510
+510
+510
+510
+511
+511
+511
+511
+511
+512
+512
+512
+512
+512
+512
+512
+513
+513
+513
+513
+513
+513
+514
+514
+514
+514
+514
+514
+514
+514
+515
+515
+515
+515
+515
+515
+515
+515
+515
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+515
+515
+515
+515
+515
+515
+515
+515
+514
+514
+514
+514
+514
+514
+514
+513
+513
+513
+513
+513
+513
+513
+512
+512
+512
+512
+512
+511
+511
+511
+511
+511
+510
+510
+510
+510
+510
+509
+509
+509
+509
+508
+508
+508
+508
+508
+507
+507
+507
+507
+506
+506
+506
+505
+505
+505
+505
+504
+504
+504
+504
+503
+503
+503
+502
+502
+502
+501
+501
+501
+500
+500
+500
+499
+499
+499
+498
+498
+498
+497
+497
+497
+496
+496
+496
+495
+495
+494
+494
+494
+493
+493
+493
+492
+492
+491
+491
+491
+490
+490
+489
+489
+488
+488
+488
+487
+487
+486
+486
+485
+485
+484
+484
+484
+483
+483
+482
+482
+481
+481
+480
+480
+479
+479
+478
+478
+477
+477
+476
+476
+475
+475
+474
+474
+473
+473
+472
+471
+471
+470
+470
+469
+469
+468
+468
+467
+466
+466
+465
+465
+464
+463
+463
+462
+462
+461
+460
+460
+459
+459
+458
+457
+457
+456
+455
+455
+454
+454
+453
+452
+452
+451
+450
+450
+449
+448
+448
+447
+446
+446
+445
+444
+443
+443
+442
+441
+441
+440
+439
+438
+438
+437
+436
+436
+435
+434
+433
+433
+432
+431
+430
+430
+429
+428
+427
+426
+426
+425
+424
+423
+423
+422
+421
+420
+419
+418
+418
+417
+416
+415
+414
+414
+413
+412
+411
+410
+409
+408
+408
+407
+406
+405
+404
+403
+402
+401
+401
+400
+399
+398
+397
+396
+395
+394
+393
+392
+392
+391
+390
+389
+388
+387
+386
+385
+384
+383
+382
+381
+380
+379
+378
+377
+376
+375
+374
+373
+372
+371
+370
+369
+368
+367
+366
+365
+364
+363
+362
+361
+360
+359
+358
+357
+356
+355
+354
+353
+352
+351
+350
+349
+348
+347
+346
+344
+343
+342
+341
+340
+339
+338
+337
+336
+335
+333
+332
+331
+330
+329
+328
+327
+326
+324
+323
+322
+321
+320
+319
+317
+316
+315
+314
+313
+312
+310
+309
+308
+307
+306
+304
+303
+302
+301
+300
+298
+297
+296
+295
+294
+292
+291
+290
+289
+287
+286
+285
+284
+282
+281
+280
+279
+277
+276
+275
+273
+272
+271
+270
+268
+267
+266
+264
+263
+262
+260
+259
+258
+256
+255
+254
+253
+251
+250
+248
+247
+246
+244
+243
+242
+240
+239
+238
+236
+235
+234
+232
+231
+229
+228
+227
+225
+224
+222
+221
+220
+218
+217
+215
+214
+213
+211
+210
+208
+207
+205
+204
+203
+201
+200
+198
+197
+195
+194
+192
+191
+189
+188
+187
+185
+184
+182
+181
+179
+178
+176
+175
+173
+172
+170
+169
+167
+166
+164
+163
+161
+160
+158
+157
+155
+153
+152
+150
+149
+147
+146
+144
+143
+141
+140
+138
+136
+135
+133
+132
+130
+129
+127
+125
+124
+122
+121
+119
+118
+116
+114
+113
+111
+110
+108
+106
+105
+103
+101
+100
+98
+97
+95
+93
+92
+90
+88
+87
+85
+84
+82
+80
+79
+77
+75
+74
+72
+70
+69
+67
+65
+64
+62
+60
+59
+57
+55
+54
+52
+50
+48
+47
+45
+43
+42
+40
+38
+37
+35
+33
+31
+30
+28
+26
+25
+23
+21
+19
+18
+16
+14
+12
+11
+9
+7
+6
+4
+2
+0
+-1
+-3
+-5
+-7
+-8
+-10
+-12
+-14
+-16
+-17
+-19
+-21
+-23
+-24
+-26
+-28
+-30
+-32
+-33
+-35
+-37
+-39
+-40
+-42
+-44
+-46
+-48
+-49
+-51
+-53
+-55
+-57
+-58
+-60
+-62
+-64
+-66
+-67
+-69
+-71
+-73
+-75
+-77
+-78
+-80
+-82
+-84
+-86
+-87
+-89
+-91
+-93
+-95
+-97
+-98
+-100
+-102
+-104
+-106
+-108
+-110
+-111
+-113
+-115
+-117
+-119
+-121
+-122
+-124
+-126
+-128
+-130
+-132
+-134
+-135
+-137
+-139
+-141
+-143
+-145
+-147
+-148
+-150
+-152
+-154
+-156
+-158
+-160
+-162
+-163
+-165
+-167
+-169
+-171
+-173
+-175
+-177
+-178
+-180
+-182
+-184
+-186
+-188
+-190
+-192
+-194
+-195
+-197
+-199
+-201
+-203
+-205
+-207
+-209
+-211
+-212
+-214
+-216
+-218
+-220
+-222
+-224
+-226
+-228
+-229
+-231
+-233
+-235
+-237
+-239
+-241
+-243
+-245
+-247
+-248
+-250
+-252
+-254
+-256
+-258
+-260
+-262
+-264
+-265
+-267
+-269
+-271
+-273
+-275
+-277
+-279
+-281
+-283
+-284
+-286
+-288
+-290
+-292
+-294
+-296
+-298
+-300
+-302
+-303
+-305
+-307
+-309
+-311
+-313
+-315
+-317
+-319
+-321
+-322
+-324
+-326
+-328
+-330
+-332
+-334
+-336
+-338
+-340
+-341
+-343
+-345
+-347
+-349
+-351
+-353
+-355
+-357
+-358
+-360
+-362
+-364
+-366
+-368
+-370
+-372
+-373
+-375
+-377
+-379
+-381
+-383
+-385
+-387
+-389
+-390
+-392
+-394
+-396
+-398
+-400
+-402
+-404
+-405
+-407
+-409
+-411
+-413
+-415
+-417
+-418
+-420
+-422
+-424
+-426
+-428
+-430
+-431
+-433
+-435
+-437
+-439
+-441
+-443
+-444
+-446
+-448
+-450
+-452
+-454
+-455
+-457
+-459
+-461
+-463
+-465
+-466
+-468
+-470
+-472
+-474
+-475
+-477
+-479
+-481
+-483
+-485
+-486
+-488
+-490
+-492
+-494
+-495
+-497
+-499
+-501
+-503
+-504
+-506
+-508
+-510
+-512
+-513
+-515
+-517
+-519
+-520
+-522
+-524
+-526
+-528
+-529
+-531
+-533
+-535
+-536
+-538
+-540
+-542
+-543
+-545
+-547
+-549
+-550
+-552
+-554
+-555
+-557
+-559
+-561
+-562
+-564
+-566
+-568
+-569
+-571
+-573
+-574
+-576
+-578
+-579
+-581
+-583
+-585
+-586
+-588
+-590
+-591
+-593
+-595
+-596
+-598
+-600
+-601
+-603
+-605
+-606
+-608
+-610
+-611
+-613
+-615
+-616
+-618
+-619
+-621
+-623
+-624
+-626
+-628
+-629
+-631
+-632
+-634
+-636
+-637
+-639
+-640
+-642
+-644
+-645
+-647
+-648
+-650
+-651
+-653
+-655
+-656
+-658
+-659
+-661
+-662
+-664
+-665
+-667
+-669
+-670
+-672
+-673
+-675
+-676
+-678
+-679
+-681
+-682
+-684
+-685
+-687
+-688
+-690
+-691
+-693
+-694
+-696
+-697
+-698
+-700
+-701
+-703
+-704
+-706
+-707
+-709
+-710
+-711
+-713
+-714
+-716
+-717
+-719
+-720
+-721
+-723
+-724
+-726
+-727
+-728
+-730
+-731
+-732
+-734
+-735
+-737
+-738
+-739
+-741
+-742
+-743
+-745
+-746
+-747
+-749
+-750
+-751
+-752
+-754
+-755
+-756
+-758
+-759
+-760
+-761
+-763
+-764
+-765
+-767
+-768
+-769
+-770
+-772
+-773
+-774
+-775
+-776
+-778
+-779
+-780
+-781
+-782
+-784
+-785
+-786
+-787
+-788
+-790
+-791
+-792
+-793
+-794
+-795
+-796
+-798
+-799
+-800
+-801
+-802
+-803
+-804
+-805
+-806
+-808
+-809
+-810
+-811
+-812
+-813
+-814
+-815
+-816
+-817
+-818
+-819
+-820
+-821
+-822
+-823
+-824
+-825
+-826
+-827
+-828
+-829
+-830
+-831
+-832
+-833
+-834
+-835
+-836
+-837
+-838
+-839
+-840
+-841
+-842
+-842
+-843
+-844
+-845
+-846
+-847
+-848
+-849
+-849
+-850
+-851
+-852
+-853
+-854
+-854
+-855
+-856
+-857
+-858
+-859
+-859
+-860
+-861
+-862
+-862
+-863
+-864
+-865
+-865
+-866
+-867
+-868
+-868
+-869
+-870
+-870
+-871
+-872
+-873
+-873
+-874
+-875
+-875
+-876
+-877
+-877
+-878
+-878
+-879
+-880
+-880
+-881
+-882
+-882
+-883
+-883
+-884
+-884
+-885
+-886
+-886
+-887
+-887
+-888
+-888
+-889
+-889
+-890
+-890
+-891
+-891
+-892
+-892
+-893
+-893
+-894
+-894
+-894
+-895
+-895
+-896
+-896
+-897
+-897
+-897
+-898
+-898
+-898
+-899
+-899
+-900
+-900
+-900
+-901
+-901
+-901
+-902
+-902
+-902
+-902
+-903
+-903
+-903
+-904
+-904
+-904
+-904
+-905
+-905
+-905
+-905
+-906
+-906
+-906
+-906
+-906
+-906
+-907
+-907
+-907
+-907
+-907
+-907
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-907
+-907
+-907
+-907
+-907
+-907
+-906
+-906
+-906
+-906
+-906
+-905
+-905
+-905
+-905
+-904
+-904
+-904
+-904
+-903
+-903
+-903
+-903
+-902
+-902
+-902
+-901
+-901
+-901
+-900
+-900
+-900
+-899
+-899
+-898
+-898
+-898
+-897
+-897
+-896
+-896
+-896
+-895
+-895
+-894
+-894
+-893
+-893
+-892
+-892
+-891
+-891
+-890
+-890
+-889
+-889
+-888
+-888
+-887
+-886
+-886
+-885
+-885
+-884
+-883
+-883
+-882
+-882
+-881
+-880
+-880
+-879
+-878
+-878
+-877
+-876
+-876
+-875
+-874
+-873
+-873
+-872
+-871
+-870
+-870
+-869
+-868
+-867
+-867
+-866
+-865
+-864
+-863
+-862
+-862
+-861
+-860
+-859
+-858
+-857
+-856
+-856
+-855
+-854
+-853
+-852
+-851
+-850
+-849
+-848
+-847
+-846
+-845
+-844
+-843
+-842
+-841
+-840
+-839
+-838
+-837
+-836
+-835
+-834
+-833
+-832
+-831
+-830
+-829
+-828
+-826
+-825
+-824
+-823
+-822
+-821
+-820
+-818
+-817
+-816
+-815
+-814
+-813
+-811
+-810
+-809
+-808
+-806
+-805
+-804
+-803
+-801
+-800
+-799
+-798
+-796
+-795
+-794
+-792
+-791
+-790
+-788
+-787
+-786
+-784
+-783
+-781
+-780
+-779
+-777
+-776
+-774
+-773
+-772
+-770
+-769
+-767
+-766
+-764
+-763
+-761
+-760
+-758
+-757
+-755
+-754
+-752
+-751
+-749
+-748
+-746
+-744
+-743
+-741
+-740
+-738
+-736
+-735
+-733
+-732
+-730
+-728
+-727
+-725
+-723
+-722
+-720
+-718
+-717
+-715
+-713
+-711
+-710
+-708
+-706
+-705
+-703
+-701
+-699
+-697
+-696
+-694
+-692
+-690
+-689
+-687
+-685
+-683
+-681
+-679
+-677
+-676
+-674
+-672
+-670
+-668
+-666
+-664
+-662
+-660
+-659
+-657
+-655
+-653
+-651
+-649
+-647
+-645
+-643
+-641
+-639
+-637
+-635
+-633
+-631
+-629
+-627
+-625
+-623
+-621
+-619
+-617
+-614
+-612
+-610
+-608
+-606
+-604
+-602
+-600
+-598
+-595
+-593
+-591
+-589
+-587
+-585
+-582
+-580
+-578
+-576
+-574
+-571
+-569
+-567
+-565
+-563
+-560
+-558
+-556
+-554
+-551
+-549
+-547
+-544
+-542
+-540
+-537
+-535
+-533
+-531
+-528
+-526
+-523
+-521
+-519
+-516
+-514
+-512
+-509
+-507
+-504
+-502
+-500
+-497
+-495
+-492
+-490
+-487
+-485
+-483
+-480
+-478
+-475
+-473
+-470
+-468
+-465
+-463
+-460
+-458
+-455
+-453
+-450
+-448
+-445
+-442
+-440
+-437
+-435
+-432
+-430
+-427
+-424
+-422
+-419
+-417
+-414
+-411
+-409
+-406
+-404
+-401
+-398
+-396
+-393
+-390
+-388
+-385
+-382
+-380
+-377
+-374
+-371
+-369
+-366
+-363
+-361
+-358
+-355
+-352
+-350
+-347
+-344
+-341
+-339
+-336
+-333
+-330
+-327
+-325
+-322
+-319
+-316
+-313
+-311
+-308
+-305
+-302
+-299
+-296
+-294
+-291
+-288
+-285
+-282
+-279
+-276
+-273
+-271
+-268
+-265
+-262
+-259
+-256
+-253
+-250
+-247
+-244
+-241
+-238
+-236
+-233
+-230
+-227
+-224
+-221
+-218
+-215
+-212
+-209
+-206
+-203
+-200
+-197
+-194
+-191
+-188
+-185
+-182
+-179
+-176
+-173
+-170
+-167
+-164
+-160
+-157
+-154
+-151
+-148
+-145
+-142
+-139
+-136
+-133
+-130
+-127
+-124
+-120
+-117
+-114
+-111
+-108
+-105
+-102
+-99
+-95
+-92
+-89
+-86
+-83
+-80
+-77
+-73
+-70
+-67
+-64
+-61
+-58
+-54
+-51
+-48
+-45
+-42
+-39
+-35
+-32
+-29
+-26
+-23
+-19
+-16
+-13
+-10
+-6
+-3
+0
+3
+6
+10
+13
+16
+19
+23
+26
+29
+32
+36
+39
+42
+45
+49
+52
+55
+58
+62
+65
+68
+72
+75
+78
+81
+85
+88
+91
+95
+98
+101
+105
+108
+111
+114
+118
+121
+124
+128
+131
+134
+138
+141
+144
+148
+151
+154
+158
+161
+164
+168
+171
+174
+178
+181
+184
+188
+191
+194
+198
+201
+204
+208
+211
+214
+218
+221
+224
+228
+231
+235
+238
+241
+245
+248
+251
+255
+258
+261
+265
+268
+272
+275
+278
+282
+285
+288
+292
+295
+299
+302
+305
+309
+312
+315
+319
+322
+326
+329
+332
+336
+339
+342
+346
+349
+352
+356
+359
+363
+366
+369
+373
+376
+379
+383
+386
+390
+393
+396
+400
+403
+406
+410
+413
+417
+420
+423
+427
+430
+433
+437
+440
+443
+447
+450
+454
+457
+460
+464
+467
+470
+474
+477
+480
+484
+487
+490
+494
+497
+500
+504
+507
+510
+514
+517
+520
+524
+527
+530
+534
+537
+540
+544
+547
+550
+554
+557
+560
+564
+567
+570
+573
+577
+580
+583
+587
+590
+593
+597
+600
+603
+606
+610
+613
+616
+619
+623
+626
+629
+632
+636
+639
+642
+646
+649
+652
+655
+658
+662
+665
+668
+671
+675
+678
+681
+684
+687
+691
+694
+697
+700
+703
+707
+710
+713
+716
+719
+723
+726
+729
+732
+735
+738
+742
+745
+748
+751
+754
+757
+760
+763
+767
+770
+773
+776
+779
+782
+785
+788
+791
+795
+798
+801
+804
+807
+810
+813
+816
+819
+822
+825
+828
+831
+834
+837
+840
+843
+846
+849
+852
+855
+858
+861
+864
+867
+870
+873
+876
+879
+882
+885
+888
+891
+894
+897
+900
+902
+905
+908
+911
+914
+917
+920
+923
+926
+928
+931
+934
+937
+940
+943
+945
+948
+951
+954
+957
+960
+962
+965
+968
+971
+973
+976
+979
+982
+984
+987
+990
+993
+995
+998
+1001
+1003
+1006
+1009
+1012
+1014
+1017
+1020
+1022
+1025
+1027
+1030
+1033
+1035
+1038
+1041
+1043
+1046
+1048
+1051
+1053
+1056
+1059
+1061
+1064
+1066
+1069
+1071
+1074
+1076
+1079
+1081
+1084
+1086
+1089
+1091
+1094
+1096
+1099
+1101
+1103
+1106
+1108
+1111
+1113
+1115
+1118
+1120
+1123
+1125
+1127
+1130
+1132
+1134
+1137
+1139
+1141
+1143
+1146
+1148
+1150
+1153
+1155
+1157
+1159
+1161
+1164
+1166
+1168
+1170
+1173
+1175
+1177
+1179
+1181
+1183
+1185
+1188
+1190
+1192
+1194
+1196
+1198
+1200
+1202
+1204
+1206
+1208
+1210
+1212
+1214
+1216
+1218
+1220
+1222
+1224
+1226
+1228
+1230
+1232
+1234
+1236
+1238
+1240
+1242
+1243
+1245
+1247
+1249
+1251
+1253
+1255
+1256
+1258
+1260
+1262
+1263
+1265
+1267
+1269
+1270
+1272
+1274
+1276
+1277
+1279
+1281
+1282
+1284
+1286
+1287
+1289
+1290
+1292
+1294
+1295
+1297
+1298
+1300
+1301
+1303
+1305
+1306
+1308
+1309
+1311
+1312
+1313
+1315
+1316
+1318
+1319
+1321
+1322
+1323
+1325
+1326
+1327
+1329
+1330
+1331
+1333
+1334
+1335
+1337
+1338
+1339
+1340
+1342
+1343
+1344
+1345
+1346
+1348
+1349
+1350
+1351
+1352
+1353
+1355
+1356
+1357
+1358
+1359
+1360
+1361
+1362
+1363
+1364
+1365
+1366
+1367
+1368
+1369
+1370
+1371
+1372
+1373
+1374
+1375
+1375
+1376
+1377
+1378
+1379
+1380
+1380
+1381
+1382
+1383
+1384
+1384
+1385
+1386
+1387
+1387
+1388
+1389
+1389
+1390
+1391
+1391
+1392
+1392
+1393
+1394
+1394
+1395
+1395
+1396
+1396
+1397
+1397
+1398
+1398
+1399
+1399
+1400
+1400
+1401
+1401
+1401
+1402
+1402
+1403
+1403
+1403
+1404
+1404
+1404
+1404
+1405
+1405
+1405
+1405
+1406
+1406
+1406
+1406
+1406
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1408
+1408
+1408
+1408
+1408
+1408
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1406
+1406
+1406
+1406
+1406
+1405
+1405
+1405
+1405
+1404
+1404
+1404
+1403
+1403
+1403
+1402
+1402
+1402
+1401
+1401
+1400
+1400
+1400
+1399
+1399
+1398
+1398
+1397
+1397
+1396
+1395
+1395
+1394
+1394
+1393
+1393
+1392
+1391
+1391
+1390
+1389
+1389
+1388
+1387
+1386
+1386
+1385
+1384
+1383
+1383
+1382
+1381
+1380
+1379
+1378
+1377
+1377
+1376
+1375
+1374
+1373
+1372
+1371
+1370
+1369
+1368
+1367
+1366
+1365
+1364
+1363
+1362
+1361
+1359
+1358
+1357
+1356
+1355
+1354
+1352
+1351
+1350
+1349
+1348
+1346
+1345
+1344
+1342
+1341
+1340
+1338
+1337
+1336
+1334
+1333
+1332
+1330
+1329
+1327
+1326
+1324
+1323
+1321
+1320
+1318
+1317
+1315
+1314
+1312
+1310
+1309
+1307
+1306
+1304
+1302
+1301
+1299
+1297
+1296
+1294
+1292
+1290
+1289
+1287
+1285
+1283
+1281
+1280
+1278
+1276
+1274
+1272
+1270
+1268
+1266
+1264
+1263
+1261
+1259
+1257
+1255
+1253
+1251
+1249
+1247
+1244
+1242
+1240
+1238
+1236
+1234
+1232
+1230
+1227
+1225
+1223
+1221
+1219
+1216
+1214
+1212
+1210
+1207
+1205
+1203
+1200
+1198
+1196
+1193
+1191
+1189
+1186
+1184
+1181
+1179
+1177
+1174
+1172
+1169
+1167
+1164
+1162
+1159
+1156
+1154
+1151
+1149
+1146
+1144
+1141
+1138
+1136
+1133
+1130
+1128
+1125
+1122
+1119
+1117
+1114
+1111
+1108
+1106
+1103
+1100
+1097
+1094
+1091
+1089
+1086
+1083
+1080
+1077
+1074
+1071
+1068
+1065
+1062
+1059
+1056
+1053
+1050
+1047
+1044
+1041
+1038
+1035
+1032
+1029
+1026
+1022
+1019
+1016
+1013
+1010
+1007
+1003
+1000
+997
+994
+990
+987
+984
+981
+977
+974
+971
+967
+964
+961
+957
+954
+951
+947
+944
+940
+937
+933
+930
+926
+923
+919
+916
+912
+909
+905
+902
+898
+895
+891
+887
+884
+880
+877
+873
+869
+866
+862
+858
+855
+851
+847
+843
+840
+836
+832
+828
+825
+821
+817
+813
+809
+806
+802
+798
+794
+790
+786
+782
+778
+774
+771
+767
+763
+759
+755
+751
+747
+743
+739
+735
+731
+727
+723
+718
+714
+710
+706
+702
+698
+694
+690
+686
+681
+677
+673
+669
+665
+660
+656
+652
+648
+644
+639
+635
+631
+626
+622
+618
+614
+609
+605
+601
+596
+592
+588
+583
+579
+574
+570
+566
+561
+557
+552
+548
+543
+539
+534
+530
+525
+521
+516
+512
+507
+503
+498
+494
+489
+485
+480
+475
+471
+466
+462
+457
+452
+448
+443
+438
+434
+429
+424
+420
+415
+410
+406
+401
+396
+392
+387
+382
+377
+373
+368
+363
+358
+353
+349
+344
+339
+334
+329
+325
+320
+315
+310
+305
+300
+295
+291
+286
+281
+276
+271
+266
+261
+256
+251
+246
+241
+236
+231
+226
+221
+216
+211
+206
+201
+196
+191
+186
+181
+176
+171
+166
+161
+156
+151
+146
+141
+136
+131
+126
+121
+116
+110
+105
+100
+95
+90
+85
+80
+75
+69
+64
+59
+54
+49
+44
+38
+33
+28
+23
+18
+12
+7
+2
+-3
+-8
+-14
+-19
+-24
+-29
+-35
+-40
+-45
+-50
+-56
+-61
+-66
+-71
+-77
+-82
+-87
+-93
+-98
+-103
+-108
+-114
+-119
+-124
+-130
+-135
+-140
+-146
+-151
+-156
+-162
+-167
+-172
+-178
+-183
+-188
+-194
+-199
+-204
+-210
+-215
+-220
+-226
+-231
+-236
+-242
+-247
+-253
+-258
+-263
+-269
+-274
+-280
+-285
+-290
+-296
+-301
+-307
+-312
+-317
+-323
+-328
+-334
+-339
+-344
+-350
+-355
+-361
+-366
+-371
+-377
+-382
+-388
+-393
+-399
+-404
+-409
+-415
+-420
+-426
+-431
+-437
+-442
+-447
+-453
+-458
+-464
+-469
+-475
+-480
+-485
+-491
+-496
+-502
+-507
+-513
+-518
+-523
+-529
+-534
+-540
+-545
+-551
+-556
+-562
+-567
+-572
+-578
+-583
+-589
+-594
+-600
+-605
+-610
+-616
+-621
+-627
+-632
+-637
+-643
+-648
+-654
+-659
+-665
+-670
+-675
+-681
+-686
+-692
+-697
+-702
+-708
+-713
+-719
+-724
+-729
+-735
+-740
+-746
+-751
+-756
+-762
+-767
+-773
+-778
+-783
+-789
+-794
+-799
+-805
+-810
+-815
+-821
+-826
+-831
+-837
+-842
+-847
+-853
+-858
+-863
+-869
+-874
+-879
+-885
+-890
+-895
+-901
+-906
+-911
+-917
+-922
+-927
+-932
+-938
+-943
+-948
+-953
+-959
+-964
+-969
+-974
+-980
+-985
+-990
+-995
+-1001
+-1006
+-1011
+-1016
+-1021
+-1027
+-1032
+-1037
+-1042
+-1047
+-1053
+-1058
+-1063
+-1068
+-1073
+-1078
+-1084
+-1089
+-1094
+-1099
+-1104
+-1109
+-1114
+-1119
+-1124
+-1130
+-1135
+-1140
+-1145
+-1150
+-1155
+-1160
+-1165
+-1170
+-1175
+-1180
+-1185
+-1190
+-1195
+-1200
+-1205
+-1210
+-1215
+-1220
+-1225
+-1230
+-1235
+-1240
+-1245
+-1250
+-1255
+-1259
+-1264
+-1269
+-1274
+-1279
+-1284
+-1289
+-1294
+-1299
+-1303
+-1308
+-1313
+-1318
+-1323
+-1327
+-1332
+-1337
+-1342
+-1347
+-1351
+-1356
+-1361
+-1366
+-1370
+-1375
+-1380
+-1384
+-1389
+-1394
+-1398
+-1403
+-1408
+-1412
+-1417
+-1422
+-1426
+-1431
+-1436
+-1440
+-1445
+-1449
+-1454
+-1458
+-1463
+-1467
+-1472
+-1477
+-1481
+-1486
+-1490
+-1494
+-1499
+-1503
+-1508
+-1512
+-1517
+-1521
+-1526
+-1530
+-1534
+-1539
+-1543
+-1547
+-1552
+-1556
+-1560
+-1565
+-1569
+-1573
+-1578
+-1582
+-1586
+-1590
+-1595
+-1599
+-1603
+-1607
+-1611
+-1616
+-1620
+-1624
+-1628
+-1632
+-1636
+-1640
+-1644
+-1649
+-1653
+-1657
+-1661
+-1665
+-1669
+-1673
+-1677
+-1681
+-1685
+-1689
+-1693
+-1697
+-1701
+-1704
+-1708
+-1712
+-1716
+-1720
+-1724
+-1728
+-1731
+-1735
+-1739
+-1743
+-1747
+-1750
+-1754
+-1758
+-1762
+-1765
+-1769
+-1773
+-1776
+-1780
+-1784
+-1787
+-1791
+-1794
+-1798
+-1802
+-1805
+-1809
+-1812
+-1816
+-1819
+-1823
+-1826
+-1830
+-1833
+-1837
+-1840
+-1843
+-1847
+-1850
+-1853
+-1857
+-1860
+-1863
+-1867
+-1870
+-1873
+-1877
+-1880
+-1883
+-1886
+-1889
+-1893
+-1896
+-1899
+-1902
+-1905
+-1908
+-1911
+-1915
+-1918
+-1921
+-1924
+-1927
+-1930
+-1933
+-1936
+-1939
+-1942
+-1944
+-1947
+-1950
+-1953
+-1956
+-1959
+-1962
+-1964
+-1967
+-1970
+-1973
+-1976
+-1978
+-1981
+-1984
+-1986
+-1989
+-1992
+-1994
+-1997
+-2000
+-2002
+-2005
+-2007
+-2010
+-2012
+-2015
+-2017
+-2020
+-2022
+-2025
+-2027
+-2029
+-2032
+-2034
+-2037
+-2039
+-2041
+-2044
+-2046
+-2048
+-2050
+-2053
+-2055
+-2057
+-2059
+-2061
+-2063
+-2066
+-2068
+-2070
+-2072
+-2074
+-2076
+-2078
+-2080
+-2082
+-2084
+-2086
+-2088
+-2090
+-2092
+-2093
+-2095
+-2097
+-2099
+-2101
+-2103
+-2104
+-2106
+-2108
+-2109
+-2111
+-2113
+-2115
+-2116
+-2118
+-2119
+-2121
+-2123
+-2124
+-2126
+-2127
+-2129
+-2130
+-2131
+-2133
+-2134
+-2136
+-2137
+-2138
+-2140
+-2141
+-2142
+-2144
+-2145
+-2146
+-2147
+-2149
+-2150
+-2151
+-2152
+-2153
+-2154
+-2155
+-2157
+-2158
+-2159
+-2160
+-2161
+-2162
+-2163
+-2163
+-2164
+-2165
+-2166
+-2167
+-2168
+-2169
+-2169
+-2170
+-2171
+-2172
+-2172
+-2173
+-2174
+-2174
+-2175
+-2176
+-2176
+-2177
+-2177
+-2178
+-2178
+-2179
+-2179
+-2180
+-2180
+-2181
+-2181
+-2182
+-2182
+-2182
+-2183
+-2183
+-2183
+-2183
+-2184
+-2184
+-2184
+-2184
+-2184
+-2184
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2184
+-2184
+-2184
+-2184
+-2184
+-2184
+-2183
+-2183
+-2183
+-2183
+-2182
+-2182
+-2182
+-2181
+-2181
+-2180
+-2180
+-2180
+-2179
+-2179
+-2178
+-2178
+-2177
+-2176
+-2176
+-2175
+-2175
+-2174
+-2173
+-2173
+-2172
+-2171
+-2170
+-2169
+-2169
+-2168
+-2167
+-2166
+-2165
+-2164
+-2163
+-2162
+-2161
+-2160
+-2159
+-2158
+-2157
+-2156
+-2155
+-2154
+-2153
+-2152
+-2150
+-2149
+-2148
+-2147
+-2145
+-2144
+-2143
+-2141
+-2140
+-2139
+-2137
+-2136
+-2134
+-2133
+-2131
+-2130
+-2128
+-2127
+-2125
+-2123
+-2122
+-2120
+-2119
+-2117
+-2115
+-2113
+-2112
+-2110
+-2108
+-2106
+-2104
+-2102
+-2101
+-2099
+-2097
+-2095
+-2093
+-2091
+-2089
+-2087
+-2085
+-2083
+-2081
+-2078
+-2076
+-2074
+-2072
+-2070
+-2067
+-2065
+-2063
+-2061
+-2058
+-2056
+-2054
+-2051
+-2049
+-2046
+-2044
+-2041
+-2039
+-2036
+-2034
+-2031
+-2029
+-2026
+-2023
+-2021
+-2018
+-2015
+-2013
+-2010
+-2007
+-2004
+-2002
+-1999
+-1996
+-1993
+-1990
+-1987
+-1984
+-1981
+-1978
+-1975
+-1972
+-1969
+-1966
+-1963
+-1960
+-1957
+-1954
+-1951
+-1948
+-1944
+-1941
+-1938
+-1935
+-1931
+-1928
+-1925
+-1921
+-1918
+-1915
+-1911
+-1908
+-1904
+-1901
+-1897
+-1894
+-1890
+-1887
+-1883
+-1879
+-1876
+-1872
+-1868
+-1865
+-1861
+-1857
+-1853
+-1850
+-1846
+-1842
+-1838
+-1834
+-1830
+-1827
+-1823
+-1819
+-1815
+-1811
+-1807
+-1803
+-1799
+-1794
+-1790
+-1786
+-1782
+-1778
+-1774
+-1770
+-1765
+-1761
+-1757
+-1753
+-1748
+-1744
+-1740
+-1735
+-1731
+-1726
+-1722
+-1718
+-1713
+-1709
+-1704
+-1700
+-1695
+-1690
+-1686
+-1681
+-1677
+-1672
+-1667
+-1663
+-1658
+-1653
+-1648
+-1644
+-1639
+-1634
+-1629
+-1624
+-1619
+-1615
+-1610
+-1605
+-1600
+-1595
+-1590
+-1585
+-1580
+-1575
+-1570
+-1564
+-1559
+-1554
+-1549
+-1544
+-1539
+-1534
+-1528
+-1523
+-1518
+-1512
+-1507
+-1502
+-1496
+-1491
+-1486
+-1480
+-1475
+-1469
+-1464
+-1459
+-1453
+-1448
+-1442
+-1436
+-1431
+-1425
+-1420
+-1414
+-1408
+-1403
+-1397
+-1391
+-1386
+-1380
+-1374
+-1368
+-1362
+-1357
+-1351
+-1345
+-1339
+-1333
+-1327
+-1321
+-1315
+-1309
+-1304
+-1298
+-1291
+-1285
+-1279
+-1273
+-1267
+-1261
+-1255
+-1249
+-1243
+-1237
+-1230
+-1224
+-1218
+-1212
+-1205
+-1199
+-1193
+-1186
+-1180
+-1174
+-1167
+-1161
+-1155
+-1148
+-1142
+-1135
+-1129
+-1122
+-1116
+-1109
+-1103
+-1096
+-1090
+-1083
+-1076
+-1070
+-1063
+-1057
+-1050
+-1043
+-1037
+-1030
+-1023
+-1016
+-1010
+-1003
+-996
+-989
+-982
+-975
+-969
+-962
+-955
+-948
+-941
+-934
+-927
+-920
+-913
+-906
+-899
+-892
+-885
+-878
+-871
+-864
+-857
+-850
+-842
+-835
+-828
+-821
+-814
+-807
+-799
+-792
+-785
+-778
+-770
+-763
+-756
+-748
+-741
+-734
+-726
+-719
+-712
+-704
+-697
+-689
+-682
+-674
+-667
+-659
+-652
+-644
+-637
+-629
+-622
+-614
+-607
+-599
+-591
+-584
+-576
+-568
+-561
+-553
+-545
+-538
+-530
+-522
+-515
+-507
+-499
+-491
+-484
+-476
+-468
+-460
+-452
+-444
+-437
+-429
+-421
+-413
+-405
+-397
+-389
+-381
+-373
+-365
+-357
+-349
+-341
+-333
+-325
+-317
+-309
+-301
+-293
+-285
+-277
+-269
+-261
+-253
+-245
+-237
+-228
+-220
+-212
+-204
+-196
+-188
+-179
+-171
+-163
+-155
+-147
+-138
+-130
+-122
+-114
+-105
+-97
+-89
+-80
+-72
+-64
+-55
+-47
+-39
+-30
+-22
+-14
+-5
+3
+12
+20
+28
+37
+45
+54
+62
+71
+79
+87
+96
+104
+113
+121
+130
+138
+147
+155
+164
+173
+181
+190
+198
+207
+215
+224
+232
+241
+250
+258
+267
+275
+284
+293
+301
+310
+319
+327
+336
+344
+353
+362
+370
+379
+388
+396
+405
+414
+423
+431
+440
+449
+457
+466
+475
+484
+492
+501
+510
+518
+527
+536
+545
+553
+562
+571
+580
+588
+597
+606
+615
+624
+632
+641
+650
+659
+667
+676
+685
+694
+703
+711
+720
+729
+738
+747
+755
+764
+773
+782
+791
+799
+808
+817
+826
+835
+843
+852
+861
+870
+879
+888
+896
+905
+914
+923
+932
+940
+949
+958
+967
+976
+984
+993
+1002
+1011
+1020
+1028
+1037
+1046
+1055
+1064
+1072
+1081
+1090
+1099
+1108
+1116
+1125
+1134
+1143
+1152
+1160
+1169
+1178
+1187
+1195
+1204
+1213
+1222
+1230
+1239
+1248
+1257
+1265
+1274
+1283
+1292
+1300
+1309
+1318
+1326
+1335
+1344
+1353
+1361
+1370
+1379
+1387
+1396
+1405
+1413
+1422
+1431
+1439
+1448
+1457
+1465
+1474
+1482
+1491
+1500
+1508
+1517
+1525
+1534
+1543
+1551
+1560
+1568
+1577
+1585
+1594
+1602
+1611
+1620
+1628
+1637
+1645
+1654
+1662
+1670
+1679
+1687
+1696
+1704
+1713
+1721
+1730
+1738
+1746
+1755
+1763
+1772
+1780
+1788
+1797
+1805
+1813
+1822
+1830
+1838
+1846
+1855
+1863
+1871
+1880
+1888
+1896
+1904
+1912
+1921
+1929
+1937
+1945
+1953
+1962
+1970
+1978
+1986
+1994
+2002
+2010
+2018
+2026
+2034
+2042
+2050
+2058
+2066
+2074
+2082
+2090
+2098
+2106
+2114
+2122
+2130
+2138
+2146
+2154
+2162
+2169
+2177
+2185
+2193
+2201
+2208
+2216
+2224
+2232
+2239
+2247
+2255
+2262
+2270
+2278
+2285
+2293
+2301
+2308
+2316
+2323
+2331
+2338
+2346
+2353
+2361
+2368
+2376
+2383
+2391
+2398
+2406
+2413
+2420
+2428
+2435
+2442
+2450
+2457
+2464
+2471
+2479
+2486
+2493
+2500
+2507
+2514
+2522
+2529
+2536
+2543
+2550
+2557
+2564
+2571
+2578
+2585
+2592
+2599
+2606
+2613
+2620
+2626
+2633
+2640
+2647
+2654
+2661
+2667
+2674
+2681
+2687
+2694
+2701
+2707
+2714
+2721
+2727
+2734
+2740
+2747
+2753
+2760
+2766
+2773
+2779
+2786
+2792
+2798
+2805
+2811
+2817
+2823
+2830
+2836
+2842
+2848
+2855
+2861
+2867
+2873
+2879
+2885
+2891
+2897
+2903
+2909
+2915
+2921
+2927
+2933
+2939
+2945
+2950
+2956
+2962
+2968
+2973
+2979
+2985
+2990
+2996
+3002
+3007
+3013
+3018
+3024
+3029
+3035
+3040
+3046
+3051
+3057
+3062
+3067
+3073
+3078
+3083
+3088
+3094
+3099
+3104
+3109
+3114
+3119
+3124
+3129
+3134
+3139
+3144
+3149
+3154
+3159
+3164
+3169
+3173
+3178
+3183
+3188
+3192
+3197
+3202
+3206
+3211
+3216
+3220
+3225
+3229
+3234
+3238
+3242
+3247
+3251
+3256
+3260
+3264
+3268
+3273
+3277
+3281
+3285
+3289
+3293
+3297
+3301
+3305
+3309
+3313
+3317
+3321
+3325
+3329
+3333
+3336
+3340
+3344
+3348
+3351
+3355
+3358
+3362
+3366
+3369
+3373
+3376
+3380
+3383
+3386
+3390
+3393
+3396
+3400
+3403
+3406
+3409
+3412
+3415
+3418
+3422
+3425
+3428
+3430
+3433
+3436
+3439
+3442
+3445
+3448
+3450
+3453
+3456
+3458
+3461
+3464
+3466
+3469
+3471
+3474
+3476
+3479
+3481
+3483
+3486
+3488
+3490
+3492
+3495
+3497
+3499
+3501
+3503
+3505
+3507
+3509
+3511
+3513
+3515
+3517
+3518
+3520
+3522
+3524
+3525
+3527
+3529
+3530
+3532
+3533
+3535
+3536
+3538
+3539
+3540
+3542
+3543
+3544
+3545
+3547
+3548
+3549
+3550
+3551
+3552
+3553
+3554
+3555
+3556
+3557
+3558
+3558
+3559
+3560
+3561
+3561
+3562
+3562
+3563
+3563
+3564
+3564
+3565
+3565
+3566
+3566
+3566
+3566
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3566
+3566
+3566
+3565
+3565
+3565
+3564
+3564
+3563
+3563
+3562
+3561
+3561
+3560
+3559
+3559
+3558
+3557
+3556
+3555
+3554
+3553
+3552
+3551
+3550
+3549
+3548
+3547
+3545
+3544
+3543
+3542
+3540
+3539
+3537
+3536
+3534
+3533
+3531
+3530
+3528
+3526
+3525
+3523
+3521
+3519
+3517
+3515
+3514
+3512
+3510
+3508
+3505
+3503
+3501
+3499
+3497
+3494
+3492
+3490
+3487
+3485
+3483
+3480
+3478
+3475
+3472
+3470
+3467
+3464
+3462
+3459
+3456
+3453
+3450
+3447
+3445
+3442
+3438
+3435
+3432
+3429
+3426
+3423
+3420
+3416
+3413
+3410
+3406
+3403
+3399
+3396
+3392
+3389
+3385
+3381
+3378
+3374
+3370
+3366
+3363
+3359
+3355
+3351
+3347
+3343
+3339
+3335
+3331
+3326
+3322
+3318
+3314
+3309
+3305
+3301
+3296
+3292
+3287
+3283
+3278
+3273
+3269
+3264
+3259
+3255
+3250
+3245
+3240
+3235
+3230
+3225
+3220
+3215
+3210
+3205
+3200
+3195
+3189
+3184
+3179
+3174
+3168
+3163
+3157
+3152
+3146
+3141
+3135
+3129
+3124
+3118
+3112
+3107
+3101
+3095
+3089
+3083
+3077
+3071
+3065
+3059
+3053
+3047
+3040
+3034
+3028
+3022
+3015
+3009
+3003
+2996
+2990
+2983
+2977
+2970
+2963
+2957
+2950
+2943
+2937
+2930
+2923
+2916
+2909
+2902
+2895
+2888
+2881
+2874
+2867
+2860
+2853
+2845
+2838
+2831
+2823
+2816
+2809
+2801
+2794
+2786
+2779
+2771
+2763
+2756
+2748
+2740
+2733
+2725
+2717
+2709
+2701
+2693
+2685
+2677
+2669
+2661
+2653
+2645
+2637
+2629
+2620
+2612
+2604
+2595
+2587
+2579
+2570
+2562
+2553
+2544
+2536
+2527
+2519
+2510
+2501
+2492
+2484
+2475
+2466
+2457
+2448
+2439
+2430
+2421
+2412
+2403
+2394
+2384
+2375
+2366
+2357
+2347
+2338
+2329
+2319
+2310
+2300
+2291
+2281
+2272
+2262
+2253
+2243
+2233
+2223
+2214
+2204
+2194
+2184
+2174
+2164
+2154
+2144
+2134
+2124
+2114
+2104
+2094
+2084
+2073
+2063
+2053
+2043
+2032
+2022
+2011
+2001
+1991
+1980
+1970
+1959
+1948
+1938
+1927
+1916
+1906
+1895
+1884
+1873
+1862
+1852
+1841
+1830
+1819
+1808
+1797
+1786
+1775
+1763
+1752
+1741
+1730
+1719
+1707
+1696
+1685
+1673
+1662
+1651
+1639
+1628
+1616
+1605
+1593
+1582
+1570
+1558
+1547
+1535
+1523
+1511
+1500
+1488
+1476
+1464
+1452
+1440
+1428
+1416
+1404
+1392
+1380
+1368
+1356
+1344
+1332
+1319
+1307
+1295
+1283
+1270
+1258
+1246
+1233
+1221
+1208
+1196
+1183
+1171
+1158
+1146
+1133
+1121
+1108
+1095
+1083
+1070
+1057
+1044
+1031
+1019
+1006
+993
+980
+967
+954
+941
+928
+915
+902
+889
+876
+863
+850
+836
+823
+810
+797
+784
+770
+757
+744
+730
+717
+703
+690
+677
+663
+650
+636
+623
+609
+595
+582
+568
+555
+541
+527
+514
+500
+486
+472
+458
+445
+431
+417
+403
+389
+375
+361
+347
+333
+319
+305
+291
+277
+263
+249
+235
+221
+207
+193
+178
+164
+150
+136
+121
+107
+93
+78
+64
+50
+35
+21
+7
+-8
+-22
+-37
+-51
+-66
+-80
+-95
+-109
+-124
+-138
+-153
+-168
+-182
+-197
+-212
+-226
+-241
+-256
+-270
+-285
+-300
+-315
+-329
+-344
+-359
+-374
+-389
+-403
+-418
+-433
+-448
+-463
+-478
+-493
+-508
+-523
+-538
+-553
+-568
+-583
+-598
+-613
+-628
+-643
+-658
+-673
+-688
+-703
+-718
+-734
+-749
+-764
+-779
+-794
+-809
+-825
+-840
+-855
+-870
+-885
+-901
+-916
+-931
+-946
+-962
+-977
+-992
+-1008
+-1023
+-1038
+-1054
+-1069
+-1084
+-1100
+-1115
+-1131
+-1146
+-1161
+-1177
+-1192
+-1208
+-1223
+-1238
+-1254
+-1269
+-1285
+-1300
+-1316
+-1331
+-1347
+-1362
+-1378
+-1393
+-1409
+-1424
+-1440
+-1455
+-1471
+-1486
+-1502
+-1517
+-1533
+-1548
+-1564
+-1580
+-1595
+-1611
+-1626
+-1642
+-1657
+-1673
+-1689
+-1704
+-1720
+-1735
+-1751
+-1767
+-1782
+-1798
+-1813
+-1829
+-1845
+-1860
+-1876
+-1891
+-1907
+-1923
+-1938
+-1954
+-1969
+-1985
+-2001
+-2016
+-2032
+-2048
+-2063
+-2079
+-2094
+-2110
+-2126
+-2141
+-2157
+-2172
+-2188
+-2204
+-2219
+-2235
+-2251
+-2266
+-2282
+-2297
+-2313
+-2328
+-2344
+-2360
+-2375
+-2391
+-2406
+-2422
+-2438
+-2453
+-2469
+-2484
+-2500
+-2515
+-2531
+-2546
+-2562
+-2577
+-2593
+-2608
+-2624
+-2639
+-2655
+-2670
+-2686
+-2701
+-2717
+-2732
+-2748
+-2763
+-2779
+-2794
+-2810
+-2825
+-2840
+-2856
+-2871
+-2887
+-2902
+-2917
+-2933
+-2948
+-2963
+-2979
+-2994
+-3009
+-3025
+-3040
+-3055
+-3071
+-3086
+-3101
+-3116
+-3132
+-3147
+-3162
+-3177
+-3192
+-3208
+-3223
+-3238
+-3253
+-3268
+-3283
+-3298
+-3314
+-3329
+-3344
+-3359
+-3374
+-3389
+-3404
+-3419
+-3434
+-3449
+-3464
+-3479
+-3494
+-3509
+-3524
+-3538
+-3553
+-3568
+-3583
+-3598
+-3613
+-3627
+-3642
+-3657
+-3672
+-3686
+-3701
+-3716
+-3731
+-3745
+-3760
+-3775
+-3789
+-3804
+-3818
+-3833
+-3847
+-3862
+-3876
+-3891
+-3905
+-3920
+-3934
+-3949
+-3963
+-3977
+-3992
+-4006
+-4020
+-4035
+-4049
+-4063
+-4077
+-4092
+-4106
+-4120
+-4134
+-4148
+-4162
+-4176
+-4191
+-4205
+-4219
+-4233
+-4247
+-4261
+-4274
+-4288
+-4302
+-4316
+-4330
+-4344
+-4357
+-4371
+-4385
+-4399
+-4412
+-4426
+-4440
+-4453
+-4467
+-4480
+-4494
+-4507
+-4521
+-4534
+-4548
+-4561
+-4575
+-4588
+-4601
+-4615
+-4628
+-4641
+-4654
+-4668
+-4681
+-4694
+-4707
+-4720
+-4733
+-4746
+-4759
+-4772
+-4785
+-4798
+-4811
+-4824
+-4836
+-4849
+-4862
+-4875
+-4887
+-4900
+-4913
+-4925
+-4938
+-4950
+-4963
+-4975
+-4988
+-5000
+-5013
+-5025
+-5037
+-5050
+-5062
+-5074
+-5086
+-5098
+-5111
+-5123
+-5135
+-5147
+-5159
+-5171
+-5183
+-5195
+-5206
+-5218
+-5230
+-5242
+-5253
+-5265
+-5277
+-5288
+-5300
+-5312
+-5323
+-5334
+-5346
+-5357
+-5369
+-5380
+-5391
+-5403
+-5414
+-5425
+-5436
+-5447
+-5458
+-5469
+-5480
+-5491
+-5502
+-5513
+-5524
+-5535
+-5545
+-5556
+-5567
+-5577
+-5588
+-5599
+-5609
+-5620
+-5630
+-5640
+-5651
+-5661
+-5671
+-5682
+-5692
+-5702
+-5712
+-5722
+-5732
+-5742
+-5752
+-5762
+-5772
+-5782
+-5791
+-5801
+-5811
+-5820
+-5830
+-5840
+-5849
+-5859
+-5868
+-5877
+-5887
+-5896
+-5905
+-5915
+-5924
+-5933
+-5942
+-5951
+-5960
+-5969
+-5978
+-5987
+-5995
+-6004
+-6013
+-6022
+-6030
+-6039
+-6047
+-6056
+-6064
+-6073
+-6081
+-6089
+-6097
+-6106
+-6114
+-6122
+-6130
+-6138
+-6146
+-6154
+-6162
+-6169
+-6177
+-6185
+-6193
+-6200
+-6208
+-6215
+-6223
+-6230
+-6238
+-6245
+-6252
+-6259
+-6266
+-6274
+-6281
+-6288
+-6295
+-6302
+-6308
+-6315
+-6322
+-6329
+-6335
+-6342
+-6348
+-6355
+-6361
+-6368
+-6374
+-6380
+-6387
+-6393
+-6399
+-6405
+-6411
+-6417
+-6423
+-6429
+-6434
+-6440
+-6446
+-6452
+-6457
+-6463
+-6468
+-6474
+-6479
+-6484
+-6489
+-6495
+-6500
+-6505
+-6510
+-6515
+-6520
+-6525
+-6529
+-6534
+-6539
+-6543
+-6548
+-6553
+-6557
+-6561
+-6566
+-6570
+-6574
+-6578
+-6583
+-6587
+-6591
+-6595
+-6598
+-6602
+-6606
+-6610
+-6613
+-6617
+-6621
+-6624
+-6627
+-6631
+-6634
+-6637
+-6640
+-6644
+-6647
+-6650
+-6652
+-6655
+-6658
+-6661
+-6664
+-6666
+-6669
+-6671
+-6674
+-6676
+-6678
+-6681
+-6683
+-6685
+-6687
+-6689
+-6691
+-6693
+-6695
+-6697
+-6698
+-6700
+-6701
+-6703
+-6704
+-6706
+-6707
+-6708
+-6710
+-6711
+-6712
+-6713
+-6714
+-6715
+-6715
+-6716
+-6717
+-6717
+-6718
+-6718
+-6719
+-6719
+-6720
+-6720
+-6720
+-6720
+-6720
+-6720
+-6720
+-6720
+-6719
+-6719
+-6719
+-6718
+-6718
+-6717
+-6717
+-6716
+-6715
+-6714
+-6714
+-6713
+-6712
+-6710
+-6709
+-6708
+-6707
+-6705
+-6704
+-6702
+-6701
+-6699
+-6698
+-6696
+-6694
+-6692
+-6690
+-6688
+-6686
+-6684
+-6681
+-6679
+-6677
+-6674
+-6672
+-6669
+-6666
+-6664
+-6661
+-6658
+-6655
+-6652
+-6649
+-6646
+-6643
+-6639
+-6636
+-6633
+-6629
+-6626
+-6622
+-6618
+-6614
+-6611
+-6607
+-6603
+-6599
+-6594
+-6590
+-6586
+-6582
+-6577
+-6573
+-6568
+-6563
+-6559
+-6554
+-6549
+-6544
+-6539
+-6534
+-6529
+-6524
+-6518
+-6513
+-6508
+-6502
+-6497
+-6491
+-6485
+-6479
+-6474
+-6468
+-6462
+-6456
+-6449
+-6443
+-6437
+-6431
+-6424
+-6418
+-6411
+-6404
+-6398
+-6391
+-6384
+-6377
+-6370
+-6363
+-6356
+-6348
+-6341
+-6334
+-6326
+-6319
+-6311
+-6303
+-6296
+-6288
+-6280
+-6272
+-6264
+-6256
+-6247
+-6239
+-6231
+-6222
+-6214
+-6205
+-6196
+-6188
+-6179
+-6170
+-6161
+-6152
+-6143
+-6134
+-6124
+-6115
+-6106
+-6096
+-6087
+-6077
+-6067
+-6057
+-6048
+-6038
+-6028
+-6018
+-6007
+-5997
+-5987
+-5976
+-5966
+-5955
+-5945
+-5934
+-5923
+-5912
+-5901
+-5890
+-5879
+-5868
+-5857
+-5846
+-5834
+-5823
+-5811
+-5800
+-5788
+-5776
+-5764
+-5752
+-5740
+-5728
+-5716
+-5704
+-5692
+-5679
+-5667
+-5654
+-5642
+-5629
+-5616
+-5603
+-5590
+-5577
+-5564
+-5551
+-5538
+-5525
+-5511
+-5498
+-5484
+-5471
+-5457
+-5443
+-5429
+-5415
+-5401
+-5387
+-5373
+-5359
+-5345
+-5330
+-5316
+-5301
+-5287
+-5272
+-5257
+-5242
+-5227
+-5212
+-5197
+-5182
+-5167
+-5152
+-5136
+-5121
+-5105
+-5090
+-5074
+-5058
+-5042
+-5026
+-5010
+-4994
+-4978
+-4962
+-4946
+-4929
+-4913
+-4896
+-4880
+-4863
+-4846
+-4829
+-4812
+-4795
+-4778
+-4761
+-4744
+-4727
+-4709
+-4692
+-4674
+-4656
+-4639
+-4621
+-4603
+-4585
+-4567
+-4549
+-4531
+-4513
+-4494
+-4476
+-4458
+-4439
+-4420
+-4402
+-4383
+-4364
+-4345
+-4326
+-4307
+-4288
+-4269
+-4249
+-4230
+-4210
+-4191
+-4171
+-4151
+-4132
+-4112
+-4092
+-4072
+-4052
+-4032
+-4011
+-3991
+-3971
+-3950
+-3930
+-3909
+-3888
+-3868
+-3847
+-3826
+-3805
+-3784
+-3763
+-3741
+-3720
+-3699
+-3677
+-3656
+-3634
+-3612
+-3591
+-3569
+-3547
+-3525
+-3503
+-3481
+-3458
+-3436
+-3414
+-3391
+-3369
+-3346
+-3324
+-3301
+-3278
+-3255
+-3232
+-3209
+-3186
+-3163
+-3139
+-3116
+-3093
+-3069
+-3046
+-3022
+-2998
+-2975
+-2951
+-2927
+-2903
+-2879
+-2854
+-2830
+-2806
+-2781
+-2757
+-2732
+-2708
+-2683
+-2658
+-2634
+-2609
+-2584
+-2559
+-2534
+-2508
+-2483
+-2458
+-2432
+-2407
+-2381
+-2356
+-2330
+-2304
+-2278
+-2252
+-2226
+-2200
+-2174
+-2148
+-2122
+-2095
+-2069
+-2042
+-2016
+-1989
+-1962
+-1936
+-1909
+-1882
+-1855
+-1828
+-1801
+-1773
+-1746
+-1719
+-1691
+-1664
+-1636
+-1609
+-1581
+-1553
+-1525
+-1497
+-1469
+-1441
+-1413
+-1385
+-1357
+-1328
+-1300
+-1271
+-1243
+-1214
+-1185
+-1157
+-1128
+-1099
+-1070
+-1041
+-1012
+-982
+-953
+-924
+-895
+-865
+-836
+-806
+-776
+-747
+-717
+-687
+-657
+-627
+-597
+-567
+-536
+-506
+-476
+-445
+-415
+-384
+-354
+-323
+-292
+-262
+-231
+-200
+-169
+-138
+-107
+-75
+-44
+-13
+19
+50
+82
+113
+145
+177
+208
+240
+272
+304
+336
+368
+401
+433
+465
+497
+530
+562
+595
+628
+660
+693
+726
+759
+792
+825
+858
+891
+924
+957
+991
+1024
+1057
+1091
+1124
+1158
+1192
+1226
+1259
+1293
+1327
+1361
+1395
+1429
+1463
+1498
+1532
+1566
+1601
+1635
+1670
+1704
+1739
+1774
+1808
+1843
+1878
+1913
+1948
+1983
+2018
+2053
+2089
+2124
+2159
+2195
+2230
+2266
+2301
+2337
+2372
+2408
+2444
+2480
+2516
+2552
+2588
+2624
+2660
+2696
+2732
+2769
+2805
+2841
+2878
+2914
+2951
+2987
+3024
+3061
+3098
+3134
+3171
+3208
+3245
+3282
+3319
+3356
+3394
+3431
+3468
+3506
+3543
+3580
+3618
+3655
+3693
+3731
+3768
+3806
+3844
+3882
+3920
+3958
+3996
+4034
+4072
+4110
+4148
+4187
+4225
+4263
+4302
+4340
+4379
+4417
+4456
+4494
+4533
+4572
+4611
+4649
+4688
+4727
+4766
+4805
+4844
+4883
+4922
+4962
+5001
+5040
+5079
+5119
+5158
+5198
+5237
+5277
+5316
+5356
+5396
+5435
+5475
+5515
+5555
+5595
+5635
+5675
+5715
+5755
+5795
+5835
+5875
+5915
+5956
+5996
+6036
+6077
+6117
+6157
+6198
+6239
+6279
+6320
+6360
+6401
+6442
+6483
+6523
+6564
+6605
+6646
+6687
+6728
+6769
+6810
+6851
+6892
+6934
+6975
+7016
+7057
+7099
+7140
+7181
+7223
+7264
+7306
+7347
+7389
+7431
+7472
+7514
+7556
+7597
+7639
+7681
+7723
+7765
+7806
+7848
+7890
+7932
+7974
+8016
+8058
+8101
+8143
+8185
+8227
+8269
+8312
+8354
+8396
+8439
+8481
+8523
+8566
+8608
+8651
+8693
+8736
+8778
+8821
+8864
+8906
+8949
+8992
+9034
+9077
+9120
+9163
+9206
+9248
+9291
+9334
+9377
+9420
+9463
+9506
+9549
+9592
+9635
+9678
+9721
+9765
+9808
+9851
+9894
+9937
+9981
+10024
+10067
+10110
+10154
+10197
+10240
+10284
+10327
+10371
+10414
+10458
+10501
+10545
+10588
+10632
+10675
+10719
+10762
+10806
+10850
+10893
+10937
+10981
+11024
+11068
+11112
+11155
+11199
+11243
+11287
+11331
+11374
+11418
+11462
+11506
+11550
+11594
+11638
+11681
+11725
+11769
+11813
+11857
+11901
+11945
+11989
+12033
+12077
+12121
+12165
+12209
+12253
+12297
+12341
+12385
+12429
+12474
+12518
+12562
+12606
+12650
+12694
+12738
+12782
+12827
+12871
+12915
+12959
+13003
+13047
+13092
+13136
+13180
+13224
+13268
+13313
+13357
+13401
+13445
+13489
+13534
+13578
+13622
+13666
+13711
+13755
+13799
+13843
+13888
+13932
+13976
+14020
+14065
+14109
+14153
+14197
+14242
+14286
+14330
+14374
+14418
+14463
+14507
+14551
+14595
+14640
+14684
+14728
+14772
+14816
+14861
+14905
+14949
+14993
+15037
+15082
+15126
+15170
+15214
+15258
+15302
+15347
+15391
+15435
+15479
+15523
+15567
+15611
+15655
+15699
+15744
+15788
+15832
+15876
+15920
+15964
+16008
+16052
+16096
+16140
+16184
+16228
+16272
+16316
+16359
+16403
+16447
+16491
+16535
+16579
+16623
+16667
+16710
+16754
+16798
+16842
+16886
+16929
+16973
+17017
+17060
+17104
+17148
+17191
+17235
+17279
+17322
+17366
+17409
+17453
+17496
+17540
+17583
+17627
+17670
+17714
+17757
+17801
+17844
+17887
+17931
+17974
+18017
+18060
+18104
+18147
+18190
+18233
+18276
+18320
+18363
+18406
+18449
+18492
+18535
+18578
+18621
+18664
+18707
+18750
+18792
+18835
+18878
+18921
+18964
+19006
+19049
+19092
+19134
+19177
+19220
+19262
+19305
+19347
+19390
+19432
+19475
+19517
+19559
+19602
+19644
+19686
+19728
+19771
+19813
+19855
+19897
+19939
+19981
+20023
+20065
+20107
+20149
+20191
+20233
+20275
+20316
+20358
+20400
+20442
+20483
+20525
+20566
+20608
+20649
+20691
+20732
+20774
+20815
+20856
+20898
+20939
+20980
+21021
+21062
+21104
+21145
+21186
+21227
+21268
+21308
+21349
+21390
+21431
+21472
+21512
+21553
+21594
+21634
+21675
+21715
+21756
+21796
+21836
+21877
+21917
+21957
+21997
+22038
+22078
+22118
+22158
+22198
+22238
+22278
+22317
+22357
+22397
+22437
+22476
+22516
+22555
+22595
+22634
+22674
+22713
+22752
+22792
+22831
+22870
+22909
+22948
+22987
+23026
+23065
+23104
+23143
+23182
+23221
+23259
+23298
+23336
+23375
+23413
+23452
+23490
+23529
+23567
+23605
+23643
+23681
+23719
+23757
+23795
+23833
+23871
+23909
+23947
+23984
+24022
+24059
+24097
+24134
+24172
+24209
+24246
+24284
+24321
+24358
+24395
+24432
+24469
+24506
+24543
+24579
+24616
+24653
+24689
+24726
+24762
+24799
+24835
+24871
+24908
+24944
+24980
+25016
+25052
+25088
+25124
+25160
+25195
+25231
+25267
+25302
+25338
+25373
+25408
+25444
+25479
+25514
+25549
+25584
+25619
+25654
+25689
+25724
+25759
+25793
+25828
+25862
+25897
+25931
+25966
+26000
+26034
+26068
+26102
+26136
+26170
+26204
+26238
+26272
+26305
+26339
+26372
+26406
+26439
+26472
+26506
+26539
+26572
+26605
+26638
+26671
+26704
+26736
+26769
+26802
+26834
+26867
+26899
+26931
+26964
+26996
+27028
+27060
+27092
+27124
+27156
+27187
+27219
+27251
+27282
+27314
+27345
+27376
+27408
+27439
+27470
+27501
+27532
+27563
+27593
+27624
+27655
+27685
+27716
+27746
+27776
+27807
+27837
+27867
+27897
+27927
+27957
+27986
+28016
+28046
+28075
+28105
+28134
+28163
+28193
+28222
+28251
+28280
+28309
+28337
+28366
+28395
+28423
+28452
+28480
+28509
+28537
+28565
+28593
+28621
+28649
+28677
+28705
+28732
+28760
+28787
+28815
+28842
+28869
+28897
+28924
+28951
+28978
+29005
+29031
+29058
+29085
+29111
+29137
+29164
+29190
+29216
+29242
+29268
+29294
+29320
+29346
+29371
+29397
+29422
+29448
+29473
+29498
+29523
+29549
+29573
+29598
+29623
+29648
+29672
+29697
+29721
+29746
+29770
+29794
+29818
+29842
+29866
+29890
+29914
+29937
+29961
+29984
+30007
+30031
+30054
+30077
+30100
+30123
+30146
+30168
+30191
+30214
+30236
+30258
+30281
+30303
+30325
+30347
+30369
+30391
+30412
+30434
+30455
+30477
+30498
+30519
+30541
+30562
+30583
+30603
+30624
+30645
+30666
+30686
+30706
+30727
+30747
+30767
+30787
+30807
+30827
+30847
+30866
+30886
+30905
+30925
+30944
+30963
+30982
+31001
+31020
+31039
+31057
+31076
+31094
+31113
+31131
+31149
+31167
+31185
+31203
+31221
+31239
+31256
+31274
+31291
+31309
+31326
+31343
+31360
+31377
+31394
+31411
+31427
+31444
+31460
+31477
+31493
+31509
+31525
+31541
+31557
+31573
+31588
+31604
+31619
+31635
+31650
+31665
+31680
+31695
+31710
+31724
+31739
+31754
+31768
+31782
+31797
+31811
+31825
+31839
+31853
+31866
+31880
+31894
+31907
+31920
+31934
+31947
+31960
+31973
+31985
+31998
+32011
+32023
+32036
+32048
+32060
+32072
+32084
+32096
+32108
+32120
+32131
+32143
+32154
+32165
+32177
+32188
+32199
+32210
+32220
+32231
+32242
+32252
+32262
+32273
+32283
+32293
+32303
+32313
+32322
+32332
+32341
+32351
+32360
+32369
+32379
+32388
+32396
+32405
+32414
+32422
+32431
+32439
+32448
+32456
+32464
+32472
+32480
+32487
+32495
+32503
+32510
+32517
+32525
+32532
+32539
+32546
+32552
+32559
+32566
+32572
+32579
+32585
+32591
+32597
+32603
+32609
+32615
+32620
+32626
+32631
+32636
+32642
+32647
+32652
+32657
+32661
+32666
+32671
+32675
+32680
+32684
+32688
+32692
+32696
+32700
+32704
+32707
+32711
+32714
+32717
+32721
+32724
+32727
+32730
+32732
+32735
+32738
+32740
+32742
+32745
+32747
+32749
+32751
+32752
+32754
+32756
+32757
+32759
+32760
+32761
+32762
+32763
+32764
+32765
+32765
+32766
+32766
+32767
+32767
+32767
+32767
+32767
+32767
+32766
+32766
+32765
+32765
+32764
+32763
+32762
+32761
+32760
+32759
+32757
+32756
+32754
+32752
+32751
+32749
+32747
+32745
+32742
+32740
+32738
+32735
+32732
+32730
+32727
+32724
+32721
+32717
+32714
+32711
+32707
+32704
+32700
+32696
+32692
+32688
+32684
+32680
+32675
+32671
+32666
+32661
+32657
+32652
+32647
+32642
+32636
+32631
+32626
+32620
+32615
+32609
+32603
+32597
+32591
+32585
+32579
+32572
+32566
+32559
+32552
+32546
+32539
+32532
+32525
+32517
+32510
+32503
+32495
+32487
+32480
+32472
+32464
+32456
+32448
+32439
+32431
+32422
+32414
+32405
+32396
+32388
+32379
+32369
+32360
+32351
+32341
+32332
+32322
+32313
+32303
+32293
+32283
+32273
+32262
+32252
+32242
+32231
+32220
+32210
+32199
+32188
+32177
+32165
+32154
+32143
+32131
+32120
+32108
+32096
+32084
+32072
+32060
+32048
+32036
+32023
+32011
+31998
+31985
+31973
+31960
+31947
+31934
+31920
+31907
+31894
+31880
+31866
+31853
+31839
+31825
+31811
+31797
+31782
+31768
+31754
+31739
+31724
+31710
+31695
+31680
+31665
+31650
+31635
+31619
+31604
+31588
+31573
+31557
+31541
+31525
+31509
+31493
+31477
+31460
+31444
+31427
+31411
+31394
+31377
+31360
+31343
+31326
+31309
+31291
+31274
+31256
+31239
+31221
+31203
+31185
+31167
+31149
+31131
+31113
+31094
+31076
+31057
+31039
+31020
+31001
+30982
+30963
+30944
+30925
+30905
+30886
+30866
+30847
+30827
+30807
+30787
+30767
+30747
+30727
+30706
+30686
+30666
+30645
+30624
+30603
+30583
+30562
+30541
+30519
+30498
+30477
+30455
+30434
+30412
+30391
+30369
+30347
+30325
+30303
+30281
+30258
+30236
+30214
+30191
+30168
+30146
+30123
+30100
+30077
+30054
+30031
+30007
+29984
+29961
+29937
+29914
+29890
+29866
+29842
+29818
+29794
+29770
+29746
+29721
+29697
+29672
+29648
+29623
+29598
+29573
+29549
+29523
+29498
+29473
+29448
+29422
+29397
+29371
+29346
+29320
+29294
+29268
+29242
+29216
+29190
+29164
+29137
+29111
+29085
+29058
+29031
+29005
+28978
+28951
+28924
+28897
+28869
+28842
+28815
+28787
+28760
+28732
+28705
+28677
+28649
+28621
+28593
+28565
+28537
+28509
+28480
+28452
+28423
+28395
+28366
+28337
+28309
+28280
+28251
+28222
+28193
+28163
+28134
+28105
+28075
+28046
+28016
+27986
+27957
+27927
+27897
+27867
+27837
+27807
+27776
+27746
+27716
+27685
+27655
+27624
+27593
+27563
+27532
+27501
+27470
+27439
+27408
+27376
+27345
+27314
+27282
+27251
+27219
+27187
+27156
+27124
+27092
+27060
+27028
+26996
+26964
+26931
+26899
+26867
+26834
+26802
+26769
+26736
+26704
+26671
+26638
+26605
+26572
+26539
+26506
+26472
+26439
+26406
+26372
+26339
+26305
+26272
+26238
+26204
+26170
+26136
+26102
+26068
+26034
+26000
+25966
+25931
+25897
+25862
+25828
+25793
+25759
+25724
+25689
+25654
+25619
+25584
+25549
+25514
+25479
+25444
+25408
+25373
+25338
+25302
+25267
+25231
+25195
+25160
+25124
+25088
+25052
+25016
+24980
+24944
+24908
+24871
+24835
+24799
+24762
+24726
+24689
+24653
+24616
+24579
+24543
+24506
+24469
+24432
+24395
+24358
+24321
+24284
+24246
+24209
+24172
+24134
+24097
+24059
+24022
+23984
+23947
+23909
+23871
+23833
+23795
+23757
+23719
+23681
+23643
+23605
+23567
+23529
+23490
+23452
+23413
+23375
+23336
+23298
+23259
+23221
+23182
+23143
+23104
+23065
+23026
+22987
+22948
+22909
+22870
+22831
+22792
+22752
+22713
+22674
+22634
+22595
+22555
+22516
+22476
+22437
+22397
+22357
+22317
+22278
+22238
+22198
+22158
+22118
+22078
+22038
+21997
+21957
+21917
+21877
+21836
+21796
+21756
+21715
+21675
+21634
+21594
+21553
+21512
+21472
+21431
+21390
+21349
+21308
+21268
+21227
+21186
+21145
+21104
+21062
+21021
+20980
+20939
+20898
+20856
+20815
+20774
+20732
+20691
+20649
+20608
+20566
+20525
+20483
+20442
+20400
+20358
+20316
+20275
+20233
+20191
+20149
+20107
+20065
+20023
+19981
+19939
+19897
+19855
+19813
+19771
+19728
+19686
+19644
+19602
+19559
+19517
+19475
+19432
+19390
+19347
+19305
+19262
+19220
+19177
+19134
+19092
+19049
+19006
+18964
+18921
+18878
+18835
+18792
+18750
+18707
+18664
+18621
+18578
+18535
+18492
+18449
+18406
+18363
+18320
+18276
+18233
+18190
+18147
+18104
+18060
+18017
+17974
+17931
+17887
+17844
+17801
+17757
+17714
+17670
+17627
+17583
+17540
+17496
+17453
+17409
+17366
+17322
+17279
+17235
+17191
+17148
+17104
+17060
+17017
+16973
+16929
+16886
+16842
+16798
+16754
+16710
+16667
+16623
+16579
+16535
+16491
+16447
+16403
+16359
+16316
+16272
+16228
+16184
+16140
+16096
+16052
+16008
+15964
+15920
+15876
+15832
+15788
+15744
+15699
+15655
+15611
+15567
+15523
+15479
+15435
+15391
+15347
+15302
+15258
+15214
+15170
+15126
+15082
+15037
+14993
+14949
+14905
+14861
+14816
+14772
+14728
+14684
+14640
+14595
+14551
+14507
+14463
+14418
+14374
+14330
+14286
+14242
+14197
+14153
+14109
+14065
+14020
+13976
+13932
+13888
+13843
+13799
+13755
+13711
+13666
+13622
+13578
+13534
+13489
+13445
+13401
+13357
+13313
+13268
+13224
+13180
+13136
+13092
+13047
+13003
+12959
+12915
+12871
+12827
+12782
+12738
+12694
+12650
+12606
+12562
+12518
+12474
+12429
+12385
+12341
+12297
+12253
+12209
+12165
+12121
+12077
+12033
+11989
+11945
+11901
+11857
+11813
+11769
+11725
+11681
+11638
+11594
+11550
+11506
+11462
+11418
+11374
+11331
+11287
+11243
+11199
+11155
+11112
+11068
+11024
+10981
+10937
+10893
+10850
+10806
+10762
+10719
+10675
+10632
+10588
+10545
+10501
+10458
+10414
+10371
+10327
+10284
+10240
+10197
+10154
+10110
+10067
+10024
+9981
+9937
+9894
+9851
+9808
+9765
+9721
+9678
+9635
+9592
+9549
+9506
+9463
+9420
+9377
+9334
+9291
+9248
+9206
+9163
+9120
+9077
+9034
+8992
+8949
+8906
+8864
+8821
+8778
+8736
+8693
+8651
+8608
+8566
+8523
+8481
+8439
+8396
+8354
+8312
+8269
+8227
+8185
+8143
+8101
+8058
+8016
+7974
+7932
+7890
+7848
+7806
+7765
+7723
+7681
+7639
+7597
+7556
+7514
+7472
+7431
+7389
+7347
+7306
+7264
+7223
+7181
+7140
+7099
+7057
+7016
+6975
+6934
+6892
+6851
+6810
+6769
+6728
+6687
+6646
+6605
+6564
+6523
+6483
+6442
+6401
+6360
+6320
+6279
+6239
+6198
+6157
+6117
+6077
+6036
+5996
+5956
+5915
+5875
+5835
+5795
+5755
+5715
+5675
+5635
+5595
+5555
+5515
+5475
+5435
+5396
+5356
+5316
+5277
+5237
+5198
+5158
+5119
+5079
+5040
+5001
+4962
+4922
+4883
+4844
+4805
+4766
+4727
+4688
+4649
+4611
+4572
+4533
+4494
+4456
+4417
+4379
+4340
+4302
+4263
+4225
+4187
+4148
+4110
+4072
+4034
+3996
+3958
+3920
+3882
+3844
+3806
+3768
+3731
+3693
+3655
+3618
+3580
+3543
+3506
+3468
+3431
+3394
+3356
+3319
+3282
+3245
+3208
+3171
+3134
+3098
+3061
+3024
+2987
+2951
+2914
+2878
+2841
+2805
+2769
+2732
+2696
+2660
+2624
+2588
+2552
+2516
+2480
+2444
+2408
+2372
+2337
+2301
+2266
+2230
+2195
+2159
+2124
+2089
+2053
+2018
+1983
+1948
+1913
+1878
+1843
+1808
+1774
+1739
+1704
+1670
+1635
+1601
+1566
+1532
+1498
+1463
+1429
+1395
+1361
+1327
+1293
+1259
+1226
+1192
+1158
+1124
+1091
+1057
+1024
+991
+957
+924
+891
+858
+825
+792
+759
+726
+693
+660
+628
+595
+562
+530
+497
+465
+433
+401
+368
+336
+304
+272
+240
+208
+177
+145
+113
+82
+50
+19
+-13
+-44
+-75
+-107
+-138
+-169
+-200
+-231
+-262
+-292
+-323
+-354
+-384
+-415
+-445
+-476
+-506
+-536
+-567
+-597
+-627
+-657
+-687
+-717
+-747
+-776
+-806
+-836
+-865
+-895
+-924
+-953
+-982
+-1012
+-1041
+-1070
+-1099
+-1128
+-1157
+-1185
+-1214
+-1243
+-1271
+-1300
+-1328
+-1357
+-1385
+-1413
+-1441
+-1469
+-1497
+-1525
+-1553
+-1581
+-1609
+-1636
+-1664
+-1691
+-1719
+-1746
+-1773
+-1801
+-1828
+-1855
+-1882
+-1909
+-1936
+-1962
+-1989
+-2016
+-2042
+-2069
+-2095
+-2122
+-2148
+-2174
+-2200
+-2226
+-2252
+-2278
+-2304
+-2330
+-2356
+-2381
+-2407
+-2432
+-2458
+-2483
+-2508
+-2534
+-2559
+-2584
+-2609
+-2634
+-2658
+-2683
+-2708
+-2732
+-2757
+-2781
+-2806
+-2830
+-2854
+-2879
+-2903
+-2927
+-2951
+-2975
+-2998
+-3022
+-3046
+-3069
+-3093
+-3116
+-3139
+-3163
+-3186
+-3209
+-3232
+-3255
+-3278
+-3301
+-3324
+-3346
+-3369
+-3391
+-3414
+-3436
+-3458
+-3481
+-3503
+-3525
+-3547
+-3569
+-3591
+-3612
+-3634
+-3656
+-3677
+-3699
+-3720
+-3741
+-3763
+-3784
+-3805
+-3826
+-3847
+-3868
+-3888
+-3909
+-3930
+-3950
+-3971
+-3991
+-4011
+-4032
+-4052
+-4072
+-4092
+-4112
+-4132
+-4151
+-4171
+-4191
+-4210
+-4230
+-4249
+-4269
+-4288
+-4307
+-4326
+-4345
+-4364
+-4383
+-4402
+-4420
+-4439
+-4458
+-4476
+-4494
+-4513
+-4531
+-4549
+-4567
+-4585
+-4603
+-4621
+-4639
+-4656
+-4674
+-4692
+-4709
+-4727
+-4744
+-4761
+-4778
+-4795
+-4812
+-4829
+-4846
+-4863
+-4880
+-4896
+-4913
+-4929
+-4946
+-4962
+-4978
+-4994
+-5010
+-5026
+-5042
+-5058
+-5074
+-5090
+-5105
+-5121
+-5136
+-5152
+-5167
+-5182
+-5197
+-5212
+-5227
+-5242
+-5257
+-5272
+-5287
+-5301
+-5316
+-5330
+-5345
+-5359
+-5373
+-5387
+-5401
+-5415
+-5429
+-5443
+-5457
+-5471
+-5484
+-5498
+-5511
+-5525
+-5538
+-5551
+-5564
+-5577
+-5590
+-5603
+-5616
+-5629
+-5642
+-5654
+-5667
+-5679
+-5692
+-5704
+-5716
+-5728
+-5740
+-5752
+-5764
+-5776
+-5788
+-5800
+-5811
+-5823
+-5834
+-5846
+-5857
+-5868
+-5879
+-5890
+-5901
+-5912
+-5923
+-5934
+-5945
+-5955
+-5966
+-5976
+-5987
+-5997
+-6007
+-6018
+-6028
+-6038
+-6048
+-6057
+-6067
+-6077
+-6087
+-6096
+-6106
+-6115
+-6124
+-6134
+-6143
+-6152
+-6161
+-6170
+-6179
+-6188
+-6196
+-6205
+-6214
+-6222
+-6231
+-6239
+-6247
+-6256
+-6264
+-6272
+-6280
+-6288
+-6296
+-6303
+-6311
+-6319
+-6326
+-6334
+-6341
+-6348
+-6356
+-6363
+-6370
+-6377
+-6384
+-6391
+-6398
+-6404
+-6411
+-6418
+-6424
+-6431
+-6437
+-6443
+-6449
+-6456
+-6462
+-6468
+-6474
+-6479
+-6485
+-6491
+-6497
+-6502
+-6508
+-6513
+-6518
+-6524
+-6529
+-6534
+-6539
+-6544
+-6549
+-6554
+-6559
+-6563
+-6568
+-6573
+-6577
+-6582
+-6586
+-6590
+-6594
+-6599
+-6603
+-6607
+-6611
+-6614
+-6618
+-6622
+-6626
+-6629
+-6633
+-6636
+-6639
+-6643
+-6646
+-6649
+-6652
+-6655
+-6658
+-6661
+-6664
+-6666
+-6669
+-6672
+-6674
+-6677
+-6679
+-6681
+-6684
+-6686
+-6688
+-6690
+-6692
+-6694
+-6696
+-6698
+-6699
+-6701
+-6702
+-6704
+-6705
+-6707
+-6708
+-6709
+-6710
+-6712
+-6713
+-6714
+-6714
+-6715
+-6716
+-6717
+-6717
+-6718
+-6718
+-6719
+-6719
+-6719
+-6720
+-6720
+-6720
+-6720
+-6720
+-6720
+-6720
+-6720
+-6719
+-6719
+-6718
+-6718
+-6717
+-6717
+-6716
+-6715
+-6715
+-6714
+-6713
+-6712
+-6711
+-6710
+-6708
+-6707
+-6706
+-6704
+-6703
+-6701
+-6700
+-6698
+-6697
+-6695
+-6693
+-6691
+-6689
+-6687
+-6685
+-6683
+-6681
+-6678
+-6676
+-6674
+-6671
+-6669
+-6666
+-6664
+-6661
+-6658
+-6655
+-6652
+-6650
+-6647
+-6644
+-6640
+-6637
+-6634
+-6631
+-6627
+-6624
+-6621
+-6617
+-6613
+-6610
+-6606
+-6602
+-6598
+-6595
+-6591
+-6587
+-6583
+-6578
+-6574
+-6570
+-6566
+-6561
+-6557
+-6553
+-6548
+-6543
+-6539
+-6534
+-6529
+-6525
+-6520
+-6515
+-6510
+-6505
+-6500
+-6495
+-6489
+-6484
+-6479
+-6474
+-6468
+-6463
+-6457
+-6452
+-6446
+-6440
+-6434
+-6429
+-6423
+-6417
+-6411
+-6405
+-6399
+-6393
+-6387
+-6380
+-6374
+-6368
+-6361
+-6355
+-6348
+-6342
+-6335
+-6329
+-6322
+-6315
+-6308
+-6302
+-6295
+-6288
+-6281
+-6274
+-6266
+-6259
+-6252
+-6245
+-6238
+-6230
+-6223
+-6215
+-6208
+-6200
+-6193
+-6185
+-6177
+-6169
+-6162
+-6154
+-6146
+-6138
+-6130
+-6122
+-6114
+-6106
+-6097
+-6089
+-6081
+-6073
+-6064
+-6056
+-6047
+-6039
+-6030
+-6022
+-6013
+-6004
+-5995
+-5987
+-5978
+-5969
+-5960
+-5951
+-5942
+-5933
+-5924
+-5915
+-5905
+-5896
+-5887
+-5877
+-5868
+-5859
+-5849
+-5840
+-5830
+-5820
+-5811
+-5801
+-5791
+-5782
+-5772
+-5762
+-5752
+-5742
+-5732
+-5722
+-5712
+-5702
+-5692
+-5682
+-5671
+-5661
+-5651
+-5640
+-5630
+-5620
+-5609
+-5599
+-5588
+-5577
+-5567
+-5556
+-5545
+-5535
+-5524
+-5513
+-5502
+-5491
+-5480
+-5469
+-5458
+-5447
+-5436
+-5425
+-5414
+-5403
+-5391
+-5380
+-5369
+-5357
+-5346
+-5334
+-5323
+-5312
+-5300
+-5288
+-5277
+-5265
+-5253
+-5242
+-5230
+-5218
+-5206
+-5195
+-5183
+-5171
+-5159
+-5147
+-5135
+-5123
+-5111
+-5098
+-5086
+-5074
+-5062
+-5050
+-5037
+-5025
+-5013
+-5000
+-4988
+-4975
+-4963
+-4950
+-4938
+-4925
+-4913
+-4900
+-4887
+-4875
+-4862
+-4849
+-4836
+-4824
+-4811
+-4798
+-4785
+-4772
+-4759
+-4746
+-4733
+-4720
+-4707
+-4694
+-4681
+-4668
+-4654
+-4641
+-4628
+-4615
+-4601
+-4588
+-4575
+-4561
+-4548
+-4534
+-4521
+-4507
+-4494
+-4480
+-4467
+-4453
+-4440
+-4426
+-4412
+-4399
+-4385
+-4371
+-4357
+-4344
+-4330
+-4316
+-4302
+-4288
+-4274
+-4261
+-4247
+-4233
+-4219
+-4205
+-4191
+-4176
+-4162
+-4148
+-4134
+-4120
+-4106
+-4092
+-4077
+-4063
+-4049
+-4035
+-4020
+-4006
+-3992
+-3977
+-3963
+-3949
+-3934
+-3920
+-3905
+-3891
+-3876
+-3862
+-3847
+-3833
+-3818
+-3804
+-3789
+-3775
+-3760
+-3745
+-3731
+-3716
+-3701
+-3686
+-3672
+-3657
+-3642
+-3627
+-3613
+-3598
+-3583
+-3568
+-3553
+-3538
+-3524
+-3509
+-3494
+-3479
+-3464
+-3449
+-3434
+-3419
+-3404
+-3389
+-3374
+-3359
+-3344
+-3329
+-3314
+-3298
+-3283
+-3268
+-3253
+-3238
+-3223
+-3208
+-3192
+-3177
+-3162
+-3147
+-3132
+-3116
+-3101
+-3086
+-3071
+-3055
+-3040
+-3025
+-3009
+-2994
+-2979
+-2963
+-2948
+-2933
+-2917
+-2902
+-2887
+-2871
+-2856
+-2840
+-2825
+-2810
+-2794
+-2779
+-2763
+-2748
+-2732
+-2717
+-2701
+-2686
+-2670
+-2655
+-2639
+-2624
+-2608
+-2593
+-2577
+-2562
+-2546
+-2531
+-2515
+-2500
+-2484
+-2469
+-2453
+-2438
+-2422
+-2406
+-2391
+-2375
+-2360
+-2344
+-2328
+-2313
+-2297
+-2282
+-2266
+-2251
+-2235
+-2219
+-2204
+-2188
+-2172
+-2157
+-2141
+-2126
+-2110
+-2094
+-2079
+-2063
+-2048
+-2032
+-2016
+-2001
+-1985
+-1969
+-1954
+-1938
+-1923
+-1907
+-1891
+-1876
+-1860
+-1845
+-1829
+-1813
+-1798
+-1782
+-1767
+-1751
+-1735
+-1720
+-1704
+-1689
+-1673
+-1657
+-1642
+-1626
+-1611
+-1595
+-1580
+-1564
+-1548
+-1533
+-1517
+-1502
+-1486
+-1471
+-1455
+-1440
+-1424
+-1409
+-1393
+-1378
+-1362
+-1347
+-1331
+-1316
+-1300
+-1285
+-1269
+-1254
+-1238
+-1223
+-1208
+-1192
+-1177
+-1161
+-1146
+-1131
+-1115
+-1100
+-1084
+-1069
+-1054
+-1038
+-1023
+-1008
+-992
+-977
+-962
+-946
+-931
+-916
+-901
+-885
+-870
+-855
+-840
+-825
+-809
+-794
+-779
+-764
+-749
+-734
+-718
+-703
+-688
+-673
+-658
+-643
+-628
+-613
+-598
+-583
+-568
+-553
+-538
+-523
+-508
+-493
+-478
+-463
+-448
+-433
+-418
+-403
+-389
+-374
+-359
+-344
+-329
+-315
+-300
+-285
+-270
+-256
+-241
+-226
+-212
+-197
+-182
+-168
+-153
+-138
+-124
+-109
+-95
+-80
+-66
+-51
+-37
+-22
+-8
+7
+21
+35
+50
+64
+78
+93
+107
+121
+136
+150
+164
+178
+193
+207
+221
+235
+249
+263
+277
+291
+305
+319
+333
+347
+361
+375
+389
+403
+417
+431
+445
+458
+472
+486
+500
+514
+527
+541
+555
+568
+582
+595
+609
+623
+636
+650
+663
+677
+690
+703
+717
+730
+744
+757
+770
+784
+797
+810
+823
+836
+850
+863
+876
+889
+902
+915
+928
+941
+954
+967
+980
+993
+1006
+1019
+1031
+1044
+1057
+1070
+1083
+1095
+1108
+1121
+1133
+1146
+1158
+1171
+1183
+1196
+1208
+1221
+1233
+1246
+1258
+1270
+1283
+1295
+1307
+1319
+1332
+1344
+1356
+1368
+1380
+1392
+1404
+1416
+1428
+1440
+1452
+1464
+1476
+1488
+1500
+1511
+1523
+1535
+1547
+1558
+1570
+1582
+1593
+1605
+1616
+1628
+1639
+1651
+1662
+1673
+1685
+1696
+1707
+1719
+1730
+1741
+1752
+1763
+1775
+1786
+1797
+1808
+1819
+1830
+1841
+1852
+1862
+1873
+1884
+1895
+1906
+1916
+1927
+1938
+1948
+1959
+1970
+1980
+1991
+2001
+2011
+2022
+2032
+2043
+2053
+2063
+2073
+2084
+2094
+2104
+2114
+2124
+2134
+2144
+2154
+2164
+2174
+2184
+2194
+2204
+2214
+2223
+2233
+2243
+2253
+2262
+2272
+2281
+2291
+2300
+2310
+2319
+2329
+2338
+2347
+2357
+2366
+2375
+2384
+2394
+2403
+2412
+2421
+2430
+2439
+2448
+2457
+2466
+2475
+2484
+2492
+2501
+2510
+2519
+2527
+2536
+2544
+2553
+2562
+2570
+2579
+2587
+2595
+2604
+2612
+2620
+2629
+2637
+2645
+2653
+2661
+2669
+2677
+2685
+2693
+2701
+2709
+2717
+2725
+2733
+2740
+2748
+2756
+2763
+2771
+2779
+2786
+2794
+2801
+2809
+2816
+2823
+2831
+2838
+2845
+2853
+2860
+2867
+2874
+2881
+2888
+2895
+2902
+2909
+2916
+2923
+2930
+2937
+2943
+2950
+2957
+2963
+2970
+2977
+2983
+2990
+2996
+3003
+3009
+3015
+3022
+3028
+3034
+3040
+3047
+3053
+3059
+3065
+3071
+3077
+3083
+3089
+3095
+3101
+3107
+3112
+3118
+3124
+3129
+3135
+3141
+3146
+3152
+3157
+3163
+3168
+3174
+3179
+3184
+3189
+3195
+3200
+3205
+3210
+3215
+3220
+3225
+3230
+3235
+3240
+3245
+3250
+3255
+3259
+3264
+3269
+3273
+3278
+3283
+3287
+3292
+3296
+3301
+3305
+3309
+3314
+3318
+3322
+3326
+3331
+3335
+3339
+3343
+3347
+3351
+3355
+3359
+3363
+3366
+3370
+3374
+3378
+3381
+3385
+3389
+3392
+3396
+3399
+3403
+3406
+3410
+3413
+3416
+3420
+3423
+3426
+3429
+3432
+3435
+3438
+3442
+3445
+3447
+3450
+3453
+3456
+3459
+3462
+3464
+3467
+3470
+3472
+3475
+3478
+3480
+3483
+3485
+3487
+3490
+3492
+3494
+3497
+3499
+3501
+3503
+3505
+3508
+3510
+3512
+3514
+3515
+3517
+3519
+3521
+3523
+3525
+3526
+3528
+3530
+3531
+3533
+3534
+3536
+3537
+3539
+3540
+3542
+3543
+3544
+3545
+3547
+3548
+3549
+3550
+3551
+3552
+3553
+3554
+3555
+3556
+3557
+3558
+3559
+3559
+3560
+3561
+3561
+3562
+3563
+3563
+3564
+3564
+3565
+3565
+3565
+3566
+3566
+3566
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3567
+3566
+3566
+3566
+3566
+3565
+3565
+3564
+3564
+3563
+3563
+3562
+3562
+3561
+3561
+3560
+3559
+3558
+3558
+3557
+3556
+3555
+3554
+3553
+3552
+3551
+3550
+3549
+3548
+3547
+3545
+3544
+3543
+3542
+3540
+3539
+3538
+3536
+3535
+3533
+3532
+3530
+3529
+3527
+3525
+3524
+3522
+3520
+3518
+3517
+3515
+3513
+3511
+3509
+3507
+3505
+3503
+3501
+3499
+3497
+3495
+3492
+3490
+3488
+3486
+3483
+3481
+3479
+3476
+3474
+3471
+3469
+3466
+3464
+3461
+3458
+3456
+3453
+3450
+3448
+3445
+3442
+3439
+3436
+3433
+3430
+3428
+3425
+3422
+3418
+3415
+3412
+3409
+3406
+3403
+3400
+3396
+3393
+3390
+3386
+3383
+3380
+3376
+3373
+3369
+3366
+3362
+3358
+3355
+3351
+3348
+3344
+3340
+3336
+3333
+3329
+3325
+3321
+3317
+3313
+3309
+3305
+3301
+3297
+3293
+3289
+3285
+3281
+3277
+3273
+3268
+3264
+3260
+3256
+3251
+3247
+3242
+3238
+3234
+3229
+3225
+3220
+3216
+3211
+3206
+3202
+3197
+3192
+3188
+3183
+3178
+3173
+3169
+3164
+3159
+3154
+3149
+3144
+3139
+3134
+3129
+3124
+3119
+3114
+3109
+3104
+3099
+3094
+3088
+3083
+3078
+3073
+3067
+3062
+3057
+3051
+3046
+3040
+3035
+3029
+3024
+3018
+3013
+3007
+3002
+2996
+2990
+2985
+2979
+2973
+2968
+2962
+2956
+2950
+2945
+2939
+2933
+2927
+2921
+2915
+2909
+2903
+2897
+2891
+2885
+2879
+2873
+2867
+2861
+2855
+2848
+2842
+2836
+2830
+2823
+2817
+2811
+2805
+2798
+2792
+2786
+2779
+2773
+2766
+2760
+2753
+2747
+2740
+2734
+2727
+2721
+2714
+2707
+2701
+2694
+2687
+2681
+2674
+2667
+2661
+2654
+2647
+2640
+2633
+2626
+2620
+2613
+2606
+2599
+2592
+2585
+2578
+2571
+2564
+2557
+2550
+2543
+2536
+2529
+2522
+2514
+2507
+2500
+2493
+2486
+2479
+2471
+2464
+2457
+2450
+2442
+2435
+2428
+2420
+2413
+2406
+2398
+2391
+2383
+2376
+2368
+2361
+2353
+2346
+2338
+2331
+2323
+2316
+2308
+2301
+2293
+2285
+2278
+2270
+2262
+2255
+2247
+2239
+2232
+2224
+2216
+2208
+2201
+2193
+2185
+2177
+2169
+2162
+2154
+2146
+2138
+2130
+2122
+2114
+2106
+2098
+2090
+2082
+2074
+2066
+2058
+2050
+2042
+2034
+2026
+2018
+2010
+2002
+1994
+1986
+1978
+1970
+1962
+1953
+1945
+1937
+1929
+1921
+1912
+1904
+1896
+1888
+1880
+1871
+1863
+1855
+1846
+1838
+1830
+1822
+1813
+1805
+1797
+1788
+1780
+1772
+1763
+1755
+1746
+1738
+1730
+1721
+1713
+1704
+1696
+1687
+1679
+1670
+1662
+1654
+1645
+1637
+1628
+1620
+1611
+1602
+1594
+1585
+1577
+1568
+1560
+1551
+1543
+1534
+1525
+1517
+1508
+1500
+1491
+1482
+1474
+1465
+1457
+1448
+1439
+1431
+1422
+1413
+1405
+1396
+1387
+1379
+1370
+1361
+1353
+1344
+1335
+1326
+1318
+1309
+1300
+1292
+1283
+1274
+1265
+1257
+1248
+1239
+1230
+1222
+1213
+1204
+1195
+1187
+1178
+1169
+1160
+1152
+1143
+1134
+1125
+1116
+1108
+1099
+1090
+1081
+1072
+1064
+1055
+1046
+1037
+1028
+1020
+1011
+1002
+993
+984
+976
+967
+958
+949
+940
+932
+923
+914
+905
+896
+888
+879
+870
+861
+852
+843
+835
+826
+817
+808
+799
+791
+782
+773
+764
+755
+747
+738
+729
+720
+711
+703
+694
+685
+676
+667
+659
+650
+641
+632
+624
+615
+606
+597
+588
+580
+571
+562
+553
+545
+536
+527
+518
+510
+501
+492
+484
+475
+466
+457
+449
+440
+431
+423
+414
+405
+396
+388
+379
+370
+362
+353
+344
+336
+327
+319
+310
+301
+293
+284
+275
+267
+258
+250
+241
+232
+224
+215
+207
+198
+190
+181
+173
+164
+155
+147
+138
+130
+121
+113
+104
+96
+87
+79
+71
+62
+54
+45
+37
+28
+20
+12
+3
+-5
+-14
+-22
+-30
+-39
+-47
+-55
+-64
+-72
+-80
+-89
+-97
+-105
+-114
+-122
+-130
+-138
+-147
+-155
+-163
+-171
+-179
+-188
+-196
+-204
+-212
+-220
+-228
+-237
+-245
+-253
+-261
+-269
+-277
+-285
+-293
+-301
+-309
+-317
+-325
+-333
+-341
+-349
+-357
+-365
+-373
+-381
+-389
+-397
+-405
+-413
+-421
+-429
+-437
+-444
+-452
+-460
+-468
+-476
+-484
+-491
+-499
+-507
+-515
+-522
+-530
+-538
+-545
+-553
+-561
+-568
+-576
+-584
+-591
+-599
+-607
+-614
+-622
+-629
+-637
+-644
+-652
+-659
+-667
+-674
+-682
+-689
+-697
+-704
+-712
+-719
+-726
+-734
+-741
+-748
+-756
+-763
+-770
+-778
+-785
+-792
+-799
+-807
+-814
+-821
+-828
+-835
+-842
+-850
+-857
+-864
+-871
+-878
+-885
+-892
+-899
+-906
+-913
+-920
+-927
+-934
+-941
+-948
+-955
+-962
+-969
+-975
+-982
+-989
+-996
+-1003
+-1010
+-1016
+-1023
+-1030
+-1037
+-1043
+-1050
+-1057
+-1063
+-1070
+-1076
+-1083
+-1090
+-1096
+-1103
+-1109
+-1116
+-1122
+-1129
+-1135
+-1142
+-1148
+-1155
+-1161
+-1167
+-1174
+-1180
+-1186
+-1193
+-1199
+-1205
+-1212
+-1218
+-1224
+-1230
+-1237
+-1243
+-1249
+-1255
+-1261
+-1267
+-1273
+-1279
+-1285
+-1291
+-1298
+-1304
+-1309
+-1315
+-1321
+-1327
+-1333
+-1339
+-1345
+-1351
+-1357
+-1362
+-1368
+-1374
+-1380
+-1386
+-1391
+-1397
+-1403
+-1408
+-1414
+-1420
+-1425
+-1431
+-1436
+-1442
+-1448
+-1453
+-1459
+-1464
+-1469
+-1475
+-1480
+-1486
+-1491
+-1496
+-1502
+-1507
+-1512
+-1518
+-1523
+-1528
+-1534
+-1539
+-1544
+-1549
+-1554
+-1559
+-1564
+-1570
+-1575
+-1580
+-1585
+-1590
+-1595
+-1600
+-1605
+-1610
+-1615
+-1619
+-1624
+-1629
+-1634
+-1639
+-1644
+-1648
+-1653
+-1658
+-1663
+-1667
+-1672
+-1677
+-1681
+-1686
+-1690
+-1695
+-1700
+-1704
+-1709
+-1713
+-1718
+-1722
+-1726
+-1731
+-1735
+-1740
+-1744
+-1748
+-1753
+-1757
+-1761
+-1765
+-1770
+-1774
+-1778
+-1782
+-1786
+-1790
+-1794
+-1799
+-1803
+-1807
+-1811
+-1815
+-1819
+-1823
+-1827
+-1830
+-1834
+-1838
+-1842
+-1846
+-1850
+-1853
+-1857
+-1861
+-1865
+-1868
+-1872
+-1876
+-1879
+-1883
+-1887
+-1890
+-1894
+-1897
+-1901
+-1904
+-1908
+-1911
+-1915
+-1918
+-1921
+-1925
+-1928
+-1931
+-1935
+-1938
+-1941
+-1944
+-1948
+-1951
+-1954
+-1957
+-1960
+-1963
+-1966
+-1969
+-1972
+-1975
+-1978
+-1981
+-1984
+-1987
+-1990
+-1993
+-1996
+-1999
+-2002
+-2004
+-2007
+-2010
+-2013
+-2015
+-2018
+-2021
+-2023
+-2026
+-2029
+-2031
+-2034
+-2036
+-2039
+-2041
+-2044
+-2046
+-2049
+-2051
+-2054
+-2056
+-2058
+-2061
+-2063
+-2065
+-2067
+-2070
+-2072
+-2074
+-2076
+-2078
+-2081
+-2083
+-2085
+-2087
+-2089
+-2091
+-2093
+-2095
+-2097
+-2099
+-2101
+-2102
+-2104
+-2106
+-2108
+-2110
+-2112
+-2113
+-2115
+-2117
+-2119
+-2120
+-2122
+-2123
+-2125
+-2127
+-2128
+-2130
+-2131
+-2133
+-2134
+-2136
+-2137
+-2139
+-2140
+-2141
+-2143
+-2144
+-2145
+-2147
+-2148
+-2149
+-2150
+-2152
+-2153
+-2154
+-2155
+-2156
+-2157
+-2158
+-2159
+-2160
+-2161
+-2162
+-2163
+-2164
+-2165
+-2166
+-2167
+-2168
+-2169
+-2169
+-2170
+-2171
+-2172
+-2173
+-2173
+-2174
+-2175
+-2175
+-2176
+-2176
+-2177
+-2178
+-2178
+-2179
+-2179
+-2180
+-2180
+-2180
+-2181
+-2181
+-2182
+-2182
+-2182
+-2183
+-2183
+-2183
+-2183
+-2184
+-2184
+-2184
+-2184
+-2184
+-2184
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2185
+-2184
+-2184
+-2184
+-2184
+-2184
+-2184
+-2183
+-2183
+-2183
+-2183
+-2182
+-2182
+-2182
+-2181
+-2181
+-2180
+-2180
+-2179
+-2179
+-2178
+-2178
+-2177
+-2177
+-2176
+-2176
+-2175
+-2174
+-2174
+-2173
+-2172
+-2172
+-2171
+-2170
+-2169
+-2169
+-2168
+-2167
+-2166
+-2165
+-2164
+-2163
+-2163
+-2162
+-2161
+-2160
+-2159
+-2158
+-2157
+-2155
+-2154
+-2153
+-2152
+-2151
+-2150
+-2149
+-2147
+-2146
+-2145
+-2144
+-2142
+-2141
+-2140
+-2138
+-2137
+-2136
+-2134
+-2133
+-2131
+-2130
+-2129
+-2127
+-2126
+-2124
+-2123
+-2121
+-2119
+-2118
+-2116
+-2115
+-2113
+-2111
+-2109
+-2108
+-2106
+-2104
+-2103
+-2101
+-2099
+-2097
+-2095
+-2093
+-2092
+-2090
+-2088
+-2086
+-2084
+-2082
+-2080
+-2078
+-2076
+-2074
+-2072
+-2070
+-2068
+-2066
+-2063
+-2061
+-2059
+-2057
+-2055
+-2053
+-2050
+-2048
+-2046
+-2044
+-2041
+-2039
+-2037
+-2034
+-2032
+-2029
+-2027
+-2025
+-2022
+-2020
+-2017
+-2015
+-2012
+-2010
+-2007
+-2005
+-2002
+-2000
+-1997
+-1994
+-1992
+-1989
+-1986
+-1984
+-1981
+-1978
+-1976
+-1973
+-1970
+-1967
+-1964
+-1962
+-1959
+-1956
+-1953
+-1950
+-1947
+-1944
+-1942
+-1939
+-1936
+-1933
+-1930
+-1927
+-1924
+-1921
+-1918
+-1915
+-1911
+-1908
+-1905
+-1902
+-1899
+-1896
+-1893
+-1889
+-1886
+-1883
+-1880
+-1877
+-1873
+-1870
+-1867
+-1863
+-1860
+-1857
+-1853
+-1850
+-1847
+-1843
+-1840
+-1837
+-1833
+-1830
+-1826
+-1823
+-1819
+-1816
+-1812
+-1809
+-1805
+-1802
+-1798
+-1794
+-1791
+-1787
+-1784
+-1780
+-1776
+-1773
+-1769
+-1765
+-1762
+-1758
+-1754
+-1750
+-1747
+-1743
+-1739
+-1735
+-1731
+-1728
+-1724
+-1720
+-1716
+-1712
+-1708
+-1704
+-1701
+-1697
+-1693
+-1689
+-1685
+-1681
+-1677
+-1673
+-1669
+-1665
+-1661
+-1657
+-1653
+-1649
+-1644
+-1640
+-1636
+-1632
+-1628
+-1624
+-1620
+-1616
+-1611
+-1607
+-1603
+-1599
+-1595
+-1590
+-1586
+-1582
+-1578
+-1573
+-1569
+-1565
+-1560
+-1556
+-1552
+-1547
+-1543
+-1539
+-1534
+-1530
+-1526
+-1521
+-1517
+-1512
+-1508
+-1503
+-1499
+-1494
+-1490
+-1486
+-1481
+-1477
+-1472
+-1467
+-1463
+-1458
+-1454
+-1449
+-1445
+-1440
+-1436
+-1431
+-1426
+-1422
+-1417
+-1412
+-1408
+-1403
+-1398
+-1394
+-1389
+-1384
+-1380
+-1375
+-1370
+-1366
+-1361
+-1356
+-1351
+-1347
+-1342
+-1337
+-1332
+-1327
+-1323
+-1318
+-1313
+-1308
+-1303
+-1299
+-1294
+-1289
+-1284
+-1279
+-1274
+-1269
+-1264
+-1259
+-1255
+-1250
+-1245
+-1240
+-1235
+-1230
+-1225
+-1220
+-1215
+-1210
+-1205
+-1200
+-1195
+-1190
+-1185
+-1180
+-1175
+-1170
+-1165
+-1160
+-1155
+-1150
+-1145
+-1140
+-1135
+-1130
+-1124
+-1119
+-1114
+-1109
+-1104
+-1099
+-1094
+-1089
+-1084
+-1078
+-1073
+-1068
+-1063
+-1058
+-1053
+-1047
+-1042
+-1037
+-1032
+-1027
+-1021
+-1016
+-1011
+-1006
+-1001
+-995
+-990
+-985
+-980
+-974
+-969
+-964
+-959
+-953
+-948
+-943
+-938
+-932
+-927
+-922
+-917
+-911
+-906
+-901
+-895
+-890
+-885
+-879
+-874
+-869
+-863
+-858
+-853
+-847
+-842
+-837
+-831
+-826
+-821
+-815
+-810
+-805
+-799
+-794
+-789
+-783
+-778
+-773
+-767
+-762
+-756
+-751
+-746
+-740
+-735
+-729
+-724
+-719
+-713
+-708
+-702
+-697
+-692
+-686
+-681
+-675
+-670
+-665
+-659
+-654
+-648
+-643
+-637
+-632
+-627
+-621
+-616
+-610
+-605
+-600
+-594
+-589
+-583
+-578
+-572
+-567
+-562
+-556
+-551
+-545
+-540
+-534
+-529
+-523
+-518
+-513
+-507
+-502
+-496
+-491
+-485
+-480
+-475
+-469
+-464
+-458
+-453
+-447
+-442
+-437
+-431
+-426
+-420
+-415
+-409
+-404
+-399
+-393
+-388
+-382
+-377
+-371
+-366
+-361
+-355
+-350
+-344
+-339
+-334
+-328
+-323
+-317
+-312
+-307
+-301
+-296
+-290
+-285
+-280
+-274
+-269
+-263
+-258
+-253
+-247
+-242
+-236
+-231
+-226
+-220
+-215
+-210
+-204
+-199
+-194
+-188
+-183
+-178
+-172
+-167
+-162
+-156
+-151
+-146
+-140
+-135
+-130
+-124
+-119
+-114
+-108
+-103
+-98
+-93
+-87
+-82
+-77
+-71
+-66
+-61
+-56
+-50
+-45
+-40
+-35
+-29
+-24
+-19
+-14
+-8
+-3
+2
+7
+12
+18
+23
+28
+33
+38
+44
+49
+54
+59
+64
+69
+75
+80
+85
+90
+95
+100
+105
+110
+116
+121
+126
+131
+136
+141
+146
+151
+156
+161
+166
+171
+176
+181
+186
+191
+196
+201
+206
+211
+216
+221
+226
+231
+236
+241
+246
+251
+256
+261
+266
+271
+276
+281
+286
+291
+295
+300
+305
+310
+315
+320
+325
+329
+334
+339
+344
+349
+353
+358
+363
+368
+373
+377
+382
+387
+392
+396
+401
+406
+410
+415
+420
+424
+429
+434
+438
+443
+448
+452
+457
+462
+466
+471
+475
+480
+485
+489
+494
+498
+503
+507
+512
+516
+521
+525
+530
+534
+539
+543
+548
+552
+557
+561
+566
+570
+574
+579
+583
+588
+592
+596
+601
+605
+609
+614
+618
+622
+626
+631
+635
+639
+644
+648
+652
+656
+660
+665
+669
+673
+677
+681
+686
+690
+694
+698
+702
+706
+710
+714
+718
+723
+727
+731
+735
+739
+743
+747
+751
+755
+759
+763
+767
+771
+774
+778
+782
+786
+790
+794
+798
+802
+806
+809
+813
+817
+821
+825
+828
+832
+836
+840
+843
+847
+851
+855
+858
+862
+866
+869
+873
+877
+880
+884
+887
+891
+895
+898
+902
+905
+909
+912
+916
+919
+923
+926
+930
+933
+937
+940
+944
+947
+951
+954
+957
+961
+964
+967
+971
+974
+977
+981
+984
+987
+990
+994
+997
+1000
+1003
+1007
+1010
+1013
+1016
+1019
+1022
+1026
+1029
+1032
+1035
+1038
+1041
+1044
+1047
+1050
+1053
+1056
+1059
+1062
+1065
+1068
+1071
+1074
+1077
+1080
+1083
+1086
+1089
+1091
+1094
+1097
+1100
+1103
+1106
+1108
+1111
+1114
+1117
+1119
+1122
+1125
+1128
+1130
+1133
+1136
+1138
+1141
+1144
+1146
+1149
+1151
+1154
+1156
+1159
+1162
+1164
+1167
+1169
+1172
+1174
+1177
+1179
+1181
+1184
+1186
+1189
+1191
+1193
+1196
+1198
+1200
+1203
+1205
+1207
+1210
+1212
+1214
+1216
+1219
+1221
+1223
+1225
+1227
+1230
+1232
+1234
+1236
+1238
+1240
+1242
+1244
+1247
+1249
+1251
+1253
+1255
+1257
+1259
+1261
+1263
+1264
+1266
+1268
+1270
+1272
+1274
+1276
+1278
+1280
+1281
+1283
+1285
+1287
+1289
+1290
+1292
+1294
+1296
+1297
+1299
+1301
+1302
+1304
+1306
+1307
+1309
+1310
+1312
+1314
+1315
+1317
+1318
+1320
+1321
+1323
+1324
+1326
+1327
+1329
+1330
+1332
+1333
+1334
+1336
+1337
+1338
+1340
+1341
+1342
+1344
+1345
+1346
+1348
+1349
+1350
+1351
+1352
+1354
+1355
+1356
+1357
+1358
+1359
+1361
+1362
+1363
+1364
+1365
+1366
+1367
+1368
+1369
+1370
+1371
+1372
+1373
+1374
+1375
+1376
+1377
+1377
+1378
+1379
+1380
+1381
+1382
+1383
+1383
+1384
+1385
+1386
+1386
+1387
+1388
+1389
+1389
+1390
+1391
+1391
+1392
+1393
+1393
+1394
+1394
+1395
+1395
+1396
+1397
+1397
+1398
+1398
+1399
+1399
+1400
+1400
+1400
+1401
+1401
+1402
+1402
+1402
+1403
+1403
+1403
+1404
+1404
+1404
+1405
+1405
+1405
+1405
+1406
+1406
+1406
+1406
+1406
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1408
+1408
+1408
+1408
+1408
+1408
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1407
+1406
+1406
+1406
+1406
+1406
+1405
+1405
+1405
+1405
+1404
+1404
+1404
+1404
+1403
+1403
+1403
+1402
+1402
+1401
+1401
+1401
+1400
+1400
+1399
+1399
+1398
+1398
+1397
+1397
+1396
+1396
+1395
+1395
+1394
+1394
+1393
+1392
+1392
+1391
+1391
+1390
+1389
+1389
+1388
+1387
+1387
+1386
+1385
+1384
+1384
+1383
+1382
+1381
+1380
+1380
+1379
+1378
+1377
+1376
+1375
+1375
+1374
+1373
+1372
+1371
+1370
+1369
+1368
+1367
+1366
+1365
+1364
+1363
+1362
+1361
+1360
+1359
+1358
+1357
+1356
+1355
+1353
+1352
+1351
+1350
+1349
+1348
+1346
+1345
+1344
+1343
+1342
+1340
+1339
+1338
+1337
+1335
+1334
+1333
+1331
+1330
+1329
+1327
+1326
+1325
+1323
+1322
+1321
+1319
+1318
+1316
+1315
+1313
+1312
+1311
+1309
+1308
+1306
+1305
+1303
+1301
+1300
+1298
+1297
+1295
+1294
+1292
+1290
+1289
+1287
+1286
+1284
+1282
+1281
+1279
+1277
+1276
+1274
+1272
+1270
+1269
+1267
+1265
+1263
+1262
+1260
+1258
+1256
+1255
+1253
+1251
+1249
+1247
+1245
+1243
+1242
+1240
+1238
+1236
+1234
+1232
+1230
+1228
+1226
+1224
+1222
+1220
+1218
+1216
+1214
+1212
+1210
+1208
+1206
+1204
+1202
+1200
+1198
+1196
+1194
+1192
+1190
+1188
+1185
+1183
+1181
+1179
+1177
+1175
+1173
+1170
+1168
+1166
+1164
+1161
+1159
+1157
+1155
+1153
+1150
+1148
+1146
+1143
+1141
+1139
+1137
+1134
+1132
+1130
+1127
+1125
+1123
+1120
+1118
+1115
+1113
+1111
+1108
+1106
+1103
+1101
+1099
+1096
+1094
+1091
+1089
+1086
+1084
+1081
+1079
+1076
+1074
+1071
+1069
+1066
+1064
+1061
+1059
+1056
+1053
+1051
+1048
+1046
+1043
+1041
+1038
+1035
+1033
+1030
+1027
+1025
+1022
+1020
+1017
+1014
+1012
+1009
+1006
+1003
+1001
+998
+995
+993
+990
+987
+984
+982
+979
+976
+973
+971
+968
+965
+962
+960
+957
+954
+951
+948
+945
+943
+940
+937
+934
+931
+928
+926
+923
+920
+917
+914
+911
+908
+905
+902
+900
+897
+894
+891
+888
+885
+882
+879
+876
+873
+870
+867
+864
+861
+858
+855
+852
+849
+846
+843
+840
+837
+834
+831
+828
+825
+822
+819
+816
+813
+810
+807
+804
+801
+798
+795
+791
+788
+785
+782
+779
+776
+773
+770
+767
+763
+760
+757
+754
+751
+748
+745
+742
+738
+735
+732
+729
+726
+723
+719
+716
+713
+710
+707
+703
+700
+697
+694
+691
+687
+684
+681
+678
+675
+671
+668
+665
+662
+658
+655
+652
+649
+646
+642
+639
+636
+632
+629
+626
+623
+619
+616
+613
+610
+606
+603
+600
+597
+593
+590
+587
+583
+580
+577
+573
+570
+567
+564
+560
+557
+554
+550
+547
+544
+540
+537
+534
+530
+527
+524
+520
+517
+514
+510
+507
+504
+500
+497
+494
+490
+487
+484
+480
+477
+474
+470
+467
+464
+460
+457
+454
+450
+447
+443
+440
+437
+433
+430
+427
+423
+420
+417
+413
+410
+406
+403
+400
+396
+393
+390
+386
+383
+379
+376
+373
+369
+366
+363
+359
+356
+352
+349
+346
+342
+339
+336
+332
+329
+326
+322
+319
+315
+312
+309
+305
+302
+299
+295
+292
+288
+285
+282
+278
+275
+272
+268
+265
+261
+258
+255
+251
+248
+245
+241
+238
+235
+231
+228
+224
+221
+218
+214
+211
+208
+204
+201
+198
+194
+191
+188
+184
+181
+178
+174
+171
+168
+164
+161
+158
+154
+151
+148
+144
+141
+138
+134
+131
+128
+124
+121
+118
+114
+111
+108
+105
+101
+98
+95
+91
+88
+85
+81
+78
+75
+72
+68
+65
+62
+58
+55
+52
+49
+45
+42
+39
+36
+32
+29
+26
+23
+19
+16
+13
+10
+6
+3
+0
+-3
+-6
+-10
+-13
+-16
+-19
+-23
+-26
+-29
+-32
+-35
+-39
+-42
+-45
+-48
+-51
+-54
+-58
+-61
+-64
+-67
+-70
+-73
+-77
+-80
+-83
+-86
+-89
+-92
+-95
+-99
+-102
+-105
+-108
+-111
+-114
+-117
+-120
+-124
+-127
+-130
+-133
+-136
+-139
+-142
+-145
+-148
+-151
+-154
+-157
+-160
+-164
+-167
+-170
+-173
+-176
+-179
+-182
+-185
+-188
+-191
+-194
+-197
+-200
+-203
+-206
+-209
+-212
+-215
+-218
+-221
+-224
+-227
+-230
+-233
+-236
+-238
+-241
+-244
+-247
+-250
+-253
+-256
+-259
+-262
+-265
+-268
+-271
+-273
+-276
+-279
+-282
+-285
+-288
+-291
+-294
+-296
+-299
+-302
+-305
+-308
+-311
+-313
+-316
+-319
+-322
+-325
+-327
+-330
+-333
+-336
+-339
+-341
+-344
+-347
+-350
+-352
+-355
+-358
+-361
+-363
+-366
+-369
+-371
+-374
+-377
+-380
+-382
+-385
+-388
+-390
+-393
+-396
+-398
+-401
+-404
+-406
+-409
+-411
+-414
+-417
+-419
+-422
+-424
+-427
+-430
+-432
+-435
+-437
+-440
+-442
+-445
+-448
+-450
+-453
+-455
+-458
+-460
+-463
+-465
+-468
+-470
+-473
+-475
+-478
+-480
+-483
+-485
+-487
+-490
+-492
+-495
+-497
+-500
+-502
+-504
+-507
+-509
+-512
+-514
+-516
+-519
+-521
+-523
+-526
+-528
+-531
+-533
+-535
+-537
+-540
+-542
+-544
+-547
+-549
+-551
+-554
+-556
+-558
+-560
+-563
+-565
+-567
+-569
+-571
+-574
+-576
+-578
+-580
+-582
+-585
+-587
+-589
+-591
+-593
+-595
+-598
+-600
+-602
+-604
+-606
+-608
+-610
+-612
+-614
+-617
+-619
+-621
+-623
+-625
+-627
+-629
+-631
+-633
+-635
+-637
+-639
+-641
+-643
+-645
+-647
+-649
+-651
+-653
+-655
+-657
+-659
+-660
+-662
+-664
+-666
+-668
+-670
+-672
+-674
+-676
+-677
+-679
+-681
+-683
+-685
+-687
+-689
+-690
+-692
+-694
+-696
+-697
+-699
+-701
+-703
+-705
+-706
+-708
+-710
+-711
+-713
+-715
+-717
+-718
+-720
+-722
+-723
+-725
+-727
+-728
+-730
+-732
+-733
+-735
+-736
+-738
+-740
+-741
+-743
+-744
+-746
+-748
+-749
+-751
+-752
+-754
+-755
+-757
+-758
+-760
+-761
+-763
+-764
+-766
+-767
+-769
+-770
+-772
+-773
+-774
+-776
+-777
+-779
+-780
+-781
+-783
+-784
+-786
+-787
+-788
+-790
+-791
+-792
+-794
+-795
+-796
+-798
+-799
+-800
+-801
+-803
+-804
+-805
+-806
+-808
+-809
+-810
+-811
+-813
+-814
+-815
+-816
+-817
+-818
+-820
+-821
+-822
+-823
+-824
+-825
+-826
+-828
+-829
+-830
+-831
+-832
+-833
+-834
+-835
+-836
+-837
+-838
+-839
+-840
+-841
+-842
+-843
+-844
+-845
+-846
+-847
+-848
+-849
+-850
+-851
+-852
+-853
+-854
+-855
+-856
+-856
+-857
+-858
+-859
+-860
+-861
+-862
+-862
+-863
+-864
+-865
+-866
+-867
+-867
+-868
+-869
+-870
+-870
+-871
+-872
+-873
+-873
+-874
+-875
+-876
+-876
+-877
+-878
+-878
+-879
+-880
+-880
+-881
+-882
+-882
+-883
+-883
+-884
+-885
+-885
+-886
+-886
+-887
+-888
+-888
+-889
+-889
+-890
+-890
+-891
+-891
+-892
+-892
+-893
+-893
+-894
+-894
+-895
+-895
+-896
+-896
+-896
+-897
+-897
+-898
+-898
+-898
+-899
+-899
+-900
+-900
+-900
+-901
+-901
+-901
+-902
+-902
+-902
+-903
+-903
+-903
+-903
+-904
+-904
+-904
+-904
+-905
+-905
+-905
+-905
+-906
+-906
+-906
+-906
+-906
+-907
+-907
+-907
+-907
+-907
+-907
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-909
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-908
+-907
+-907
+-907
+-907
+-907
+-907
+-906
+-906
+-906
+-906
+-906
+-906
+-905
+-905
+-905
+-905
+-904
+-904
+-904
+-904
+-903
+-903
+-903
+-902
+-902
+-902
+-902
+-901
+-901
+-901
+-900
+-900
+-900
+-899
+-899
+-898
+-898
+-898
+-897
+-897
+-897
+-896
+-896
+-895
+-895
+-894
+-894
+-894
+-893
+-893
+-892
+-892
+-891
+-891
+-890
+-890
+-889
+-889
+-888
+-888
+-887
+-887
+-886
+-886
+-885
+-884
+-884
+-883
+-883
+-882
+-882
+-881
+-880
+-880
+-879
+-878
+-878
+-877
+-877
+-876
+-875
+-875
+-874
+-873
+-873
+-872
+-871
+-870
+-870
+-869
+-868
+-868
+-867
+-866
+-865
+-865
+-864
+-863
+-862
+-862
+-861
+-860
+-859
+-859
+-858
+-857
+-856
+-855
+-854
+-854
+-853
+-852
+-851
+-850
+-849
+-849
+-848
+-847
+-846
+-845
+-844
+-843
+-842
+-842
+-841
+-840
+-839
+-838
+-837
+-836
+-835
+-834
+-833
+-832
+-831
+-830
+-829
+-828
+-827
+-826
+-825
+-824
+-823
+-822
+-821
+-820
+-819
+-818
+-817
+-816
+-815
+-814
+-813
+-812
+-811
+-810
+-809
+-808
+-806
+-805
+-804
+-803
+-802
+-801
+-800
+-799
+-798
+-796
+-795
+-794
+-793
+-792
+-791
+-790
+-788
+-787
+-786
+-785
+-784
+-782
+-781
+-780
+-779
+-778
+-776
+-775
+-774
+-773
+-772
+-770
+-769
+-768
+-767
+-765
+-764
+-763
+-761
+-760
+-759
+-758
+-756
+-755
+-754
+-752
+-751
+-750
+-749
+-747
+-746
+-745
+-743
+-742
+-741
+-739
+-738
+-737
+-735
+-734
+-732
+-731
+-730
+-728
+-727
+-726
+-724
+-723
+-721
+-720
+-719
+-717
+-716
+-714
+-713
+-711
+-710
+-709
+-707
+-706
+-704
+-703
+-701
+-700
+-698
+-697
+-696
+-694
+-693
+-691
+-690
+-688
+-687
+-685
+-684
+-682
+-681
+-679
+-678
+-676
+-675
+-673
+-672
+-670
+-669
+-667
+-665
+-664
+-662
+-661
+-659
+-658
+-656
+-655
+-653
+-651
+-650
+-648
+-647
+-645
+-644
+-642
+-640
+-639
+-637
+-636
+-634
+-632
+-631
+-629
+-628
+-626
+-624
+-623
+-621
+-619
+-618
+-616
+-615
+-613
+-611
+-610
+-608
+-606
+-605
+-603
+-601
+-600
+-598
+-596
+-595
+-593
+-591
+-590
+-588
+-586
+-585
+-583
+-581
+-579
+-578
+-576
+-574
+-573
+-571
+-569
+-568
+-566
+-564
+-562
+-561
+-559
+-557
+-555
+-554
+-552
+-550
+-549
+-547
+-545
+-543
+-542
+-540
+-538
+-536
+-535
+-533
+-531
+-529
+-528
+-526
+-524
+-522
+-520
+-519
+-517
+-515
+-513
+-512
+-510
+-508
+-506
+-504
+-503
+-501
+-499
+-497
+-495
+-494
+-492
+-490
+-488
+-486
+-485
+-483
+-481
+-479
+-477
+-475
+-474
+-472
+-470
+-468
+-466
+-465
+-463
+-461
+-459
+-457
+-455
+-454
+-452
+-450
+-448
+-446
+-444
+-443
+-441
+-439
+-437
+-435
+-433
+-431
+-430
+-428
+-426
+-424
+-422
+-420
+-418
+-417
+-415
+-413
+-411
+-409
+-407
+-405
+-404
+-402
+-400
+-398
+-396
+-394
+-392
+-390
+-389
+-387
+-385
+-383
+-381
+-379
+-377
+-375
+-373
+-372
+-370
+-368
+-366
+-364
+-362
+-360
+-358
+-357
+-355
+-353
+-351
+-349
+-347
+-345
+-343
+-341
+-340
+-338
+-336
+-334
+-332
+-330
+-328
+-326
+-324
+-322
+-321
+-319
+-317
+-315
+-313
+-311
+-309
+-307
+-305
+-303
+-302
+-300
+-298
+-296
+-294
+-292
+-290
+-288
+-286
+-284
+-283
+-281
+-279
+-277
+-275
+-273
+-271
+-269
+-267
+-265
+-264
+-262
+-260
+-258
+-256
+-254
+-252
+-250
+-248
+-247
+-245
+-243
+-241
+-239
+-237
+-235
+-233
+-231
+-229
+-228
+-226
+-224
+-222
+-220
+-218
+-216
+-214
+-212
+-211
+-209
+-207
+-205
+-203
+-201
+-199
+-197
+-195
+-194
+-192
+-190
+-188
+-186
+-184
+-182
+-180
+-178
+-177
+-175
+-173
+-171
+-169
+-167
+-165
+-163
+-162
+-160
+-158
+-156
+-154
+-152
+-150
+-148
+-147
+-145
+-143
+-141
+-139
+-137
+-135
+-134
+-132
+-130
+-128
+-126
+-124
+-122
+-121
+-119
+-117
+-115
+-113
+-111
+-110
+-108
+-106
+-104
+-102
+-100
+-98
+-97
+-95
+-93
+-91
+-89
+-87
+-86
+-84
+-82
+-80
+-78
+-77
+-75
+-73
+-71
+-69
+-67
+-66
+-64
+-62
+-60
+-58
+-57
+-55
+-53
+-51
+-49
+-48
+-46
+-44
+-42
+-40
+-39
+-37
+-35
+-33
+-32
+-30
+-28
+-26
+-24
+-23
+-21
+-19
+-17
+-16
+-14
+-12
+-10
+-8
+-7
+-5
+-3
+-1
+0
+2
+4
+6
+7
+9
+11
+12
+14
+16
+18
+19
+21
+23
+25
+26
+28
+30
+31
+33
+35
+37
+38
+40
+42
+43
+45
+47
+48
+50
+52
+54
+55
+57
+59
+60
+62
+64
+65
+67
+69
+70
+72
+74
+75
+77
+79
+80
+82
+84
+85
+87
+88
+90
+92
+93
+95
+97
+98
+100
+101
+103
+105
+106
+108
+110
+111
+113
+114
+116
+118
+119
+121
+122
+124
+125
+127
+129
+130
+132
+133
+135
+136
+138
+140
+141
+143
+144
+146
+147
+149
+150
+152
+153
+155
+157
+158
+160
+161
+163
+164
+166
+167
+169
+170
+172
+173
+175
+176
+178
+179
+181
+182
+184
+185
+187
+188
+189
+191
+192
+194
+195
+197
+198
+200
+201
+203
+204
+205
+207
+208
+210
+211
+213
+214
+215
+217
+218
+220
+221
+222
+224
+225
+227
+228
+229
+231
+232
+234
+235
+236
+238
+239
+240
+242
+243
+244
+246
+247
+248
+250
+251
+253
+254
+255
+256
+258
+259
+260
+262
+263
+264
+266
+267
+268
+270
+271
+272
+273
+275
+276
+277
+279
+280
+281
+282
+284
+285
+286
+287
+289
+290
+291
+292
+294
+295
+296
+297
+298
+300
+301
+302
+303
+304
+306
+307
+308
+309
+310
+312
+313
+314
+315
+316
+317
+319
+320
+321
+322
+323
+324
+326
+327
+328
+329
+330
+331
+332
+333
+335
+336
+337
+338
+339
+340
+341
+342
+343
+344
+346
+347
+348
+349
+350
+351
+352
+353
+354
+355
+356
+357
+358
+359
+360
+361
+362
+363
+364
+365
+366
+367
+368
+369
+370
+371
+372
+373
+374
+375
+376
+377
+378
+379
+380
+381
+382
+383
+384
+385
+386
+387
+388
+389
+390
+391
+392
+392
+393
+394
+395
+396
+397
+398
+399
+400
+401
+401
+402
+403
+404
+405
+406
+407
+408
+408
+409
+410
+411
+412
+413
+414
+414
+415
+416
+417
+418
+418
+419
+420
+421
+422
+423
+423
+424
+425
+426
+426
+427
+428
+429
+430
+430
+431
+432
+433
+433
+434
+435
+436
+436
+437
+438
+438
+439
+440
+441
+441
+442
+443
+443
+444
+445
+446
+446
+447
+448
+448
+449
+450
+450
+451
+452
+452
+453
+454
+454
+455
+455
+456
+457
+457
+458
+459
+459
+460
+460
+461
+462
+462
+463
+463
+464
+465
+465
+466
+466
+467
+468
+468
+469
+469
+470
+470
+471
+471
+472
+473
+473
+474
+474
+475
+475
+476
+476
+477
+477
+478
+478
+479
+479
+480
+480
+481
+481
+482
+482
+483
+483
+484
+484
+484
+485
+485
+486
+486
+487
+487
+488
+488
+488
+489
+489
+490
+490
+491
+491
+491
+492
+492
+493
+493
+493
+494
+494
+494
+495
+495
+496
+496
+496
+497
+497
+497
+498
+498
+498
+499
+499
+499
+500
+500
+500
+501
+501
+501
+502
+502
+502
+503
+503
+503
+504
+504
+504
+504
+505
+505
+505
+505
+506
+506
+506
+507
+507
+507
+507
+508
+508
+508
+508
+508
+509
+509
+509
+509
+510
+510
+510
+510
+510
+511
+511
+511
+511
+511
+512
+512
+512
+512
+512
+513
+513
+513
+513
+513
+513
+513
+514
+514
+514
+514
+514
+514
+514
+515
+515
+515
+515
+515
+515
+515
+515
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+517
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+516
+515
+515
+515
+515
+515
+515
+515
+515
+515
+514
+514
+514
+514
+514
+514
+514
+514
+513
+513
+513
+513
+513
+513
+512
+512
+512
+512
+512
+512
+512
+511
+511
+511
+511
+511
+510
+510
+510
+510
+510
+509
+509
+509
+509
+509
+508
+508
+508
+508
+508
+507
+507
+507
+507
+506
+506
+506
+506
+506
+505
+505
+505
+505
+504
+504
+504
+504
+503
+503
+503
+503
+502
+502
+502
+501
+501
+501
+501
+500
+500
+500
+500
+499
+499
+499
+498
+498
+498
+497
+497
+497
+497
+496
+496
+496
+495
+495
+495
+494
+494
+494
+493
+493
+493
+492
+492
+492
+491
+491
+491
+490
+490
+490
+489
+489
+489
+488
+488
+488
+487
+487
+487
+486
+486
+485
+485
+485
+484
+484
+484
+483
+483
+482
+482
+482
+481
+481
+481
+480
+480
+479
+479
+479
+478
+478
+477
+477
+477
+476
+476
+475
+475
+475
+474
+474
+473
+473
+472
+472
+472
+471
+471
+470
+470
+469
+469
+469
+468
+468
+467
+467
+466
+466
+465
+465
+465
+464
+464
+463
+463
+462
+462
+461
+461
+460
+460
+460
+459
+459
+458
+458
+457
+457
+456
+456
+455
+455
+454
+454
+453
+453
+452
+452
+451
+451
+450
+450
+450
+449
+449
+448
+448
+447
+447
+446
+446
+445
+445
+444
+444
+443
+443
+442
+441
+441
+440
+440
+439
+439
+438
+438
+437
+437
+436
+436
+435
+435
+434
+434
+433
+433
+432
+432
+431
+431
+430
+429
+429
+428
+428
+427
+427
+426
+426
+425
+425
+424
+424
+423
+422
+422
+421
+421
+420
+420
+419
+419
+418
+417
+417
+416
+416
+415
+415
+414
+414
+413
+412
+412
+411
+411
+410
+410
+409
+408
+408
+407
+407
+406
+406
+405
+404
+404
+403
+403
+402
+402
+401
+400
+400
+399
+399
+398
+398
+397
+396
+396
+395
+395
+394
+393
+393
+392
+392
+391
+390
+390
+389
+389
+388
+388
+387
+386
+386
+385
+385
+384
+383
+383
+382
+382
+381
+380
+380
+379
+379
+378
+377
+377
+376
+376
+375
+374
+374
+373
+373
+372
+371
+371
+370
+370
+369
+368
+368
+367
+366
+366
+365
+365
+364
+363
+363
+362
+362
+361
+360
+360
+359
+359
+358
+357
+357
+356
+355
+355
+354
+354
+353
+352
+352
+351
+351
+350
+349
+349
+348
+347
+347
+346
+346
+345
+344
+344
+343
+342
+342
+341
+341
+340
+339
+339
+338
+338
+337
+336
+336
+335
+334
+334
+333
+333
+332
+331
+331
+330
+329
+329
+328
+328
+327
+326
+326
+325
+324
+324
+323
+323
+322
+321
+321
+320
+319
+319
+318
+318
+317
+316
+316
+315
+314
+314
+313
+313
+312
+311
+311
+310
+309
+309
+308
+308
+307
+306
+306
+305
+304
+304
+303
+303
+302
+301
+301
+300
+300
+299
+298
+298
+297
+296
+296
+295
+295
+294
+293
+293
+292
+291
+291
+290
+290
+289
+288
+288
+287
+287
+286
+285
+285
+284
+283
+283
+282
+282
+281
+280
+280
+279
+278
+278
+277
+277
+276
+275
+275
+274
+274
+273
+272
+272
+271
+271
+270
+269
+269
+268
+267
+267
+266
+266
+265
+264
+264
+263
+263
+262
+261
+261
+260
+260
+259
+258
+258
+257
+257
+256
+255
+255
+254
+254
+253
+252
+252
+251
+251
+250
+249
+249
+248
+248
+247
+246
+246
+245
+245
+244
+243
+243
+242
+242
+241
+240
+240
+239
+239
+238
+237
+237
+236
+236
+235
+235
+234
+233
+233
+232
+232
+231
+230
+230
+229
+229
+228
+228
+227
+226
+226
+225
+225
+224
+223
+223
+222
+222
+221
+221
+220
+219
+219
+218
+218
+217
+217
+216
+215
+215
+214
+214
+213
+213
+212
+212
+211
+210
+210
+209
+209
+208
+208
+207
+206
+206
+205
+205
+204
+204
+203
+203
+202
+201
+201
+200
+200
+199
+199
+198
+198
+197
+197
+196
+195
+195
+194
+194
+193
+193
+192
+192
+191
+191
+190
+189
+189
+188
+188
+187
+187
+186
+186
+185
+185
+184
+184
+183
+183
+182
+181
+181
+180
+180
+179
+179
+178
+178
+177
+177
+176
+176
+175
+175
+174
+174
+173
+173
+172
+172
+171
+171
+170
+170
+169
+169
+168
+168
+167
+167
+166
+165
+165
+164
+164
+163
+163
+162
+162
+161
+161
+160
+160
+159
+159
+159
+158
+158
+157
+157
+156
+156
+155
+155
+154
+154
+153
+153
+152
+152
+151
+151
+150
+150
+149
+149
+148
+148
+147
+147
+146
+146
+145
+145
+145
+144
+144
+143
+143
+142
+142
+141
+141
+140
+140
+139
+139
+139
+138
+138
+137
+137
+136
+136
+135
+135
+134
+134
+134
+133
+133
+132
+132
+131
+131
+130
+130
+129
+129
+129
+128
+128
+127
+127
+126
+126
+126
+125
+125
+124
+124
+123
+123
+123
+122
+122
+121
+121
+120
+120
+120
+119
+119
+118
+118
+117
+117
+117
+116
+116
+115
+115
+115
+114
+114
+113
+113
+113
+112
+112
+111
+111
+110
+110
+110
+109
+109
+108
+108
+108
+107
+107
+106
+106
+106
+105
+105
+105
+104
+104
+103
+103
+103
+102
+102
+101
+101
+101
+100
+100
+100
+99
+99
+98
+98
+98
+97
+97
+97
+96
+96
+95
+95
+95
+94
+94
+94
+93
+93
+92
+92
+92
+91
+91
+91
+90
+90
+90
+89
+89
+89
+88
+88
+87
+87
+87
+86
+86
+86
+85
+85
+85
+84
+84
+84
+83
+83
+83
+82
+82
+82
+81
+81
+81
+80
+80
+80
+79
+79
+79
+78
+78
+78
+77
+77
+77
+76
+76
+76
+75
+75
+75
+74
+74
+74
+74
+73
+73
+73
+72
+72
+72
+71
+71
+71
+70
+70
+70
+70
+69
+69
+69
+68
+68
+68
+67
+67
+67
+67
+66
+66
+66
+65
+65
+65
+65
+64
+64
+64
+63
+63
+63
+62
+62
+62
+62
+61
+61
+61
+61
+60
+60
+60
+59
+59
+59
+59
+58
+58
+58
+58
+57
+57
+57
+56
+56
+56
+56
+55
+55
+55
+55
+54
+54
+54
+54
+53
+53
+53
+53
+52
+52
+52
+52
+51
+51
+51
+51
+50
+50
+50
+50
+49
+49
+49
+49
+48
+48
+48
+48
+47
+47
+47
+47
+46
+46
+46
+46
+46
+45
+45
+45
+45
+44
+44
+44
+44
+43
+43
+43
+43
+43
+42
+42
+42
+42
+42
+41
+41
+41
+41
+40
+40
+40
+40
+40
+39
+39
+39
+39
+39
+38
+38
+38
+38
+38
+37
+37
+37
+37
+37
+36
+36
+36
+36
+36
+35
+35
+35
+35
+35
+34
+34
+34
+34
+34
+33
+33
+33
+33
+33
+32
+32
+32
+32
+32
+32
+31
+31
+31
+31
+31
+30
+30
+30
+30
+30
+30
+30
+29
+29
+29
+29
+29
+28
+28
+28
+28
+28
+28
+27
+27
+27
+27
+27
+27
+26
+26
+26
+26
+26
+26
+25
+25
+25
+25
+25
+25
+25
+24
+24
+24
+24
+24
+24
+24
+23
+23
+23
+23
+23
+23
+22
+22
+22
+22
+22
+22
+22
+21
+21
+21
+21
+21
+21
+21
+20
+20
+20
+20
+20
+20
+20
+20
+20
+19
+19
+19
+19
+19
+19
+19
+18
+18
+18
+18
+18
+18
+17
+17
+17
+17
+17
+17
+17
+17
+17
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+16
+15
+15
+15
+15
+15
+15
+14
+14
+14
+14
+14
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+13
+12
+12
+12
+12
+11
+11
+11
+10
+10
+10
+9
+9
+9
+8
+8
+8
+8
+8
+9
+9
+9
+10
+11
+12
+13
+14
+16
+17
+19
+21
+22
+24
+26
+28
+30
+31
+33
+34
+36
+37
+38
+39
+40
+40
+40
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_0.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_0.mif
new file mode 100644
index 0000000000000000000000000000000000000000..74319e0999882f0a11453f898efb6334f0932f7d
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_0.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  1a6 ; 
+ 1   :  1a6 ; 
+ 2   :  1a5 ; 
+ 3   :  1a5 ; 
+ 4   :  1a4 ; 
+ 5   :  1a4 ; 
+ 6   :  1a3 ; 
+ 7   :  1a3 ; 
+ 8   :  1a2 ; 
+ 9   :  1a1 ; 
+ a   :  1a1 ; 
+ b   :  1a0 ; 
+ c   :  1a0 ; 
+ d   :  19f ; 
+ e   :  19f ; 
+ f   :  19e ; 
+ 10   :  19e ; 
+ 11   :  19d ; 
+ 12   :  19c ; 
+ 13   :  19c ; 
+ 14   :  19b ; 
+ 15   :  19b ; 
+ 16   :  19a ; 
+ 17   :  19a ; 
+ 18   :  199 ; 
+ 19   :  198 ; 
+ 1a   :  198 ; 
+ 1b   :  197 ; 
+ 1c   :  197 ; 
+ 1d   :  196 ; 
+ 1e   :  196 ; 
+ 1f   :  195 ; 
+ 20   :  194 ; 
+ 21   :  194 ; 
+ 22   :  193 ; 
+ 23   :  193 ; 
+ 24   :  192 ; 
+ 25   :  192 ; 
+ 26   :  191 ; 
+ 27   :  190 ; 
+ 28   :  190 ; 
+ 29   :  18f ; 
+ 2a   :  18f ; 
+ 2b   :  18e ; 
+ 2c   :  18e ; 
+ 2d   :  18d ; 
+ 2e   :  18c ; 
+ 2f   :  18c ; 
+ 30   :  18b ; 
+ 31   :  18b ; 
+ 32   :  18a ; 
+ 33   :  189 ; 
+ 34   :  189 ; 
+ 35   :  188 ; 
+ 36   :  188 ; 
+ 37   :  187 ; 
+ 38   :  186 ; 
+ 39   :  186 ; 
+ 3a   :  185 ; 
+ 3b   :  185 ; 
+ 3c   :  184 ; 
+ 3d   :  184 ; 
+ 3e   :  183 ; 
+ 3f   :  182 ; 
+ 40   :  182 ; 
+ 41   :  181 ; 
+ 42   :  181 ; 
+ 43   :  180 ; 
+ 44   :  17f ; 
+ 45   :  17f ; 
+ 46   :  17e ; 
+ 47   :  17e ; 
+ 48   :  17d ; 
+ 49   :  17c ; 
+ 4a   :  17c ; 
+ 4b   :  17b ; 
+ 4c   :  17b ; 
+ 4d   :  17a ; 
+ 4e   :  179 ; 
+ 4f   :  179 ; 
+ 50   :  178 ; 
+ 51   :  178 ; 
+ 52   :  177 ; 
+ 53   :  176 ; 
+ 54   :  176 ; 
+ 55   :  175 ; 
+ 56   :  175 ; 
+ 57   :  174 ; 
+ 58   :  173 ; 
+ 59   :  173 ; 
+ 5a   :  172 ; 
+ 5b   :  172 ; 
+ 5c   :  171 ; 
+ 5d   :  170 ; 
+ 5e   :  170 ; 
+ 5f   :  16f ; 
+ 60   :  16e ; 
+ 61   :  16e ; 
+ 62   :  16d ; 
+ 63   :  16d ; 
+ 64   :  16c ; 
+ 65   :  16b ; 
+ 66   :  16b ; 
+ 67   :  16a ; 
+ 68   :  16a ; 
+ 69   :  169 ; 
+ 6a   :  168 ; 
+ 6b   :  168 ; 
+ 6c   :  167 ; 
+ 6d   :  167 ; 
+ 6e   :  166 ; 
+ 6f   :  165 ; 
+ 70   :  165 ; 
+ 71   :  164 ; 
+ 72   :  163 ; 
+ 73   :  163 ; 
+ 74   :  162 ; 
+ 75   :  162 ; 
+ 76   :  161 ; 
+ 77   :  160 ; 
+ 78   :  160 ; 
+ 79   :  15f ; 
+ 7a   :  15f ; 
+ 7b   :  15e ; 
+ 7c   :  15d ; 
+ 7d   :  15d ; 
+ 7e   :  15c ; 
+ 7f   :  15b ; 
+ 80   :  15b ; 
+ 81   :  15a ; 
+ 82   :  15a ; 
+ 83   :  159 ; 
+ 84   :  158 ; 
+ 85   :  158 ; 
+ 86   :  157 ; 
+ 87   :  156 ; 
+ 88   :  156 ; 
+ 89   :  155 ; 
+ 8a   :  155 ; 
+ 8b   :  154 ; 
+ 8c   :  153 ; 
+ 8d   :  153 ; 
+ 8e   :  152 ; 
+ 8f   :  152 ; 
+ 90   :  151 ; 
+ 91   :  150 ; 
+ 92   :  150 ; 
+ 93   :  14f ; 
+ 94   :  14e ; 
+ 95   :  14e ; 
+ 96   :  14d ; 
+ 97   :  14d ; 
+ 98   :  14c ; 
+ 99   :  14b ; 
+ 9a   :  14b ; 
+ 9b   :  14a ; 
+ 9c   :  149 ; 
+ 9d   :  149 ; 
+ 9e   :  148 ; 
+ 9f   :  148 ; 
+ a0   :  147 ; 
+ a1   :  146 ; 
+ a2   :  146 ; 
+ a3   :  145 ; 
+ a4   :  144 ; 
+ a5   :  144 ; 
+ a6   :  143 ; 
+ a7   :  143 ; 
+ a8   :  142 ; 
+ a9   :  141 ; 
+ aa   :  141 ; 
+ ab   :  140 ; 
+ ac   :  13f ; 
+ ad   :  13f ; 
+ ae   :  13e ; 
+ af   :  13e ; 
+ b0   :  13d ; 
+ b1   :  13c ; 
+ b2   :  13c ; 
+ b3   :  13b ; 
+ b4   :  13a ; 
+ b5   :  13a ; 
+ b6   :  139 ; 
+ b7   :  139 ; 
+ b8   :  138 ; 
+ b9   :  137 ; 
+ ba   :  137 ; 
+ bb   :  136 ; 
+ bc   :  135 ; 
+ bd   :  135 ; 
+ be   :  134 ; 
+ bf   :  134 ; 
+ c0   :  133 ; 
+ c1   :  132 ; 
+ c2   :  132 ; 
+ c3   :  131 ; 
+ c4   :  130 ; 
+ c5   :  130 ; 
+ c6   :  12f ; 
+ c7   :  12f ; 
+ c8   :  12e ; 
+ c9   :  12d ; 
+ ca   :  12d ; 
+ cb   :  12c ; 
+ cc   :  12c ; 
+ cd   :  12b ; 
+ ce   :  12a ; 
+ cf   :  12a ; 
+ d0   :  129 ; 
+ d1   :  128 ; 
+ d2   :  128 ; 
+ d3   :  127 ; 
+ d4   :  127 ; 
+ d5   :  126 ; 
+ d6   :  125 ; 
+ d7   :  125 ; 
+ d8   :  124 ; 
+ d9   :  123 ; 
+ da   :  123 ; 
+ db   :  122 ; 
+ dc   :  122 ; 
+ dd   :  121 ; 
+ de   :  120 ; 
+ df   :  120 ; 
+ e0   :  11f ; 
+ e1   :  11f ; 
+ e2   :  11e ; 
+ e3   :  11d ; 
+ e4   :  11d ; 
+ e5   :  11c ; 
+ e6   :  11b ; 
+ e7   :  11b ; 
+ e8   :  11a ; 
+ e9   :  11a ; 
+ ea   :  119 ; 
+ eb   :  118 ; 
+ ec   :  118 ; 
+ ed   :  117 ; 
+ ee   :  116 ; 
+ ef   :  116 ; 
+ f0   :  115 ; 
+ f1   :  115 ; 
+ f2   :  114 ; 
+ f3   :  113 ; 
+ f4   :  113 ; 
+ f5   :  112 ; 
+ f6   :  112 ; 
+ f7   :  111 ; 
+ f8   :  110 ; 
+ f9   :  110 ; 
+ fa   :  10f ; 
+ fb   :  10f ; 
+ fc   :  10e ; 
+ fd   :  10d ; 
+ fe   :  10d ; 
+ ff   :  10c ; 
+ 100   :  10b ; 
+ 101   :  10b ; 
+ 102   :  10a ; 
+ 103   :  10a ; 
+ 104   :  109 ; 
+ 105   :  108 ; 
+ 106   :  108 ; 
+ 107   :  107 ; 
+ 108   :  107 ; 
+ 109   :  106 ; 
+ 10a   :  105 ; 
+ 10b   :  105 ; 
+ 10c   :  104 ; 
+ 10d   :  104 ; 
+ 10e   :  103 ; 
+ 10f   :  102 ; 
+ 110   :  102 ; 
+ 111   :  101 ; 
+ 112   :  101 ; 
+ 113   :  100 ; 
+ 114   :  ff ; 
+ 115   :  ff ; 
+ 116   :  fe ; 
+ 117   :  fe ; 
+ 118   :  fd ; 
+ 119   :  fc ; 
+ 11a   :  fc ; 
+ 11b   :  fb ; 
+ 11c   :  fb ; 
+ 11d   :  fa ; 
+ 11e   :  f9 ; 
+ 11f   :  f9 ; 
+ 120   :  f8 ; 
+ 121   :  f8 ; 
+ 122   :  f7 ; 
+ 123   :  f6 ; 
+ 124   :  f6 ; 
+ 125   :  f5 ; 
+ 126   :  f5 ; 
+ 127   :  f4 ; 
+ 128   :  f3 ; 
+ 129   :  f3 ; 
+ 12a   :  f2 ; 
+ 12b   :  f2 ; 
+ 12c   :  f1 ; 
+ 12d   :  f0 ; 
+ 12e   :  f0 ; 
+ 12f   :  ef ; 
+ 130   :  ef ; 
+ 131   :  ee ; 
+ 132   :  ed ; 
+ 133   :  ed ; 
+ 134   :  ec ; 
+ 135   :  ec ; 
+ 136   :  eb ; 
+ 137   :  eb ; 
+ 138   :  ea ; 
+ 139   :  e9 ; 
+ 13a   :  e9 ; 
+ 13b   :  e8 ; 
+ 13c   :  e8 ; 
+ 13d   :  e7 ; 
+ 13e   :  e6 ; 
+ 13f   :  e6 ; 
+ 140   :  e5 ; 
+ 141   :  e5 ; 
+ 142   :  e4 ; 
+ 143   :  e4 ; 
+ 144   :  e3 ; 
+ 145   :  e2 ; 
+ 146   :  e2 ; 
+ 147   :  e1 ; 
+ 148   :  e1 ; 
+ 149   :  e0 ; 
+ 14a   :  df ; 
+ 14b   :  df ; 
+ 14c   :  de ; 
+ 14d   :  de ; 
+ 14e   :  dd ; 
+ 14f   :  dd ; 
+ 150   :  dc ; 
+ 151   :  db ; 
+ 152   :  db ; 
+ 153   :  da ; 
+ 154   :  da ; 
+ 155   :  d9 ; 
+ 156   :  d9 ; 
+ 157   :  d8 ; 
+ 158   :  d7 ; 
+ 159   :  d7 ; 
+ 15a   :  d6 ; 
+ 15b   :  d6 ; 
+ 15c   :  d5 ; 
+ 15d   :  d5 ; 
+ 15e   :  d4 ; 
+ 15f   :  d4 ; 
+ 160   :  d3 ; 
+ 161   :  d2 ; 
+ 162   :  d2 ; 
+ 163   :  d1 ; 
+ 164   :  d1 ; 
+ 165   :  d0 ; 
+ 166   :  d0 ; 
+ 167   :  cf ; 
+ 168   :  ce ; 
+ 169   :  ce ; 
+ 16a   :  cd ; 
+ 16b   :  cd ; 
+ 16c   :  cc ; 
+ 16d   :  cc ; 
+ 16e   :  cb ; 
+ 16f   :  cb ; 
+ 170   :  ca ; 
+ 171   :  c9 ; 
+ 172   :  c9 ; 
+ 173   :  c8 ; 
+ 174   :  c8 ; 
+ 175   :  c7 ; 
+ 176   :  c7 ; 
+ 177   :  c6 ; 
+ 178   :  c6 ; 
+ 179   :  c5 ; 
+ 17a   :  c5 ; 
+ 17b   :  c4 ; 
+ 17c   :  c3 ; 
+ 17d   :  c3 ; 
+ 17e   :  c2 ; 
+ 17f   :  c2 ; 
+ 180   :  c1 ; 
+ 181   :  c1 ; 
+ 182   :  c0 ; 
+ 183   :  c0 ; 
+ 184   :  bf ; 
+ 185   :  bf ; 
+ 186   :  be ; 
+ 187   :  bd ; 
+ 188   :  bd ; 
+ 189   :  bc ; 
+ 18a   :  bc ; 
+ 18b   :  bb ; 
+ 18c   :  bb ; 
+ 18d   :  ba ; 
+ 18e   :  ba ; 
+ 18f   :  b9 ; 
+ 190   :  b9 ; 
+ 191   :  b8 ; 
+ 192   :  b8 ; 
+ 193   :  b7 ; 
+ 194   :  b7 ; 
+ 195   :  b6 ; 
+ 196   :  b5 ; 
+ 197   :  b5 ; 
+ 198   :  b4 ; 
+ 199   :  b4 ; 
+ 19a   :  b3 ; 
+ 19b   :  b3 ; 
+ 19c   :  b2 ; 
+ 19d   :  b2 ; 
+ 19e   :  b1 ; 
+ 19f   :  b1 ; 
+ 1a0   :  b0 ; 
+ 1a1   :  b0 ; 
+ 1a2   :  af ; 
+ 1a3   :  af ; 
+ 1a4   :  ae ; 
+ 1a5   :  ae ; 
+ 1a6   :  ad ; 
+ 1a7   :  ad ; 
+ 1a8   :  ac ; 
+ 1a9   :  ac ; 
+ 1aa   :  ab ; 
+ 1ab   :  ab ; 
+ 1ac   :  aa ; 
+ 1ad   :  aa ; 
+ 1ae   :  a9 ; 
+ 1af   :  a9 ; 
+ 1b0   :  a8 ; 
+ 1b1   :  a8 ; 
+ 1b2   :  a7 ; 
+ 1b3   :  a7 ; 
+ 1b4   :  a6 ; 
+ 1b5   :  a5 ; 
+ 1b6   :  a5 ; 
+ 1b7   :  a4 ; 
+ 1b8   :  a4 ; 
+ 1b9   :  a3 ; 
+ 1ba   :  a3 ; 
+ 1bb   :  a2 ; 
+ 1bc   :  a2 ; 
+ 1bd   :  a1 ; 
+ 1be   :  a1 ; 
+ 1bf   :  a0 ; 
+ 1c0   :  a0 ; 
+ 1c1   :  9f ; 
+ 1c2   :  9f ; 
+ 1c3   :  9f ; 
+ 1c4   :  9e ; 
+ 1c5   :  9e ; 
+ 1c6   :  9d ; 
+ 1c7   :  9d ; 
+ 1c8   :  9c ; 
+ 1c9   :  9c ; 
+ 1ca   :  9b ; 
+ 1cb   :  9b ; 
+ 1cc   :  9a ; 
+ 1cd   :  9a ; 
+ 1ce   :  99 ; 
+ 1cf   :  99 ; 
+ 1d0   :  98 ; 
+ 1d1   :  98 ; 
+ 1d2   :  97 ; 
+ 1d3   :  97 ; 
+ 1d4   :  96 ; 
+ 1d5   :  96 ; 
+ 1d6   :  95 ; 
+ 1d7   :  95 ; 
+ 1d8   :  94 ; 
+ 1d9   :  94 ; 
+ 1da   :  93 ; 
+ 1db   :  93 ; 
+ 1dc   :  92 ; 
+ 1dd   :  92 ; 
+ 1de   :  91 ; 
+ 1df   :  91 ; 
+ 1e0   :  91 ; 
+ 1e1   :  90 ; 
+ 1e2   :  90 ; 
+ 1e3   :  8f ; 
+ 1e4   :  8f ; 
+ 1e5   :  8e ; 
+ 1e6   :  8e ; 
+ 1e7   :  8d ; 
+ 1e8   :  8d ; 
+ 1e9   :  8c ; 
+ 1ea   :  8c ; 
+ 1eb   :  8b ; 
+ 1ec   :  8b ; 
+ 1ed   :  8b ; 
+ 1ee   :  8a ; 
+ 1ef   :  8a ; 
+ 1f0   :  89 ; 
+ 1f1   :  89 ; 
+ 1f2   :  88 ; 
+ 1f3   :  88 ; 
+ 1f4   :  87 ; 
+ 1f5   :  87 ; 
+ 1f6   :  86 ; 
+ 1f7   :  86 ; 
+ 1f8   :  86 ; 
+ 1f9   :  85 ; 
+ 1fa   :  85 ; 
+ 1fb   :  84 ; 
+ 1fc   :  84 ; 
+ 1fd   :  83 ; 
+ 1fe   :  83 ; 
+ 1ff   :  82 ; 
+ 200   :  82 ; 
+ 201   :  81 ; 
+ 202   :  81 ; 
+ 203   :  81 ; 
+ 204   :  80 ; 
+ 205   :  80 ; 
+ 206   :  7f ; 
+ 207   :  7f ; 
+ 208   :  7e ; 
+ 209   :  7e ; 
+ 20a   :  7e ; 
+ 20b   :  7d ; 
+ 20c   :  7d ; 
+ 20d   :  7c ; 
+ 20e   :  7c ; 
+ 20f   :  7b ; 
+ 210   :  7b ; 
+ 211   :  7b ; 
+ 212   :  7a ; 
+ 213   :  7a ; 
+ 214   :  79 ; 
+ 215   :  79 ; 
+ 216   :  78 ; 
+ 217   :  78 ; 
+ 218   :  78 ; 
+ 219   :  77 ; 
+ 21a   :  77 ; 
+ 21b   :  76 ; 
+ 21c   :  76 ; 
+ 21d   :  75 ; 
+ 21e   :  75 ; 
+ 21f   :  75 ; 
+ 220   :  74 ; 
+ 221   :  74 ; 
+ 222   :  73 ; 
+ 223   :  73 ; 
+ 224   :  73 ; 
+ 225   :  72 ; 
+ 226   :  72 ; 
+ 227   :  71 ; 
+ 228   :  71 ; 
+ 229   :  71 ; 
+ 22a   :  70 ; 
+ 22b   :  70 ; 
+ 22c   :  6f ; 
+ 22d   :  6f ; 
+ 22e   :  6e ; 
+ 22f   :  6e ; 
+ 230   :  6e ; 
+ 231   :  6d ; 
+ 232   :  6d ; 
+ 233   :  6c ; 
+ 234   :  6c ; 
+ 235   :  6c ; 
+ 236   :  6b ; 
+ 237   :  6b ; 
+ 238   :  6a ; 
+ 239   :  6a ; 
+ 23a   :  6a ; 
+ 23b   :  69 ; 
+ 23c   :  69 ; 
+ 23d   :  69 ; 
+ 23e   :  68 ; 
+ 23f   :  68 ; 
+ 240   :  67 ; 
+ 241   :  67 ; 
+ 242   :  67 ; 
+ 243   :  66 ; 
+ 244   :  66 ; 
+ 245   :  65 ; 
+ 246   :  65 ; 
+ 247   :  65 ; 
+ 248   :  64 ; 
+ 249   :  64 ; 
+ 24a   :  64 ; 
+ 24b   :  63 ; 
+ 24c   :  63 ; 
+ 24d   :  62 ; 
+ 24e   :  62 ; 
+ 24f   :  62 ; 
+ 250   :  61 ; 
+ 251   :  61 ; 
+ 252   :  61 ; 
+ 253   :  60 ; 
+ 254   :  60 ; 
+ 255   :  5f ; 
+ 256   :  5f ; 
+ 257   :  5f ; 
+ 258   :  5e ; 
+ 259   :  5e ; 
+ 25a   :  5e ; 
+ 25b   :  5d ; 
+ 25c   :  5d ; 
+ 25d   :  5c ; 
+ 25e   :  5c ; 
+ 25f   :  5c ; 
+ 260   :  5b ; 
+ 261   :  5b ; 
+ 262   :  5b ; 
+ 263   :  5a ; 
+ 264   :  5a ; 
+ 265   :  5a ; 
+ 266   :  59 ; 
+ 267   :  59 ; 
+ 268   :  59 ; 
+ 269   :  58 ; 
+ 26a   :  58 ; 
+ 26b   :  57 ; 
+ 26c   :  57 ; 
+ 26d   :  57 ; 
+ 26e   :  56 ; 
+ 26f   :  56 ; 
+ 270   :  56 ; 
+ 271   :  55 ; 
+ 272   :  55 ; 
+ 273   :  55 ; 
+ 274   :  54 ; 
+ 275   :  54 ; 
+ 276   :  54 ; 
+ 277   :  53 ; 
+ 278   :  53 ; 
+ 279   :  53 ; 
+ 27a   :  52 ; 
+ 27b   :  52 ; 
+ 27c   :  52 ; 
+ 27d   :  51 ; 
+ 27e   :  51 ; 
+ 27f   :  51 ; 
+ 280   :  50 ; 
+ 281   :  50 ; 
+ 282   :  50 ; 
+ 283   :  4f ; 
+ 284   :  4f ; 
+ 285   :  4f ; 
+ 286   :  4e ; 
+ 287   :  4e ; 
+ 288   :  4e ; 
+ 289   :  4d ; 
+ 28a   :  4d ; 
+ 28b   :  4d ; 
+ 28c   :  4c ; 
+ 28d   :  4c ; 
+ 28e   :  4c ; 
+ 28f   :  4b ; 
+ 290   :  4b ; 
+ 291   :  4b ; 
+ 292   :  4a ; 
+ 293   :  4a ; 
+ 294   :  4a ; 
+ 295   :  4a ; 
+ 296   :  49 ; 
+ 297   :  49 ; 
+ 298   :  49 ; 
+ 299   :  48 ; 
+ 29a   :  48 ; 
+ 29b   :  48 ; 
+ 29c   :  47 ; 
+ 29d   :  47 ; 
+ 29e   :  47 ; 
+ 29f   :  46 ; 
+ 2a0   :  46 ; 
+ 2a1   :  46 ; 
+ 2a2   :  46 ; 
+ 2a3   :  45 ; 
+ 2a4   :  45 ; 
+ 2a5   :  45 ; 
+ 2a6   :  44 ; 
+ 2a7   :  44 ; 
+ 2a8   :  44 ; 
+ 2a9   :  43 ; 
+ 2aa   :  43 ; 
+ 2ab   :  43 ; 
+ 2ac   :  43 ; 
+ 2ad   :  42 ; 
+ 2ae   :  42 ; 
+ 2af   :  42 ; 
+ 2b0   :  41 ; 
+ 2b1   :  41 ; 
+ 2b2   :  41 ; 
+ 2b3   :  41 ; 
+ 2b4   :  40 ; 
+ 2b5   :  40 ; 
+ 2b6   :  40 ; 
+ 2b7   :  3f ; 
+ 2b8   :  3f ; 
+ 2b9   :  3f ; 
+ 2ba   :  3e ; 
+ 2bb   :  3e ; 
+ 2bc   :  3e ; 
+ 2bd   :  3e ; 
+ 2be   :  3d ; 
+ 2bf   :  3d ; 
+ 2c0   :  3d ; 
+ 2c1   :  3d ; 
+ 2c2   :  3c ; 
+ 2c3   :  3c ; 
+ 2c4   :  3c ; 
+ 2c5   :  3b ; 
+ 2c6   :  3b ; 
+ 2c7   :  3b ; 
+ 2c8   :  3b ; 
+ 2c9   :  3a ; 
+ 2ca   :  3a ; 
+ 2cb   :  3a ; 
+ 2cc   :  3a ; 
+ 2cd   :  39 ; 
+ 2ce   :  39 ; 
+ 2cf   :  39 ; 
+ 2d0   :  38 ; 
+ 2d1   :  38 ; 
+ 2d2   :  38 ; 
+ 2d3   :  38 ; 
+ 2d4   :  37 ; 
+ 2d5   :  37 ; 
+ 2d6   :  37 ; 
+ 2d7   :  37 ; 
+ 2d8   :  36 ; 
+ 2d9   :  36 ; 
+ 2da   :  36 ; 
+ 2db   :  36 ; 
+ 2dc   :  35 ; 
+ 2dd   :  35 ; 
+ 2de   :  35 ; 
+ 2df   :  35 ; 
+ 2e0   :  34 ; 
+ 2e1   :  34 ; 
+ 2e2   :  34 ; 
+ 2e3   :  34 ; 
+ 2e4   :  33 ; 
+ 2e5   :  33 ; 
+ 2e6   :  33 ; 
+ 2e7   :  33 ; 
+ 2e8   :  32 ; 
+ 2e9   :  32 ; 
+ 2ea   :  32 ; 
+ 2eb   :  32 ; 
+ 2ec   :  31 ; 
+ 2ed   :  31 ; 
+ 2ee   :  31 ; 
+ 2ef   :  31 ; 
+ 2f0   :  30 ; 
+ 2f1   :  30 ; 
+ 2f2   :  30 ; 
+ 2f3   :  30 ; 
+ 2f4   :  2f ; 
+ 2f5   :  2f ; 
+ 2f6   :  2f ; 
+ 2f7   :  2f ; 
+ 2f8   :  2e ; 
+ 2f9   :  2e ; 
+ 2fa   :  2e ; 
+ 2fb   :  2e ; 
+ 2fc   :  2e ; 
+ 2fd   :  2d ; 
+ 2fe   :  2d ; 
+ 2ff   :  2d ; 
+ 300   :  2d ; 
+ 301   :  2c ; 
+ 302   :  2c ; 
+ 303   :  2c ; 
+ 304   :  2c ; 
+ 305   :  2b ; 
+ 306   :  2b ; 
+ 307   :  2b ; 
+ 308   :  2b ; 
+ 309   :  2b ; 
+ 30a   :  2a ; 
+ 30b   :  2a ; 
+ 30c   :  2a ; 
+ 30d   :  2a ; 
+ 30e   :  2a ; 
+ 30f   :  29 ; 
+ 310   :  29 ; 
+ 311   :  29 ; 
+ 312   :  29 ; 
+ 313   :  28 ; 
+ 314   :  28 ; 
+ 315   :  28 ; 
+ 316   :  28 ; 
+ 317   :  28 ; 
+ 318   :  27 ; 
+ 319   :  27 ; 
+ 31a   :  27 ; 
+ 31b   :  27 ; 
+ 31c   :  27 ; 
+ 31d   :  26 ; 
+ 31e   :  26 ; 
+ 31f   :  26 ; 
+ 320   :  26 ; 
+ 321   :  26 ; 
+ 322   :  25 ; 
+ 323   :  25 ; 
+ 324   :  25 ; 
+ 325   :  25 ; 
+ 326   :  25 ; 
+ 327   :  24 ; 
+ 328   :  24 ; 
+ 329   :  24 ; 
+ 32a   :  24 ; 
+ 32b   :  24 ; 
+ 32c   :  23 ; 
+ 32d   :  23 ; 
+ 32e   :  23 ; 
+ 32f   :  23 ; 
+ 330   :  23 ; 
+ 331   :  22 ; 
+ 332   :  22 ; 
+ 333   :  22 ; 
+ 334   :  22 ; 
+ 335   :  22 ; 
+ 336   :  21 ; 
+ 337   :  21 ; 
+ 338   :  21 ; 
+ 339   :  21 ; 
+ 33a   :  21 ; 
+ 33b   :  20 ; 
+ 33c   :  20 ; 
+ 33d   :  20 ; 
+ 33e   :  20 ; 
+ 33f   :  20 ; 
+ 340   :  20 ; 
+ 341   :  1f ; 
+ 342   :  1f ; 
+ 343   :  1f ; 
+ 344   :  1f ; 
+ 345   :  1f ; 
+ 346   :  1e ; 
+ 347   :  1e ; 
+ 348   :  1e ; 
+ 349   :  1e ; 
+ 34a   :  1e ; 
+ 34b   :  1e ; 
+ 34c   :  1e ; 
+ 34d   :  1d ; 
+ 34e   :  1d ; 
+ 34f   :  1d ; 
+ 350   :  1d ; 
+ 351   :  1d ; 
+ 352   :  1c ; 
+ 353   :  1c ; 
+ 354   :  1c ; 
+ 355   :  1c ; 
+ 356   :  1c ; 
+ 357   :  1c ; 
+ 358   :  1b ; 
+ 359   :  1b ; 
+ 35a   :  1b ; 
+ 35b   :  1b ; 
+ 35c   :  1b ; 
+ 35d   :  1b ; 
+ 35e   :  1a ; 
+ 35f   :  1a ; 
+ 360   :  1a ; 
+ 361   :  1a ; 
+ 362   :  1a ; 
+ 363   :  1a ; 
+ 364   :  19 ; 
+ 365   :  19 ; 
+ 366   :  19 ; 
+ 367   :  19 ; 
+ 368   :  19 ; 
+ 369   :  19 ; 
+ 36a   :  19 ; 
+ 36b   :  18 ; 
+ 36c   :  18 ; 
+ 36d   :  18 ; 
+ 36e   :  18 ; 
+ 36f   :  18 ; 
+ 370   :  18 ; 
+ 371   :  18 ; 
+ 372   :  17 ; 
+ 373   :  17 ; 
+ 374   :  17 ; 
+ 375   :  17 ; 
+ 376   :  17 ; 
+ 377   :  17 ; 
+ 378   :  16 ; 
+ 379   :  16 ; 
+ 37a   :  16 ; 
+ 37b   :  16 ; 
+ 37c   :  16 ; 
+ 37d   :  16 ; 
+ 37e   :  16 ; 
+ 37f   :  15 ; 
+ 380   :  15 ; 
+ 381   :  15 ; 
+ 382   :  15 ; 
+ 383   :  15 ; 
+ 384   :  15 ; 
+ 385   :  15 ; 
+ 386   :  14 ; 
+ 387   :  14 ; 
+ 388   :  14 ; 
+ 389   :  14 ; 
+ 38a   :  14 ; 
+ 38b   :  14 ; 
+ 38c   :  14 ; 
+ 38d   :  14 ; 
+ 38e   :  14 ; 
+ 38f   :  13 ; 
+ 390   :  13 ; 
+ 391   :  13 ; 
+ 392   :  13 ; 
+ 393   :  13 ; 
+ 394   :  13 ; 
+ 395   :  13 ; 
+ 396   :  12 ; 
+ 397   :  12 ; 
+ 398   :  12 ; 
+ 399   :  12 ; 
+ 39a   :  12 ; 
+ 39b   :  12 ; 
+ 39c   :  11 ; 
+ 39d   :  11 ; 
+ 39e   :  11 ; 
+ 39f   :  11 ; 
+ 3a0   :  11 ; 
+ 3a1   :  11 ; 
+ 3a2   :  11 ; 
+ 3a3   :  11 ; 
+ 3a4   :  11 ; 
+ 3a5   :  10 ; 
+ 3a6   :  10 ; 
+ 3a7   :  10 ; 
+ 3a8   :  10 ; 
+ 3a9   :  10 ; 
+ 3aa   :  10 ; 
+ 3ab   :  10 ; 
+ 3ac   :  10 ; 
+ 3ad   :  10 ; 
+ 3ae   :  10 ; 
+ 3af   :  10 ; 
+ 3b0   :  10 ; 
+ 3b1   :  10 ; 
+ 3b2   :  f ; 
+ 3b3   :  f ; 
+ 3b4   :  f ; 
+ 3b5   :  f ; 
+ 3b6   :  f ; 
+ 3b7   :  f ; 
+ 3b8   :  e ; 
+ 3b9   :  e ; 
+ 3ba   :  e ; 
+ 3bb   :  e ; 
+ 3bc   :  e ; 
+ 3bd   :  d ; 
+ 3be   :  d ; 
+ 3bf   :  d ; 
+ 3c0   :  d ; 
+ 3c1   :  d ; 
+ 3c2   :  d ; 
+ 3c3   :  d ; 
+ 3c4   :  d ; 
+ 3c5   :  d ; 
+ 3c6   :  d ; 
+ 3c7   :  d ; 
+ 3c8   :  d ; 
+ 3c9   :  d ; 
+ 3ca   :  d ; 
+ 3cb   :  d ; 
+ 3cc   :  d ; 
+ 3cd   :  d ; 
+ 3ce   :  d ; 
+ 3cf   :  d ; 
+ 3d0   :  d ; 
+ 3d1   :  d ; 
+ 3d2   :  d ; 
+ 3d3   :  c ; 
+ 3d4   :  c ; 
+ 3d5   :  c ; 
+ 3d6   :  c ; 
+ 3d7   :  b ; 
+ 3d8   :  b ; 
+ 3d9   :  b ; 
+ 3da   :  a ; 
+ 3db   :  a ; 
+ 3dc   :  a ; 
+ 3dd   :  9 ; 
+ 3de   :  9 ; 
+ 3df   :  9 ; 
+ 3e0   :  8 ; 
+ 3e1   :  8 ; 
+ 3e2   :  8 ; 
+ 3e3   :  8 ; 
+ 3e4   :  8 ; 
+ 3e5   :  9 ; 
+ 3e6   :  9 ; 
+ 3e7   :  9 ; 
+ 3e8   :  a ; 
+ 3e9   :  b ; 
+ 3ea   :  c ; 
+ 3eb   :  d ; 
+ 3ec   :  e ; 
+ 3ed   :  10 ; 
+ 3ee   :  11 ; 
+ 3ef   :  13 ; 
+ 3f0   :  15 ; 
+ 3f1   :  16 ; 
+ 3f2   :  18 ; 
+ 3f3   :  1a ; 
+ 3f4   :  1c ; 
+ 3f5   :  1e ; 
+ 3f6   :  1f ; 
+ 3f7   :  21 ; 
+ 3f8   :  22 ; 
+ 3f9   :  24 ; 
+ 3fa   :  25 ; 
+ 3fb   :  26 ; 
+ 3fc   :  27 ; 
+ 3fd   :  28 ; 
+ 3fe   :  28 ; 
+ 3ff   :  28 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_1.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_1.mif
new file mode 100644
index 0000000000000000000000000000000000000000..8b912cbda10bdf3b2163ae927232cfe21a287bda
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_1.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  fede ; 
+ 1   :  fee0 ; 
+ 2   :  fee2 ; 
+ 3   :  fee4 ; 
+ 4   :  fee5 ; 
+ 5   :  fee7 ; 
+ 6   :  fee9 ; 
+ 7   :  feeb ; 
+ 8   :  feed ; 
+ 9   :  feef ; 
+ a   :  fef1 ; 
+ b   :  fef3 ; 
+ c   :  fef5 ; 
+ d   :  fef7 ; 
+ e   :  fef8 ; 
+ f   :  fefa ; 
+ 10   :  fefc ; 
+ 11   :  fefe ; 
+ 12   :  ff00 ; 
+ 13   :  ff02 ; 
+ 14   :  ff04 ; 
+ 15   :  ff06 ; 
+ 16   :  ff08 ; 
+ 17   :  ff09 ; 
+ 18   :  ff0b ; 
+ 19   :  ff0d ; 
+ 1a   :  ff0f ; 
+ 1b   :  ff11 ; 
+ 1c   :  ff13 ; 
+ 1d   :  ff15 ; 
+ 1e   :  ff17 ; 
+ 1f   :  ff19 ; 
+ 20   :  ff1b ; 
+ 21   :  ff1c ; 
+ 22   :  ff1e ; 
+ 23   :  ff20 ; 
+ 24   :  ff22 ; 
+ 25   :  ff24 ; 
+ 26   :  ff26 ; 
+ 27   :  ff28 ; 
+ 28   :  ff2a ; 
+ 29   :  ff2c ; 
+ 2a   :  ff2d ; 
+ 2b   :  ff2f ; 
+ 2c   :  ff31 ; 
+ 2d   :  ff33 ; 
+ 2e   :  ff35 ; 
+ 2f   :  ff37 ; 
+ 30   :  ff39 ; 
+ 31   :  ff3b ; 
+ 32   :  ff3d ; 
+ 33   :  ff3e ; 
+ 34   :  ff40 ; 
+ 35   :  ff42 ; 
+ 36   :  ff44 ; 
+ 37   :  ff46 ; 
+ 38   :  ff48 ; 
+ 39   :  ff4a ; 
+ 3a   :  ff4c ; 
+ 3b   :  ff4e ; 
+ 3c   :  ff4f ; 
+ 3d   :  ff51 ; 
+ 3e   :  ff53 ; 
+ 3f   :  ff55 ; 
+ 40   :  ff57 ; 
+ 41   :  ff59 ; 
+ 42   :  ff5b ; 
+ 43   :  ff5d ; 
+ 44   :  ff5e ; 
+ 45   :  ff60 ; 
+ 46   :  ff62 ; 
+ 47   :  ff64 ; 
+ 48   :  ff66 ; 
+ 49   :  ff68 ; 
+ 4a   :  ff6a ; 
+ 4b   :  ff6c ; 
+ 4c   :  ff6d ; 
+ 4d   :  ff6f ; 
+ 4e   :  ff71 ; 
+ 4f   :  ff73 ; 
+ 50   :  ff75 ; 
+ 51   :  ff77 ; 
+ 52   :  ff79 ; 
+ 53   :  ff7a ; 
+ 54   :  ff7c ; 
+ 55   :  ff7e ; 
+ 56   :  ff80 ; 
+ 57   :  ff82 ; 
+ 58   :  ff84 ; 
+ 59   :  ff86 ; 
+ 5a   :  ff87 ; 
+ 5b   :  ff89 ; 
+ 5c   :  ff8b ; 
+ 5d   :  ff8d ; 
+ 5e   :  ff8f ; 
+ 5f   :  ff91 ; 
+ 60   :  ff92 ; 
+ 61   :  ff94 ; 
+ 62   :  ff96 ; 
+ 63   :  ff98 ; 
+ 64   :  ff9a ; 
+ 65   :  ff9c ; 
+ 66   :  ff9e ; 
+ 67   :  ff9f ; 
+ 68   :  ffa1 ; 
+ 69   :  ffa3 ; 
+ 6a   :  ffa5 ; 
+ 6b   :  ffa7 ; 
+ 6c   :  ffa9 ; 
+ 6d   :  ffaa ; 
+ 6e   :  ffac ; 
+ 6f   :  ffae ; 
+ 70   :  ffb0 ; 
+ 71   :  ffb2 ; 
+ 72   :  ffb3 ; 
+ 73   :  ffb5 ; 
+ 74   :  ffb7 ; 
+ 75   :  ffb9 ; 
+ 76   :  ffbb ; 
+ 77   :  ffbd ; 
+ 78   :  ffbe ; 
+ 79   :  ffc0 ; 
+ 7a   :  ffc2 ; 
+ 7b   :  ffc4 ; 
+ 7c   :  ffc6 ; 
+ 7d   :  ffc7 ; 
+ 7e   :  ffc9 ; 
+ 7f   :  ffcb ; 
+ 80   :  ffcd ; 
+ 81   :  ffcf ; 
+ 82   :  ffd0 ; 
+ 83   :  ffd2 ; 
+ 84   :  ffd4 ; 
+ 85   :  ffd6 ; 
+ 86   :  ffd8 ; 
+ 87   :  ffd9 ; 
+ 88   :  ffdb ; 
+ 89   :  ffdd ; 
+ 8a   :  ffdf ; 
+ 8b   :  ffe0 ; 
+ 8c   :  ffe2 ; 
+ 8d   :  ffe4 ; 
+ 8e   :  ffe6 ; 
+ 8f   :  ffe8 ; 
+ 90   :  ffe9 ; 
+ 91   :  ffeb ; 
+ 92   :  ffed ; 
+ 93   :  ffef ; 
+ 94   :  fff0 ; 
+ 95   :  fff2 ; 
+ 96   :  fff4 ; 
+ 97   :  fff6 ; 
+ 98   :  fff8 ; 
+ 99   :  fff9 ; 
+ 9a   :  fffb ; 
+ 9b   :  fffd ; 
+ 9c   :  ffff ; 
+ 9d   :  0 ; 
+ 9e   :  2 ; 
+ 9f   :  4 ; 
+ a0   :  6 ; 
+ a1   :  7 ; 
+ a2   :  9 ; 
+ a3   :  b ; 
+ a4   :  c ; 
+ a5   :  e ; 
+ a6   :  10 ; 
+ a7   :  12 ; 
+ a8   :  13 ; 
+ a9   :  15 ; 
+ aa   :  17 ; 
+ ab   :  19 ; 
+ ac   :  1a ; 
+ ad   :  1c ; 
+ ae   :  1e ; 
+ af   :  1f ; 
+ b0   :  21 ; 
+ b1   :  23 ; 
+ b2   :  25 ; 
+ b3   :  26 ; 
+ b4   :  28 ; 
+ b5   :  2a ; 
+ b6   :  2b ; 
+ b7   :  2d ; 
+ b8   :  2f ; 
+ b9   :  30 ; 
+ ba   :  32 ; 
+ bb   :  34 ; 
+ bc   :  36 ; 
+ bd   :  37 ; 
+ be   :  39 ; 
+ bf   :  3b ; 
+ c0   :  3c ; 
+ c1   :  3e ; 
+ c2   :  40 ; 
+ c3   :  41 ; 
+ c4   :  43 ; 
+ c5   :  45 ; 
+ c6   :  46 ; 
+ c7   :  48 ; 
+ c8   :  4a ; 
+ c9   :  4b ; 
+ ca   :  4d ; 
+ cb   :  4f ; 
+ cc   :  50 ; 
+ cd   :  52 ; 
+ ce   :  54 ; 
+ cf   :  55 ; 
+ d0   :  57 ; 
+ d1   :  58 ; 
+ d2   :  5a ; 
+ d3   :  5c ; 
+ d4   :  5d ; 
+ d5   :  5f ; 
+ d6   :  61 ; 
+ d7   :  62 ; 
+ d8   :  64 ; 
+ d9   :  65 ; 
+ da   :  67 ; 
+ db   :  69 ; 
+ dc   :  6a ; 
+ dd   :  6c ; 
+ de   :  6e ; 
+ df   :  6f ; 
+ e0   :  71 ; 
+ e1   :  72 ; 
+ e2   :  74 ; 
+ e3   :  76 ; 
+ e4   :  77 ; 
+ e5   :  79 ; 
+ e6   :  7a ; 
+ e7   :  7c ; 
+ e8   :  7d ; 
+ e9   :  7f ; 
+ ea   :  81 ; 
+ eb   :  82 ; 
+ ec   :  84 ; 
+ ed   :  85 ; 
+ ee   :  87 ; 
+ ef   :  88 ; 
+ f0   :  8a ; 
+ f1   :  8c ; 
+ f2   :  8d ; 
+ f3   :  8f ; 
+ f4   :  90 ; 
+ f5   :  92 ; 
+ f6   :  93 ; 
+ f7   :  95 ; 
+ f8   :  96 ; 
+ f9   :  98 ; 
+ fa   :  99 ; 
+ fb   :  9b ; 
+ fc   :  9d ; 
+ fd   :  9e ; 
+ fe   :  a0 ; 
+ ff   :  a1 ; 
+ 100   :  a3 ; 
+ 101   :  a4 ; 
+ 102   :  a6 ; 
+ 103   :  a7 ; 
+ 104   :  a9 ; 
+ 105   :  aa ; 
+ 106   :  ac ; 
+ 107   :  ad ; 
+ 108   :  af ; 
+ 109   :  b0 ; 
+ 10a   :  b2 ; 
+ 10b   :  b3 ; 
+ 10c   :  b5 ; 
+ 10d   :  b6 ; 
+ 10e   :  b8 ; 
+ 10f   :  b9 ; 
+ 110   :  bb ; 
+ 111   :  bc ; 
+ 112   :  bd ; 
+ 113   :  bf ; 
+ 114   :  c0 ; 
+ 115   :  c2 ; 
+ 116   :  c3 ; 
+ 117   :  c5 ; 
+ 118   :  c6 ; 
+ 119   :  c8 ; 
+ 11a   :  c9 ; 
+ 11b   :  cb ; 
+ 11c   :  cc ; 
+ 11d   :  cd ; 
+ 11e   :  cf ; 
+ 11f   :  d0 ; 
+ 120   :  d2 ; 
+ 121   :  d3 ; 
+ 122   :  d5 ; 
+ 123   :  d6 ; 
+ 124   :  d7 ; 
+ 125   :  d9 ; 
+ 126   :  da ; 
+ 127   :  dc ; 
+ 128   :  dd ; 
+ 129   :  de ; 
+ 12a   :  e0 ; 
+ 12b   :  e1 ; 
+ 12c   :  e3 ; 
+ 12d   :  e4 ; 
+ 12e   :  e5 ; 
+ 12f   :  e7 ; 
+ 130   :  e8 ; 
+ 131   :  ea ; 
+ 132   :  eb ; 
+ 133   :  ec ; 
+ 134   :  ee ; 
+ 135   :  ef ; 
+ 136   :  f0 ; 
+ 137   :  f2 ; 
+ 138   :  f3 ; 
+ 139   :  f4 ; 
+ 13a   :  f6 ; 
+ 13b   :  f7 ; 
+ 13c   :  f8 ; 
+ 13d   :  fa ; 
+ 13e   :  fb ; 
+ 13f   :  fd ; 
+ 140   :  fe ; 
+ 141   :  ff ; 
+ 142   :  100 ; 
+ 143   :  102 ; 
+ 144   :  103 ; 
+ 145   :  104 ; 
+ 146   :  106 ; 
+ 147   :  107 ; 
+ 148   :  108 ; 
+ 149   :  10a ; 
+ 14a   :  10b ; 
+ 14b   :  10c ; 
+ 14c   :  10e ; 
+ 14d   :  10f ; 
+ 14e   :  110 ; 
+ 14f   :  111 ; 
+ 150   :  113 ; 
+ 151   :  114 ; 
+ 152   :  115 ; 
+ 153   :  117 ; 
+ 154   :  118 ; 
+ 155   :  119 ; 
+ 156   :  11a ; 
+ 157   :  11c ; 
+ 158   :  11d ; 
+ 159   :  11e ; 
+ 15a   :  11f ; 
+ 15b   :  121 ; 
+ 15c   :  122 ; 
+ 15d   :  123 ; 
+ 15e   :  124 ; 
+ 15f   :  126 ; 
+ 160   :  127 ; 
+ 161   :  128 ; 
+ 162   :  129 ; 
+ 163   :  12a ; 
+ 164   :  12c ; 
+ 165   :  12d ; 
+ 166   :  12e ; 
+ 167   :  12f ; 
+ 168   :  130 ; 
+ 169   :  132 ; 
+ 16a   :  133 ; 
+ 16b   :  134 ; 
+ 16c   :  135 ; 
+ 16d   :  136 ; 
+ 16e   :  138 ; 
+ 16f   :  139 ; 
+ 170   :  13a ; 
+ 171   :  13b ; 
+ 172   :  13c ; 
+ 173   :  13d ; 
+ 174   :  13f ; 
+ 175   :  140 ; 
+ 176   :  141 ; 
+ 177   :  142 ; 
+ 178   :  143 ; 
+ 179   :  144 ; 
+ 17a   :  146 ; 
+ 17b   :  147 ; 
+ 17c   :  148 ; 
+ 17d   :  149 ; 
+ 17e   :  14a ; 
+ 17f   :  14b ; 
+ 180   :  14c ; 
+ 181   :  14d ; 
+ 182   :  14f ; 
+ 183   :  150 ; 
+ 184   :  151 ; 
+ 185   :  152 ; 
+ 186   :  153 ; 
+ 187   :  154 ; 
+ 188   :  155 ; 
+ 189   :  156 ; 
+ 18a   :  157 ; 
+ 18b   :  158 ; 
+ 18c   :  15a ; 
+ 18d   :  15b ; 
+ 18e   :  15c ; 
+ 18f   :  15d ; 
+ 190   :  15e ; 
+ 191   :  15f ; 
+ 192   :  160 ; 
+ 193   :  161 ; 
+ 194   :  162 ; 
+ 195   :  163 ; 
+ 196   :  164 ; 
+ 197   :  165 ; 
+ 198   :  166 ; 
+ 199   :  167 ; 
+ 19a   :  168 ; 
+ 19b   :  169 ; 
+ 19c   :  16a ; 
+ 19d   :  16b ; 
+ 19e   :  16c ; 
+ 19f   :  16d ; 
+ 1a0   :  16e ; 
+ 1a1   :  16f ; 
+ 1a2   :  170 ; 
+ 1a3   :  171 ; 
+ 1a4   :  172 ; 
+ 1a5   :  173 ; 
+ 1a6   :  174 ; 
+ 1a7   :  175 ; 
+ 1a8   :  176 ; 
+ 1a9   :  177 ; 
+ 1aa   :  178 ; 
+ 1ab   :  179 ; 
+ 1ac   :  17a ; 
+ 1ad   :  17b ; 
+ 1ae   :  17c ; 
+ 1af   :  17d ; 
+ 1b0   :  17e ; 
+ 1b1   :  17f ; 
+ 1b2   :  180 ; 
+ 1b3   :  181 ; 
+ 1b4   :  182 ; 
+ 1b5   :  183 ; 
+ 1b6   :  184 ; 
+ 1b7   :  185 ; 
+ 1b8   :  186 ; 
+ 1b9   :  187 ; 
+ 1ba   :  188 ; 
+ 1bb   :  188 ; 
+ 1bc   :  189 ; 
+ 1bd   :  18a ; 
+ 1be   :  18b ; 
+ 1bf   :  18c ; 
+ 1c0   :  18d ; 
+ 1c1   :  18e ; 
+ 1c2   :  18f ; 
+ 1c3   :  190 ; 
+ 1c4   :  191 ; 
+ 1c5   :  191 ; 
+ 1c6   :  192 ; 
+ 1c7   :  193 ; 
+ 1c8   :  194 ; 
+ 1c9   :  195 ; 
+ 1ca   :  196 ; 
+ 1cb   :  197 ; 
+ 1cc   :  198 ; 
+ 1cd   :  198 ; 
+ 1ce   :  199 ; 
+ 1cf   :  19a ; 
+ 1d0   :  19b ; 
+ 1d1   :  19c ; 
+ 1d2   :  19d ; 
+ 1d3   :  19e ; 
+ 1d4   :  19e ; 
+ 1d5   :  19f ; 
+ 1d6   :  1a0 ; 
+ 1d7   :  1a1 ; 
+ 1d8   :  1a2 ; 
+ 1d9   :  1a2 ; 
+ 1da   :  1a3 ; 
+ 1db   :  1a4 ; 
+ 1dc   :  1a5 ; 
+ 1dd   :  1a6 ; 
+ 1de   :  1a7 ; 
+ 1df   :  1a7 ; 
+ 1e0   :  1a8 ; 
+ 1e1   :  1a9 ; 
+ 1e2   :  1aa ; 
+ 1e3   :  1aa ; 
+ 1e4   :  1ab ; 
+ 1e5   :  1ac ; 
+ 1e6   :  1ad ; 
+ 1e7   :  1ae ; 
+ 1e8   :  1ae ; 
+ 1e9   :  1af ; 
+ 1ea   :  1b0 ; 
+ 1eb   :  1b1 ; 
+ 1ec   :  1b1 ; 
+ 1ed   :  1b2 ; 
+ 1ee   :  1b3 ; 
+ 1ef   :  1b4 ; 
+ 1f0   :  1b4 ; 
+ 1f1   :  1b5 ; 
+ 1f2   :  1b6 ; 
+ 1f3   :  1b6 ; 
+ 1f4   :  1b7 ; 
+ 1f5   :  1b8 ; 
+ 1f6   :  1b9 ; 
+ 1f7   :  1b9 ; 
+ 1f8   :  1ba ; 
+ 1f9   :  1bb ; 
+ 1fa   :  1bb ; 
+ 1fb   :  1bc ; 
+ 1fc   :  1bd ; 
+ 1fd   :  1be ; 
+ 1fe   :  1be ; 
+ 1ff   :  1bf ; 
+ 200   :  1c0 ; 
+ 201   :  1c0 ; 
+ 202   :  1c1 ; 
+ 203   :  1c2 ; 
+ 204   :  1c2 ; 
+ 205   :  1c3 ; 
+ 206   :  1c4 ; 
+ 207   :  1c4 ; 
+ 208   :  1c5 ; 
+ 209   :  1c6 ; 
+ 20a   :  1c6 ; 
+ 20b   :  1c7 ; 
+ 20c   :  1c7 ; 
+ 20d   :  1c8 ; 
+ 20e   :  1c9 ; 
+ 20f   :  1c9 ; 
+ 210   :  1ca ; 
+ 211   :  1cb ; 
+ 212   :  1cb ; 
+ 213   :  1cc ; 
+ 214   :  1cc ; 
+ 215   :  1cd ; 
+ 216   :  1ce ; 
+ 217   :  1ce ; 
+ 218   :  1cf ; 
+ 219   :  1cf ; 
+ 21a   :  1d0 ; 
+ 21b   :  1d1 ; 
+ 21c   :  1d1 ; 
+ 21d   :  1d2 ; 
+ 21e   :  1d2 ; 
+ 21f   :  1d3 ; 
+ 220   :  1d4 ; 
+ 221   :  1d4 ; 
+ 222   :  1d5 ; 
+ 223   :  1d5 ; 
+ 224   :  1d6 ; 
+ 225   :  1d6 ; 
+ 226   :  1d7 ; 
+ 227   :  1d7 ; 
+ 228   :  1d8 ; 
+ 229   :  1d9 ; 
+ 22a   :  1d9 ; 
+ 22b   :  1da ; 
+ 22c   :  1da ; 
+ 22d   :  1db ; 
+ 22e   :  1db ; 
+ 22f   :  1dc ; 
+ 230   :  1dc ; 
+ 231   :  1dd ; 
+ 232   :  1dd ; 
+ 233   :  1de ; 
+ 234   :  1de ; 
+ 235   :  1df ; 
+ 236   :  1df ; 
+ 237   :  1e0 ; 
+ 238   :  1e0 ; 
+ 239   :  1e1 ; 
+ 23a   :  1e1 ; 
+ 23b   :  1e2 ; 
+ 23c   :  1e2 ; 
+ 23d   :  1e3 ; 
+ 23e   :  1e3 ; 
+ 23f   :  1e4 ; 
+ 240   :  1e4 ; 
+ 241   :  1e4 ; 
+ 242   :  1e5 ; 
+ 243   :  1e5 ; 
+ 244   :  1e6 ; 
+ 245   :  1e6 ; 
+ 246   :  1e7 ; 
+ 247   :  1e7 ; 
+ 248   :  1e8 ; 
+ 249   :  1e8 ; 
+ 24a   :  1e8 ; 
+ 24b   :  1e9 ; 
+ 24c   :  1e9 ; 
+ 24d   :  1ea ; 
+ 24e   :  1ea ; 
+ 24f   :  1eb ; 
+ 250   :  1eb ; 
+ 251   :  1eb ; 
+ 252   :  1ec ; 
+ 253   :  1ec ; 
+ 254   :  1ed ; 
+ 255   :  1ed ; 
+ 256   :  1ed ; 
+ 257   :  1ee ; 
+ 258   :  1ee ; 
+ 259   :  1ee ; 
+ 25a   :  1ef ; 
+ 25b   :  1ef ; 
+ 25c   :  1f0 ; 
+ 25d   :  1f0 ; 
+ 25e   :  1f0 ; 
+ 25f   :  1f1 ; 
+ 260   :  1f1 ; 
+ 261   :  1f1 ; 
+ 262   :  1f2 ; 
+ 263   :  1f2 ; 
+ 264   :  1f2 ; 
+ 265   :  1f3 ; 
+ 266   :  1f3 ; 
+ 267   :  1f3 ; 
+ 268   :  1f4 ; 
+ 269   :  1f4 ; 
+ 26a   :  1f4 ; 
+ 26b   :  1f5 ; 
+ 26c   :  1f5 ; 
+ 26d   :  1f5 ; 
+ 26e   :  1f6 ; 
+ 26f   :  1f6 ; 
+ 270   :  1f6 ; 
+ 271   :  1f7 ; 
+ 272   :  1f7 ; 
+ 273   :  1f7 ; 
+ 274   :  1f8 ; 
+ 275   :  1f8 ; 
+ 276   :  1f8 ; 
+ 277   :  1f8 ; 
+ 278   :  1f9 ; 
+ 279   :  1f9 ; 
+ 27a   :  1f9 ; 
+ 27b   :  1f9 ; 
+ 27c   :  1fa ; 
+ 27d   :  1fa ; 
+ 27e   :  1fa ; 
+ 27f   :  1fb ; 
+ 280   :  1fb ; 
+ 281   :  1fb ; 
+ 282   :  1fb ; 
+ 283   :  1fc ; 
+ 284   :  1fc ; 
+ 285   :  1fc ; 
+ 286   :  1fc ; 
+ 287   :  1fc ; 
+ 288   :  1fd ; 
+ 289   :  1fd ; 
+ 28a   :  1fd ; 
+ 28b   :  1fd ; 
+ 28c   :  1fe ; 
+ 28d   :  1fe ; 
+ 28e   :  1fe ; 
+ 28f   :  1fe ; 
+ 290   :  1fe ; 
+ 291   :  1ff ; 
+ 292   :  1ff ; 
+ 293   :  1ff ; 
+ 294   :  1ff ; 
+ 295   :  1ff ; 
+ 296   :  200 ; 
+ 297   :  200 ; 
+ 298   :  200 ; 
+ 299   :  200 ; 
+ 29a   :  200 ; 
+ 29b   :  201 ; 
+ 29c   :  201 ; 
+ 29d   :  201 ; 
+ 29e   :  201 ; 
+ 29f   :  201 ; 
+ 2a0   :  201 ; 
+ 2a1   :  201 ; 
+ 2a2   :  202 ; 
+ 2a3   :  202 ; 
+ 2a4   :  202 ; 
+ 2a5   :  202 ; 
+ 2a6   :  202 ; 
+ 2a7   :  202 ; 
+ 2a8   :  202 ; 
+ 2a9   :  203 ; 
+ 2aa   :  203 ; 
+ 2ab   :  203 ; 
+ 2ac   :  203 ; 
+ 2ad   :  203 ; 
+ 2ae   :  203 ; 
+ 2af   :  203 ; 
+ 2b0   :  203 ; 
+ 2b1   :  204 ; 
+ 2b2   :  204 ; 
+ 2b3   :  204 ; 
+ 2b4   :  204 ; 
+ 2b5   :  204 ; 
+ 2b6   :  204 ; 
+ 2b7   :  204 ; 
+ 2b8   :  204 ; 
+ 2b9   :  204 ; 
+ 2ba   :  204 ; 
+ 2bb   :  204 ; 
+ 2bc   :  205 ; 
+ 2bd   :  205 ; 
+ 2be   :  205 ; 
+ 2bf   :  205 ; 
+ 2c0   :  205 ; 
+ 2c1   :  205 ; 
+ 2c2   :  205 ; 
+ 2c3   :  205 ; 
+ 2c4   :  205 ; 
+ 2c5   :  205 ; 
+ 2c6   :  205 ; 
+ 2c7   :  205 ; 
+ 2c8   :  205 ; 
+ 2c9   :  205 ; 
+ 2ca   :  205 ; 
+ 2cb   :  205 ; 
+ 2cc   :  205 ; 
+ 2cd   :  205 ; 
+ 2ce   :  205 ; 
+ 2cf   :  205 ; 
+ 2d0   :  205 ; 
+ 2d1   :  205 ; 
+ 2d2   :  205 ; 
+ 2d3   :  205 ; 
+ 2d4   :  205 ; 
+ 2d5   :  205 ; 
+ 2d6   :  205 ; 
+ 2d7   :  205 ; 
+ 2d8   :  205 ; 
+ 2d9   :  205 ; 
+ 2da   :  205 ; 
+ 2db   :  205 ; 
+ 2dc   :  205 ; 
+ 2dd   :  205 ; 
+ 2de   :  205 ; 
+ 2df   :  205 ; 
+ 2e0   :  205 ; 
+ 2e1   :  205 ; 
+ 2e2   :  205 ; 
+ 2e3   :  205 ; 
+ 2e4   :  205 ; 
+ 2e5   :  205 ; 
+ 2e6   :  205 ; 
+ 2e7   :  205 ; 
+ 2e8   :  205 ; 
+ 2e9   :  205 ; 
+ 2ea   :  205 ; 
+ 2eb   :  205 ; 
+ 2ec   :  205 ; 
+ 2ed   :  205 ; 
+ 2ee   :  205 ; 
+ 2ef   :  205 ; 
+ 2f0   :  205 ; 
+ 2f1   :  205 ; 
+ 2f2   :  204 ; 
+ 2f3   :  204 ; 
+ 2f4   :  204 ; 
+ 2f5   :  204 ; 
+ 2f6   :  204 ; 
+ 2f7   :  204 ; 
+ 2f8   :  204 ; 
+ 2f9   :  204 ; 
+ 2fa   :  204 ; 
+ 2fb   :  204 ; 
+ 2fc   :  204 ; 
+ 2fd   :  203 ; 
+ 2fe   :  203 ; 
+ 2ff   :  203 ; 
+ 300   :  203 ; 
+ 301   :  203 ; 
+ 302   :  203 ; 
+ 303   :  203 ; 
+ 304   :  203 ; 
+ 305   :  203 ; 
+ 306   :  202 ; 
+ 307   :  202 ; 
+ 308   :  202 ; 
+ 309   :  202 ; 
+ 30a   :  202 ; 
+ 30b   :  202 ; 
+ 30c   :  202 ; 
+ 30d   :  202 ; 
+ 30e   :  201 ; 
+ 30f   :  201 ; 
+ 310   :  201 ; 
+ 311   :  201 ; 
+ 312   :  201 ; 
+ 313   :  201 ; 
+ 314   :  200 ; 
+ 315   :  200 ; 
+ 316   :  200 ; 
+ 317   :  200 ; 
+ 318   :  200 ; 
+ 319   :  200 ; 
+ 31a   :  200 ; 
+ 31b   :  1ff ; 
+ 31c   :  1ff ; 
+ 31d   :  1ff ; 
+ 31e   :  1ff ; 
+ 31f   :  1ff ; 
+ 320   :  1fe ; 
+ 321   :  1fe ; 
+ 322   :  1fe ; 
+ 323   :  1fe ; 
+ 324   :  1fe ; 
+ 325   :  1fd ; 
+ 326   :  1fd ; 
+ 327   :  1fd ; 
+ 328   :  1fd ; 
+ 329   :  1fd ; 
+ 32a   :  1fc ; 
+ 32b   :  1fc ; 
+ 32c   :  1fc ; 
+ 32d   :  1fc ; 
+ 32e   :  1fc ; 
+ 32f   :  1fb ; 
+ 330   :  1fb ; 
+ 331   :  1fb ; 
+ 332   :  1fb ; 
+ 333   :  1fa ; 
+ 334   :  1fa ; 
+ 335   :  1fa ; 
+ 336   :  1fa ; 
+ 337   :  1fa ; 
+ 338   :  1f9 ; 
+ 339   :  1f9 ; 
+ 33a   :  1f9 ; 
+ 33b   :  1f9 ; 
+ 33c   :  1f8 ; 
+ 33d   :  1f8 ; 
+ 33e   :  1f8 ; 
+ 33f   :  1f8 ; 
+ 340   :  1f7 ; 
+ 341   :  1f7 ; 
+ 342   :  1f7 ; 
+ 343   :  1f7 ; 
+ 344   :  1f6 ; 
+ 345   :  1f6 ; 
+ 346   :  1f6 ; 
+ 347   :  1f5 ; 
+ 348   :  1f5 ; 
+ 349   :  1f5 ; 
+ 34a   :  1f5 ; 
+ 34b   :  1f4 ; 
+ 34c   :  1f4 ; 
+ 34d   :  1f4 ; 
+ 34e   :  1f4 ; 
+ 34f   :  1f3 ; 
+ 350   :  1f3 ; 
+ 351   :  1f3 ; 
+ 352   :  1f2 ; 
+ 353   :  1f2 ; 
+ 354   :  1f2 ; 
+ 355   :  1f1 ; 
+ 356   :  1f1 ; 
+ 357   :  1f1 ; 
+ 358   :  1f1 ; 
+ 359   :  1f0 ; 
+ 35a   :  1f0 ; 
+ 35b   :  1f0 ; 
+ 35c   :  1ef ; 
+ 35d   :  1ef ; 
+ 35e   :  1ef ; 
+ 35f   :  1ee ; 
+ 360   :  1ee ; 
+ 361   :  1ee ; 
+ 362   :  1ed ; 
+ 363   :  1ed ; 
+ 364   :  1ed ; 
+ 365   :  1ec ; 
+ 366   :  1ec ; 
+ 367   :  1ec ; 
+ 368   :  1eb ; 
+ 369   :  1eb ; 
+ 36a   :  1eb ; 
+ 36b   :  1ea ; 
+ 36c   :  1ea ; 
+ 36d   :  1ea ; 
+ 36e   :  1e9 ; 
+ 36f   :  1e9 ; 
+ 370   :  1e9 ; 
+ 371   :  1e8 ; 
+ 372   :  1e8 ; 
+ 373   :  1e8 ; 
+ 374   :  1e7 ; 
+ 375   :  1e7 ; 
+ 376   :  1e7 ; 
+ 377   :  1e6 ; 
+ 378   :  1e6 ; 
+ 379   :  1e5 ; 
+ 37a   :  1e5 ; 
+ 37b   :  1e5 ; 
+ 37c   :  1e4 ; 
+ 37d   :  1e4 ; 
+ 37e   :  1e4 ; 
+ 37f   :  1e3 ; 
+ 380   :  1e3 ; 
+ 381   :  1e2 ; 
+ 382   :  1e2 ; 
+ 383   :  1e2 ; 
+ 384   :  1e1 ; 
+ 385   :  1e1 ; 
+ 386   :  1e1 ; 
+ 387   :  1e0 ; 
+ 388   :  1e0 ; 
+ 389   :  1df ; 
+ 38a   :  1df ; 
+ 38b   :  1df ; 
+ 38c   :  1de ; 
+ 38d   :  1de ; 
+ 38e   :  1dd ; 
+ 38f   :  1dd ; 
+ 390   :  1dd ; 
+ 391   :  1dc ; 
+ 392   :  1dc ; 
+ 393   :  1db ; 
+ 394   :  1db ; 
+ 395   :  1db ; 
+ 396   :  1da ; 
+ 397   :  1da ; 
+ 398   :  1d9 ; 
+ 399   :  1d9 ; 
+ 39a   :  1d8 ; 
+ 39b   :  1d8 ; 
+ 39c   :  1d8 ; 
+ 39d   :  1d7 ; 
+ 39e   :  1d7 ; 
+ 39f   :  1d6 ; 
+ 3a0   :  1d6 ; 
+ 3a1   :  1d5 ; 
+ 3a2   :  1d5 ; 
+ 3a3   :  1d5 ; 
+ 3a4   :  1d4 ; 
+ 3a5   :  1d4 ; 
+ 3a6   :  1d3 ; 
+ 3a7   :  1d3 ; 
+ 3a8   :  1d2 ; 
+ 3a9   :  1d2 ; 
+ 3aa   :  1d1 ; 
+ 3ab   :  1d1 ; 
+ 3ac   :  1d1 ; 
+ 3ad   :  1d0 ; 
+ 3ae   :  1d0 ; 
+ 3af   :  1cf ; 
+ 3b0   :  1cf ; 
+ 3b1   :  1ce ; 
+ 3b2   :  1ce ; 
+ 3b3   :  1cd ; 
+ 3b4   :  1cd ; 
+ 3b5   :  1cc ; 
+ 3b6   :  1cc ; 
+ 3b7   :  1cc ; 
+ 3b8   :  1cb ; 
+ 3b9   :  1cb ; 
+ 3ba   :  1ca ; 
+ 3bb   :  1ca ; 
+ 3bc   :  1c9 ; 
+ 3bd   :  1c9 ; 
+ 3be   :  1c8 ; 
+ 3bf   :  1c8 ; 
+ 3c0   :  1c7 ; 
+ 3c1   :  1c7 ; 
+ 3c2   :  1c6 ; 
+ 3c3   :  1c6 ; 
+ 3c4   :  1c5 ; 
+ 3c5   :  1c5 ; 
+ 3c6   :  1c4 ; 
+ 3c7   :  1c4 ; 
+ 3c8   :  1c3 ; 
+ 3c9   :  1c3 ; 
+ 3ca   :  1c2 ; 
+ 3cb   :  1c2 ; 
+ 3cc   :  1c2 ; 
+ 3cd   :  1c1 ; 
+ 3ce   :  1c1 ; 
+ 3cf   :  1c0 ; 
+ 3d0   :  1c0 ; 
+ 3d1   :  1bf ; 
+ 3d2   :  1bf ; 
+ 3d3   :  1be ; 
+ 3d4   :  1be ; 
+ 3d5   :  1bd ; 
+ 3d6   :  1bd ; 
+ 3d7   :  1bc ; 
+ 3d8   :  1bc ; 
+ 3d9   :  1bb ; 
+ 3da   :  1bb ; 
+ 3db   :  1ba ; 
+ 3dc   :  1b9 ; 
+ 3dd   :  1b9 ; 
+ 3de   :  1b8 ; 
+ 3df   :  1b8 ; 
+ 3e0   :  1b7 ; 
+ 3e1   :  1b7 ; 
+ 3e2   :  1b6 ; 
+ 3e3   :  1b6 ; 
+ 3e4   :  1b5 ; 
+ 3e5   :  1b5 ; 
+ 3e6   :  1b4 ; 
+ 3e7   :  1b4 ; 
+ 3e8   :  1b3 ; 
+ 3e9   :  1b3 ; 
+ 3ea   :  1b2 ; 
+ 3eb   :  1b2 ; 
+ 3ec   :  1b1 ; 
+ 3ed   :  1b1 ; 
+ 3ee   :  1b0 ; 
+ 3ef   :  1b0 ; 
+ 3f0   :  1af ; 
+ 3f1   :  1af ; 
+ 3f2   :  1ae ; 
+ 3f3   :  1ad ; 
+ 3f4   :  1ad ; 
+ 3f5   :  1ac ; 
+ 3f6   :  1ac ; 
+ 3f7   :  1ab ; 
+ 3f8   :  1ab ; 
+ 3f9   :  1aa ; 
+ 3fa   :  1aa ; 
+ 3fb   :  1a9 ; 
+ 3fc   :  1a9 ; 
+ 3fd   :  1a8 ; 
+ 3fe   :  1a8 ; 
+ 3ff   :  1a7 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_10.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_10.mif
new file mode 100644
index 0000000000000000000000000000000000000000..b6559b7ee6fe96aa287fd8de8e81a10ac255dcf8
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_10.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  ff3c ; 
+ 1   :  ff44 ; 
+ 2   :  ff4d ; 
+ 3   :  ff55 ; 
+ 4   :  ff5d ; 
+ 5   :  ff65 ; 
+ 6   :  ff6d ; 
+ 7   :  ff76 ; 
+ 8   :  ff7e ; 
+ 9   :  ff86 ; 
+ a   :  ff8e ; 
+ b   :  ff97 ; 
+ c   :  ff9f ; 
+ d   :  ffa7 ; 
+ e   :  ffb0 ; 
+ f   :  ffb8 ; 
+ 10   :  ffc0 ; 
+ 11   :  ffc9 ; 
+ 12   :  ffd1 ; 
+ 13   :  ffd9 ; 
+ 14   :  ffe2 ; 
+ 15   :  ffea ; 
+ 16   :  fff2 ; 
+ 17   :  fffb ; 
+ 18   :  3 ; 
+ 19   :  c ; 
+ 1a   :  14 ; 
+ 1b   :  1c ; 
+ 1c   :  25 ; 
+ 1d   :  2d ; 
+ 1e   :  36 ; 
+ 1f   :  3e ; 
+ 20   :  47 ; 
+ 21   :  4f ; 
+ 22   :  57 ; 
+ 23   :  60 ; 
+ 24   :  68 ; 
+ 25   :  71 ; 
+ 26   :  79 ; 
+ 27   :  82 ; 
+ 28   :  8a ; 
+ 29   :  93 ; 
+ 2a   :  9b ; 
+ 2b   :  a4 ; 
+ 2c   :  ad ; 
+ 2d   :  b5 ; 
+ 2e   :  be ; 
+ 2f   :  c6 ; 
+ 30   :  cf ; 
+ 31   :  d7 ; 
+ 32   :  e0 ; 
+ 33   :  e8 ; 
+ 34   :  f1 ; 
+ 35   :  fa ; 
+ 36   :  102 ; 
+ 37   :  10b ; 
+ 38   :  113 ; 
+ 39   :  11c ; 
+ 3a   :  125 ; 
+ 3b   :  12d ; 
+ 3c   :  136 ; 
+ 3d   :  13f ; 
+ 3e   :  147 ; 
+ 3f   :  150 ; 
+ 40   :  158 ; 
+ 41   :  161 ; 
+ 42   :  16a ; 
+ 43   :  172 ; 
+ 44   :  17b ; 
+ 45   :  184 ; 
+ 46   :  18c ; 
+ 47   :  195 ; 
+ 48   :  19e ; 
+ 49   :  1a7 ; 
+ 4a   :  1af ; 
+ 4b   :  1b8 ; 
+ 4c   :  1c1 ; 
+ 4d   :  1c9 ; 
+ 4e   :  1d2 ; 
+ 4f   :  1db ; 
+ 50   :  1e4 ; 
+ 51   :  1ec ; 
+ 52   :  1f5 ; 
+ 53   :  1fe ; 
+ 54   :  206 ; 
+ 55   :  20f ; 
+ 56   :  218 ; 
+ 57   :  221 ; 
+ 58   :  229 ; 
+ 59   :  232 ; 
+ 5a   :  23b ; 
+ 5b   :  244 ; 
+ 5c   :  24c ; 
+ 5d   :  255 ; 
+ 5e   :  25e ; 
+ 5f   :  267 ; 
+ 60   :  270 ; 
+ 61   :  278 ; 
+ 62   :  281 ; 
+ 63   :  28a ; 
+ 64   :  293 ; 
+ 65   :  29b ; 
+ 66   :  2a4 ; 
+ 67   :  2ad ; 
+ 68   :  2b6 ; 
+ 69   :  2bf ; 
+ 6a   :  2c7 ; 
+ 6b   :  2d0 ; 
+ 6c   :  2d9 ; 
+ 6d   :  2e2 ; 
+ 6e   :  2eb ; 
+ 6f   :  2f3 ; 
+ 70   :  2fc ; 
+ 71   :  305 ; 
+ 72   :  30e ; 
+ 73   :  317 ; 
+ 74   :  31f ; 
+ 75   :  328 ; 
+ 76   :  331 ; 
+ 77   :  33a ; 
+ 78   :  343 ; 
+ 79   :  34b ; 
+ 7a   :  354 ; 
+ 7b   :  35d ; 
+ 7c   :  366 ; 
+ 7d   :  36f ; 
+ 7e   :  378 ; 
+ 7f   :  380 ; 
+ 80   :  389 ; 
+ 81   :  392 ; 
+ 82   :  39b ; 
+ 83   :  3a4 ; 
+ 84   :  3ac ; 
+ 85   :  3b5 ; 
+ 86   :  3be ; 
+ 87   :  3c7 ; 
+ 88   :  3d0 ; 
+ 89   :  3d8 ; 
+ 8a   :  3e1 ; 
+ 8b   :  3ea ; 
+ 8c   :  3f3 ; 
+ 8d   :  3fc ; 
+ 8e   :  404 ; 
+ 8f   :  40d ; 
+ 90   :  416 ; 
+ 91   :  41f ; 
+ 92   :  428 ; 
+ 93   :  430 ; 
+ 94   :  439 ; 
+ 95   :  442 ; 
+ 96   :  44b ; 
+ 97   :  454 ; 
+ 98   :  45c ; 
+ 99   :  465 ; 
+ 9a   :  46e ; 
+ 9b   :  477 ; 
+ 9c   :  480 ; 
+ 9d   :  488 ; 
+ 9e   :  491 ; 
+ 9f   :  49a ; 
+ a0   :  4a3 ; 
+ a1   :  4ab ; 
+ a2   :  4b4 ; 
+ a3   :  4bd ; 
+ a4   :  4c6 ; 
+ a5   :  4ce ; 
+ a6   :  4d7 ; 
+ a7   :  4e0 ; 
+ a8   :  4e9 ; 
+ a9   :  4f1 ; 
+ aa   :  4fa ; 
+ ab   :  503 ; 
+ ac   :  50c ; 
+ ad   :  514 ; 
+ ae   :  51d ; 
+ af   :  526 ; 
+ b0   :  52e ; 
+ b1   :  537 ; 
+ b2   :  540 ; 
+ b3   :  549 ; 
+ b4   :  551 ; 
+ b5   :  55a ; 
+ b6   :  563 ; 
+ b7   :  56b ; 
+ b8   :  574 ; 
+ b9   :  57d ; 
+ ba   :  585 ; 
+ bb   :  58e ; 
+ bc   :  597 ; 
+ bd   :  59f ; 
+ be   :  5a8 ; 
+ bf   :  5b1 ; 
+ c0   :  5b9 ; 
+ c1   :  5c2 ; 
+ c2   :  5ca ; 
+ c3   :  5d3 ; 
+ c4   :  5dc ; 
+ c5   :  5e4 ; 
+ c6   :  5ed ; 
+ c7   :  5f5 ; 
+ c8   :  5fe ; 
+ c9   :  607 ; 
+ ca   :  60f ; 
+ cb   :  618 ; 
+ cc   :  620 ; 
+ cd   :  629 ; 
+ ce   :  631 ; 
+ cf   :  63a ; 
+ d0   :  642 ; 
+ d1   :  64b ; 
+ d2   :  654 ; 
+ d3   :  65c ; 
+ d4   :  665 ; 
+ d5   :  66d ; 
+ d6   :  676 ; 
+ d7   :  67e ; 
+ d8   :  686 ; 
+ d9   :  68f ; 
+ da   :  697 ; 
+ db   :  6a0 ; 
+ dc   :  6a8 ; 
+ dd   :  6b1 ; 
+ de   :  6b9 ; 
+ df   :  6c2 ; 
+ e0   :  6ca ; 
+ e1   :  6d2 ; 
+ e2   :  6db ; 
+ e3   :  6e3 ; 
+ e4   :  6ec ; 
+ e5   :  6f4 ; 
+ e6   :  6fc ; 
+ e7   :  705 ; 
+ e8   :  70d ; 
+ e9   :  715 ; 
+ ea   :  71e ; 
+ eb   :  726 ; 
+ ec   :  72e ; 
+ ed   :  736 ; 
+ ee   :  73f ; 
+ ef   :  747 ; 
+ f0   :  74f ; 
+ f1   :  758 ; 
+ f2   :  760 ; 
+ f3   :  768 ; 
+ f4   :  770 ; 
+ f5   :  778 ; 
+ f6   :  781 ; 
+ f7   :  789 ; 
+ f8   :  791 ; 
+ f9   :  799 ; 
+ fa   :  7a1 ; 
+ fb   :  7aa ; 
+ fc   :  7b2 ; 
+ fd   :  7ba ; 
+ fe   :  7c2 ; 
+ ff   :  7ca ; 
+ 100   :  7d2 ; 
+ 101   :  7da ; 
+ 102   :  7e2 ; 
+ 103   :  7ea ; 
+ 104   :  7f2 ; 
+ 105   :  7fa ; 
+ 106   :  802 ; 
+ 107   :  80a ; 
+ 108   :  812 ; 
+ 109   :  81a ; 
+ 10a   :  822 ; 
+ 10b   :  82a ; 
+ 10c   :  832 ; 
+ 10d   :  83a ; 
+ 10e   :  842 ; 
+ 10f   :  84a ; 
+ 110   :  852 ; 
+ 111   :  85a ; 
+ 112   :  862 ; 
+ 113   :  86a ; 
+ 114   :  872 ; 
+ 115   :  879 ; 
+ 116   :  881 ; 
+ 117   :  889 ; 
+ 118   :  891 ; 
+ 119   :  899 ; 
+ 11a   :  8a0 ; 
+ 11b   :  8a8 ; 
+ 11c   :  8b0 ; 
+ 11d   :  8b8 ; 
+ 11e   :  8bf ; 
+ 11f   :  8c7 ; 
+ 120   :  8cf ; 
+ 121   :  8d6 ; 
+ 122   :  8de ; 
+ 123   :  8e6 ; 
+ 124   :  8ed ; 
+ 125   :  8f5 ; 
+ 126   :  8fd ; 
+ 127   :  904 ; 
+ 128   :  90c ; 
+ 129   :  913 ; 
+ 12a   :  91b ; 
+ 12b   :  922 ; 
+ 12c   :  92a ; 
+ 12d   :  931 ; 
+ 12e   :  939 ; 
+ 12f   :  940 ; 
+ 130   :  948 ; 
+ 131   :  94f ; 
+ 132   :  957 ; 
+ 133   :  95e ; 
+ 134   :  966 ; 
+ 135   :  96d ; 
+ 136   :  974 ; 
+ 137   :  97c ; 
+ 138   :  983 ; 
+ 139   :  98a ; 
+ 13a   :  992 ; 
+ 13b   :  999 ; 
+ 13c   :  9a0 ; 
+ 13d   :  9a7 ; 
+ 13e   :  9af ; 
+ 13f   :  9b6 ; 
+ 140   :  9bd ; 
+ 141   :  9c4 ; 
+ 142   :  9cb ; 
+ 143   :  9d2 ; 
+ 144   :  9da ; 
+ 145   :  9e1 ; 
+ 146   :  9e8 ; 
+ 147   :  9ef ; 
+ 148   :  9f6 ; 
+ 149   :  9fd ; 
+ 14a   :  a04 ; 
+ 14b   :  a0b ; 
+ 14c   :  a12 ; 
+ 14d   :  a19 ; 
+ 14e   :  a20 ; 
+ 14f   :  a27 ; 
+ 150   :  a2e ; 
+ 151   :  a35 ; 
+ 152   :  a3c ; 
+ 153   :  a42 ; 
+ 154   :  a49 ; 
+ 155   :  a50 ; 
+ 156   :  a57 ; 
+ 157   :  a5e ; 
+ 158   :  a65 ; 
+ 159   :  a6b ; 
+ 15a   :  a72 ; 
+ 15b   :  a79 ; 
+ 15c   :  a7f ; 
+ 15d   :  a86 ; 
+ 15e   :  a8d ; 
+ 15f   :  a93 ; 
+ 160   :  a9a ; 
+ 161   :  aa1 ; 
+ 162   :  aa7 ; 
+ 163   :  aae ; 
+ 164   :  ab4 ; 
+ 165   :  abb ; 
+ 166   :  ac1 ; 
+ 167   :  ac8 ; 
+ 168   :  ace ; 
+ 169   :  ad5 ; 
+ 16a   :  adb ; 
+ 16b   :  ae2 ; 
+ 16c   :  ae8 ; 
+ 16d   :  aee ; 
+ 16e   :  af5 ; 
+ 16f   :  afb ; 
+ 170   :  b01 ; 
+ 171   :  b07 ; 
+ 172   :  b0e ; 
+ 173   :  b14 ; 
+ 174   :  b1a ; 
+ 175   :  b20 ; 
+ 176   :  b27 ; 
+ 177   :  b2d ; 
+ 178   :  b33 ; 
+ 179   :  b39 ; 
+ 17a   :  b3f ; 
+ 17b   :  b45 ; 
+ 17c   :  b4b ; 
+ 17d   :  b51 ; 
+ 17e   :  b57 ; 
+ 17f   :  b5d ; 
+ 180   :  b63 ; 
+ 181   :  b69 ; 
+ 182   :  b6f ; 
+ 183   :  b75 ; 
+ 184   :  b7b ; 
+ 185   :  b81 ; 
+ 186   :  b86 ; 
+ 187   :  b8c ; 
+ 188   :  b92 ; 
+ 189   :  b98 ; 
+ 18a   :  b9d ; 
+ 18b   :  ba3 ; 
+ 18c   :  ba9 ; 
+ 18d   :  bae ; 
+ 18e   :  bb4 ; 
+ 18f   :  bba ; 
+ 190   :  bbf ; 
+ 191   :  bc5 ; 
+ 192   :  bca ; 
+ 193   :  bd0 ; 
+ 194   :  bd5 ; 
+ 195   :  bdb ; 
+ 196   :  be0 ; 
+ 197   :  be6 ; 
+ 198   :  beb ; 
+ 199   :  bf1 ; 
+ 19a   :  bf6 ; 
+ 19b   :  bfb ; 
+ 19c   :  c01 ; 
+ 19d   :  c06 ; 
+ 19e   :  c0b ; 
+ 19f   :  c10 ; 
+ 1a0   :  c16 ; 
+ 1a1   :  c1b ; 
+ 1a2   :  c20 ; 
+ 1a3   :  c25 ; 
+ 1a4   :  c2a ; 
+ 1a5   :  c2f ; 
+ 1a6   :  c34 ; 
+ 1a7   :  c39 ; 
+ 1a8   :  c3e ; 
+ 1a9   :  c43 ; 
+ 1aa   :  c48 ; 
+ 1ab   :  c4d ; 
+ 1ac   :  c52 ; 
+ 1ad   :  c57 ; 
+ 1ae   :  c5c ; 
+ 1af   :  c61 ; 
+ 1b0   :  c65 ; 
+ 1b1   :  c6a ; 
+ 1b2   :  c6f ; 
+ 1b3   :  c74 ; 
+ 1b4   :  c78 ; 
+ 1b5   :  c7d ; 
+ 1b6   :  c82 ; 
+ 1b7   :  c86 ; 
+ 1b8   :  c8b ; 
+ 1b9   :  c90 ; 
+ 1ba   :  c94 ; 
+ 1bb   :  c99 ; 
+ 1bc   :  c9d ; 
+ 1bd   :  ca2 ; 
+ 1be   :  ca6 ; 
+ 1bf   :  caa ; 
+ 1c0   :  caf ; 
+ 1c1   :  cb3 ; 
+ 1c2   :  cb8 ; 
+ 1c3   :  cbc ; 
+ 1c4   :  cc0 ; 
+ 1c5   :  cc4 ; 
+ 1c6   :  cc9 ; 
+ 1c7   :  ccd ; 
+ 1c8   :  cd1 ; 
+ 1c9   :  cd5 ; 
+ 1ca   :  cd9 ; 
+ 1cb   :  cdd ; 
+ 1cc   :  ce1 ; 
+ 1cd   :  ce5 ; 
+ 1ce   :  ce9 ; 
+ 1cf   :  ced ; 
+ 1d0   :  cf1 ; 
+ 1d1   :  cf5 ; 
+ 1d2   :  cf9 ; 
+ 1d3   :  cfd ; 
+ 1d4   :  d01 ; 
+ 1d5   :  d05 ; 
+ 1d6   :  d08 ; 
+ 1d7   :  d0c ; 
+ 1d8   :  d10 ; 
+ 1d9   :  d14 ; 
+ 1da   :  d17 ; 
+ 1db   :  d1b ; 
+ 1dc   :  d1e ; 
+ 1dd   :  d22 ; 
+ 1de   :  d26 ; 
+ 1df   :  d29 ; 
+ 1e0   :  d2d ; 
+ 1e1   :  d30 ; 
+ 1e2   :  d34 ; 
+ 1e3   :  d37 ; 
+ 1e4   :  d3a ; 
+ 1e5   :  d3e ; 
+ 1e6   :  d41 ; 
+ 1e7   :  d44 ; 
+ 1e8   :  d48 ; 
+ 1e9   :  d4b ; 
+ 1ea   :  d4e ; 
+ 1eb   :  d51 ; 
+ 1ec   :  d54 ; 
+ 1ed   :  d57 ; 
+ 1ee   :  d5a ; 
+ 1ef   :  d5e ; 
+ 1f0   :  d61 ; 
+ 1f1   :  d64 ; 
+ 1f2   :  d66 ; 
+ 1f3   :  d69 ; 
+ 1f4   :  d6c ; 
+ 1f5   :  d6f ; 
+ 1f6   :  d72 ; 
+ 1f7   :  d75 ; 
+ 1f8   :  d78 ; 
+ 1f9   :  d7a ; 
+ 1fa   :  d7d ; 
+ 1fb   :  d80 ; 
+ 1fc   :  d82 ; 
+ 1fd   :  d85 ; 
+ 1fe   :  d88 ; 
+ 1ff   :  d8a ; 
+ 200   :  d8d ; 
+ 201   :  d8f ; 
+ 202   :  d92 ; 
+ 203   :  d94 ; 
+ 204   :  d97 ; 
+ 205   :  d99 ; 
+ 206   :  d9b ; 
+ 207   :  d9e ; 
+ 208   :  da0 ; 
+ 209   :  da2 ; 
+ 20a   :  da4 ; 
+ 20b   :  da7 ; 
+ 20c   :  da9 ; 
+ 20d   :  dab ; 
+ 20e   :  dad ; 
+ 20f   :  daf ; 
+ 210   :  db1 ; 
+ 211   :  db3 ; 
+ 212   :  db5 ; 
+ 213   :  db7 ; 
+ 214   :  db9 ; 
+ 215   :  dbb ; 
+ 216   :  dbd ; 
+ 217   :  dbe ; 
+ 218   :  dc0 ; 
+ 219   :  dc2 ; 
+ 21a   :  dc4 ; 
+ 21b   :  dc5 ; 
+ 21c   :  dc7 ; 
+ 21d   :  dc9 ; 
+ 21e   :  dca ; 
+ 21f   :  dcc ; 
+ 220   :  dcd ; 
+ 221   :  dcf ; 
+ 222   :  dd0 ; 
+ 223   :  dd2 ; 
+ 224   :  dd3 ; 
+ 225   :  dd4 ; 
+ 226   :  dd6 ; 
+ 227   :  dd7 ; 
+ 228   :  dd8 ; 
+ 229   :  dd9 ; 
+ 22a   :  ddb ; 
+ 22b   :  ddc ; 
+ 22c   :  ddd ; 
+ 22d   :  dde ; 
+ 22e   :  ddf ; 
+ 22f   :  de0 ; 
+ 230   :  de1 ; 
+ 231   :  de2 ; 
+ 232   :  de3 ; 
+ 233   :  de4 ; 
+ 234   :  de5 ; 
+ 235   :  de6 ; 
+ 236   :  de6 ; 
+ 237   :  de7 ; 
+ 238   :  de8 ; 
+ 239   :  de9 ; 
+ 23a   :  de9 ; 
+ 23b   :  dea ; 
+ 23c   :  dea ; 
+ 23d   :  deb ; 
+ 23e   :  deb ; 
+ 23f   :  dec ; 
+ 240   :  dec ; 
+ 241   :  ded ; 
+ 242   :  ded ; 
+ 243   :  dee ; 
+ 244   :  dee ; 
+ 245   :  dee ; 
+ 246   :  dee ; 
+ 247   :  def ; 
+ 248   :  def ; 
+ 249   :  def ; 
+ 24a   :  def ; 
+ 24b   :  def ; 
+ 24c   :  def ; 
+ 24d   :  def ; 
+ 24e   :  def ; 
+ 24f   :  def ; 
+ 250   :  def ; 
+ 251   :  def ; 
+ 252   :  def ; 
+ 253   :  def ; 
+ 254   :  dee ; 
+ 255   :  dee ; 
+ 256   :  dee ; 
+ 257   :  ded ; 
+ 258   :  ded ; 
+ 259   :  ded ; 
+ 25a   :  dec ; 
+ 25b   :  dec ; 
+ 25c   :  deb ; 
+ 25d   :  deb ; 
+ 25e   :  dea ; 
+ 25f   :  de9 ; 
+ 260   :  de9 ; 
+ 261   :  de8 ; 
+ 262   :  de7 ; 
+ 263   :  de7 ; 
+ 264   :  de6 ; 
+ 265   :  de5 ; 
+ 266   :  de4 ; 
+ 267   :  de3 ; 
+ 268   :  de2 ; 
+ 269   :  de1 ; 
+ 26a   :  de0 ; 
+ 26b   :  ddf ; 
+ 26c   :  dde ; 
+ 26d   :  ddd ; 
+ 26e   :  ddc ; 
+ 26f   :  ddb ; 
+ 270   :  dd9 ; 
+ 271   :  dd8 ; 
+ 272   :  dd7 ; 
+ 273   :  dd6 ; 
+ 274   :  dd4 ; 
+ 275   :  dd3 ; 
+ 276   :  dd1 ; 
+ 277   :  dd0 ; 
+ 278   :  dce ; 
+ 279   :  dcd ; 
+ 27a   :  dcb ; 
+ 27b   :  dca ; 
+ 27c   :  dc8 ; 
+ 27d   :  dc6 ; 
+ 27e   :  dc5 ; 
+ 27f   :  dc3 ; 
+ 280   :  dc1 ; 
+ 281   :  dbf ; 
+ 282   :  dbd ; 
+ 283   :  dbb ; 
+ 284   :  dba ; 
+ 285   :  db8 ; 
+ 286   :  db6 ; 
+ 287   :  db4 ; 
+ 288   :  db1 ; 
+ 289   :  daf ; 
+ 28a   :  dad ; 
+ 28b   :  dab ; 
+ 28c   :  da9 ; 
+ 28d   :  da6 ; 
+ 28e   :  da4 ; 
+ 28f   :  da2 ; 
+ 290   :  d9f ; 
+ 291   :  d9d ; 
+ 292   :  d9b ; 
+ 293   :  d98 ; 
+ 294   :  d96 ; 
+ 295   :  d93 ; 
+ 296   :  d90 ; 
+ 297   :  d8e ; 
+ 298   :  d8b ; 
+ 299   :  d88 ; 
+ 29a   :  d86 ; 
+ 29b   :  d83 ; 
+ 29c   :  d80 ; 
+ 29d   :  d7d ; 
+ 29e   :  d7a ; 
+ 29f   :  d77 ; 
+ 2a0   :  d75 ; 
+ 2a1   :  d72 ; 
+ 2a2   :  d6e ; 
+ 2a3   :  d6b ; 
+ 2a4   :  d68 ; 
+ 2a5   :  d65 ; 
+ 2a6   :  d62 ; 
+ 2a7   :  d5f ; 
+ 2a8   :  d5c ; 
+ 2a9   :  d58 ; 
+ 2aa   :  d55 ; 
+ 2ab   :  d52 ; 
+ 2ac   :  d4e ; 
+ 2ad   :  d4b ; 
+ 2ae   :  d47 ; 
+ 2af   :  d44 ; 
+ 2b0   :  d40 ; 
+ 2b1   :  d3d ; 
+ 2b2   :  d39 ; 
+ 2b3   :  d35 ; 
+ 2b4   :  d32 ; 
+ 2b5   :  d2e ; 
+ 2b6   :  d2a ; 
+ 2b7   :  d26 ; 
+ 2b8   :  d23 ; 
+ 2b9   :  d1f ; 
+ 2ba   :  d1b ; 
+ 2bb   :  d17 ; 
+ 2bc   :  d13 ; 
+ 2bd   :  d0f ; 
+ 2be   :  d0b ; 
+ 2bf   :  d07 ; 
+ 2c0   :  d03 ; 
+ 2c1   :  cfe ; 
+ 2c2   :  cfa ; 
+ 2c3   :  cf6 ; 
+ 2c4   :  cf2 ; 
+ 2c5   :  ced ; 
+ 2c6   :  ce9 ; 
+ 2c7   :  ce5 ; 
+ 2c8   :  ce0 ; 
+ 2c9   :  cdc ; 
+ 2ca   :  cd7 ; 
+ 2cb   :  cd3 ; 
+ 2cc   :  cce ; 
+ 2cd   :  cc9 ; 
+ 2ce   :  cc5 ; 
+ 2cf   :  cc0 ; 
+ 2d0   :  cbb ; 
+ 2d1   :  cb7 ; 
+ 2d2   :  cb2 ; 
+ 2d3   :  cad ; 
+ 2d4   :  ca8 ; 
+ 2d5   :  ca3 ; 
+ 2d6   :  c9e ; 
+ 2d7   :  c99 ; 
+ 2d8   :  c94 ; 
+ 2d9   :  c8f ; 
+ 2da   :  c8a ; 
+ 2db   :  c85 ; 
+ 2dc   :  c80 ; 
+ 2dd   :  c7b ; 
+ 2de   :  c75 ; 
+ 2df   :  c70 ; 
+ 2e0   :  c6b ; 
+ 2e1   :  c66 ; 
+ 2e2   :  c60 ; 
+ 2e3   :  c5b ; 
+ 2e4   :  c55 ; 
+ 2e5   :  c50 ; 
+ 2e6   :  c4a ; 
+ 2e7   :  c45 ; 
+ 2e8   :  c3f ; 
+ 2e9   :  c39 ; 
+ 2ea   :  c34 ; 
+ 2eb   :  c2e ; 
+ 2ec   :  c28 ; 
+ 2ed   :  c23 ; 
+ 2ee   :  c1d ; 
+ 2ef   :  c17 ; 
+ 2f0   :  c11 ; 
+ 2f1   :  c0b ; 
+ 2f2   :  c05 ; 
+ 2f3   :  bff ; 
+ 2f4   :  bf9 ; 
+ 2f5   :  bf3 ; 
+ 2f6   :  bed ; 
+ 2f7   :  be7 ; 
+ 2f8   :  be0 ; 
+ 2f9   :  bda ; 
+ 2fa   :  bd4 ; 
+ 2fb   :  bce ; 
+ 2fc   :  bc7 ; 
+ 2fd   :  bc1 ; 
+ 2fe   :  bbb ; 
+ 2ff   :  bb4 ; 
+ 300   :  bae ; 
+ 301   :  ba7 ; 
+ 302   :  ba1 ; 
+ 303   :  b9a ; 
+ 304   :  b93 ; 
+ 305   :  b8d ; 
+ 306   :  b86 ; 
+ 307   :  b7f ; 
+ 308   :  b79 ; 
+ 309   :  b72 ; 
+ 30a   :  b6b ; 
+ 30b   :  b64 ; 
+ 30c   :  b5d ; 
+ 30d   :  b56 ; 
+ 30e   :  b4f ; 
+ 30f   :  b48 ; 
+ 310   :  b41 ; 
+ 311   :  b3a ; 
+ 312   :  b33 ; 
+ 313   :  b2c ; 
+ 314   :  b25 ; 
+ 315   :  b1d ; 
+ 316   :  b16 ; 
+ 317   :  b0f ; 
+ 318   :  b07 ; 
+ 319   :  b00 ; 
+ 31a   :  af9 ; 
+ 31b   :  af1 ; 
+ 31c   :  aea ; 
+ 31d   :  ae2 ; 
+ 31e   :  adb ; 
+ 31f   :  ad3 ; 
+ 320   :  acb ; 
+ 321   :  ac4 ; 
+ 322   :  abc ; 
+ 323   :  ab4 ; 
+ 324   :  aad ; 
+ 325   :  aa5 ; 
+ 326   :  a9d ; 
+ 327   :  a95 ; 
+ 328   :  a8d ; 
+ 329   :  a85 ; 
+ 32a   :  a7d ; 
+ 32b   :  a75 ; 
+ 32c   :  a6d ; 
+ 32d   :  a65 ; 
+ 32e   :  a5d ; 
+ 32f   :  a55 ; 
+ 330   :  a4d ; 
+ 331   :  a45 ; 
+ 332   :  a3c ; 
+ 333   :  a34 ; 
+ 334   :  a2c ; 
+ 335   :  a23 ; 
+ 336   :  a1b ; 
+ 337   :  a13 ; 
+ 338   :  a0a ; 
+ 339   :  a02 ; 
+ 33a   :  9f9 ; 
+ 33b   :  9f0 ; 
+ 33c   :  9e8 ; 
+ 33d   :  9df ; 
+ 33e   :  9d7 ; 
+ 33f   :  9ce ; 
+ 340   :  9c5 ; 
+ 341   :  9bc ; 
+ 342   :  9b4 ; 
+ 343   :  9ab ; 
+ 344   :  9a2 ; 
+ 345   :  999 ; 
+ 346   :  990 ; 
+ 347   :  987 ; 
+ 348   :  97e ; 
+ 349   :  975 ; 
+ 34a   :  96c ; 
+ 34b   :  963 ; 
+ 34c   :  95a ; 
+ 34d   :  950 ; 
+ 34e   :  947 ; 
+ 34f   :  93e ; 
+ 350   :  935 ; 
+ 351   :  92b ; 
+ 352   :  922 ; 
+ 353   :  919 ; 
+ 354   :  90f ; 
+ 355   :  906 ; 
+ 356   :  8fc ; 
+ 357   :  8f3 ; 
+ 358   :  8e9 ; 
+ 359   :  8e0 ; 
+ 35a   :  8d6 ; 
+ 35b   :  8cd ; 
+ 35c   :  8c3 ; 
+ 35d   :  8b9 ; 
+ 35e   :  8af ; 
+ 35f   :  8a6 ; 
+ 360   :  89c ; 
+ 361   :  892 ; 
+ 362   :  888 ; 
+ 363   :  87e ; 
+ 364   :  874 ; 
+ 365   :  86a ; 
+ 366   :  860 ; 
+ 367   :  856 ; 
+ 368   :  84c ; 
+ 369   :  842 ; 
+ 36a   :  838 ; 
+ 36b   :  82e ; 
+ 36c   :  824 ; 
+ 36d   :  819 ; 
+ 36e   :  80f ; 
+ 36f   :  805 ; 
+ 370   :  7fb ; 
+ 371   :  7f0 ; 
+ 372   :  7e6 ; 
+ 373   :  7db ; 
+ 374   :  7d1 ; 
+ 375   :  7c7 ; 
+ 376   :  7bc ; 
+ 377   :  7b2 ; 
+ 378   :  7a7 ; 
+ 379   :  79c ; 
+ 37a   :  792 ; 
+ 37b   :  787 ; 
+ 37c   :  77c ; 
+ 37d   :  772 ; 
+ 37e   :  767 ; 
+ 37f   :  75c ; 
+ 380   :  751 ; 
+ 381   :  746 ; 
+ 382   :  73c ; 
+ 383   :  731 ; 
+ 384   :  726 ; 
+ 385   :  71b ; 
+ 386   :  710 ; 
+ 387   :  705 ; 
+ 388   :  6fa ; 
+ 389   :  6ef ; 
+ 38a   :  6e3 ; 
+ 38b   :  6d8 ; 
+ 38c   :  6cd ; 
+ 38d   :  6c2 ; 
+ 38e   :  6b7 ; 
+ 38f   :  6ab ; 
+ 390   :  6a0 ; 
+ 391   :  695 ; 
+ 392   :  689 ; 
+ 393   :  67e ; 
+ 394   :  673 ; 
+ 395   :  667 ; 
+ 396   :  65c ; 
+ 397   :  650 ; 
+ 398   :  645 ; 
+ 399   :  639 ; 
+ 39a   :  62e ; 
+ 39b   :  622 ; 
+ 39c   :  616 ; 
+ 39d   :  60b ; 
+ 39e   :  5ff ; 
+ 39f   :  5f3 ; 
+ 3a0   :  5e7 ; 
+ 3a1   :  5dc ; 
+ 3a2   :  5d0 ; 
+ 3a3   :  5c4 ; 
+ 3a4   :  5b8 ; 
+ 3a5   :  5ac ; 
+ 3a6   :  5a0 ; 
+ 3a7   :  594 ; 
+ 3a8   :  588 ; 
+ 3a9   :  57c ; 
+ 3aa   :  570 ; 
+ 3ab   :  564 ; 
+ 3ac   :  558 ; 
+ 3ad   :  54c ; 
+ 3ae   :  540 ; 
+ 3af   :  534 ; 
+ 3b0   :  527 ; 
+ 3b1   :  51b ; 
+ 3b2   :  50f ; 
+ 3b3   :  503 ; 
+ 3b4   :  4f6 ; 
+ 3b5   :  4ea ; 
+ 3b6   :  4de ; 
+ 3b7   :  4d1 ; 
+ 3b8   :  4c5 ; 
+ 3b9   :  4b8 ; 
+ 3ba   :  4ac ; 
+ 3bb   :  49f ; 
+ 3bc   :  493 ; 
+ 3bd   :  486 ; 
+ 3be   :  47a ; 
+ 3bf   :  46d ; 
+ 3c0   :  461 ; 
+ 3c1   :  454 ; 
+ 3c2   :  447 ; 
+ 3c3   :  43b ; 
+ 3c4   :  42e ; 
+ 3c5   :  421 ; 
+ 3c6   :  414 ; 
+ 3c7   :  407 ; 
+ 3c8   :  3fb ; 
+ 3c9   :  3ee ; 
+ 3ca   :  3e1 ; 
+ 3cb   :  3d4 ; 
+ 3cc   :  3c7 ; 
+ 3cd   :  3ba ; 
+ 3ce   :  3ad ; 
+ 3cf   :  3a0 ; 
+ 3d0   :  393 ; 
+ 3d1   :  386 ; 
+ 3d2   :  379 ; 
+ 3d3   :  36c ; 
+ 3d4   :  35f ; 
+ 3d5   :  352 ; 
+ 3d6   :  344 ; 
+ 3d7   :  337 ; 
+ 3d8   :  32a ; 
+ 3d9   :  31d ; 
+ 3da   :  310 ; 
+ 3db   :  302 ; 
+ 3dc   :  2f5 ; 
+ 3dd   :  2e8 ; 
+ 3de   :  2da ; 
+ 3df   :  2cd ; 
+ 3e0   :  2bf ; 
+ 3e1   :  2b2 ; 
+ 3e2   :  2a5 ; 
+ 3e3   :  297 ; 
+ 3e4   :  28a ; 
+ 3e5   :  27c ; 
+ 3e6   :  26f ; 
+ 3e7   :  261 ; 
+ 3e8   :  253 ; 
+ 3e9   :  246 ; 
+ 3ea   :  238 ; 
+ 3eb   :  22b ; 
+ 3ec   :  21d ; 
+ 3ed   :  20f ; 
+ 3ee   :  202 ; 
+ 3ef   :  1f4 ; 
+ 3f0   :  1e6 ; 
+ 3f1   :  1d8 ; 
+ 3f2   :  1ca ; 
+ 3f3   :  1bd ; 
+ 3f4   :  1af ; 
+ 3f5   :  1a1 ; 
+ 3f6   :  193 ; 
+ 3f7   :  185 ; 
+ 3f8   :  177 ; 
+ 3f9   :  169 ; 
+ 3fa   :  15b ; 
+ 3fb   :  14d ; 
+ 3fc   :  13f ; 
+ 3fd   :  131 ; 
+ 3fe   :  123 ; 
+ 3ff   :  115 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_11.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_11.mif
new file mode 100644
index 0000000000000000000000000000000000000000..13f550b84755c0029952f102613246a33b593af6
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_11.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  55 ; 
+ 1   :  50 ; 
+ 2   :  4b ; 
+ 3   :  45 ; 
+ 4   :  40 ; 
+ 5   :  3b ; 
+ 6   :  36 ; 
+ 7   :  31 ; 
+ 8   :  2c ; 
+ 9   :  26 ; 
+ a   :  21 ; 
+ b   :  1c ; 
+ c   :  17 ; 
+ d   :  12 ; 
+ e   :  c ; 
+ f   :  7 ; 
+ 10   :  2 ; 
+ 11   :  fffd ; 
+ 12   :  fff8 ; 
+ 13   :  fff2 ; 
+ 14   :  ffed ; 
+ 15   :  ffe8 ; 
+ 16   :  ffe3 ; 
+ 17   :  ffdd ; 
+ 18   :  ffd8 ; 
+ 19   :  ffd3 ; 
+ 1a   :  ffce ; 
+ 1b   :  ffc8 ; 
+ 1c   :  ffc3 ; 
+ 1d   :  ffbe ; 
+ 1e   :  ffb9 ; 
+ 1f   :  ffb3 ; 
+ 20   :  ffae ; 
+ 21   :  ffa9 ; 
+ 22   :  ffa3 ; 
+ 23   :  ff9e ; 
+ 24   :  ff99 ; 
+ 25   :  ff94 ; 
+ 26   :  ff8e ; 
+ 27   :  ff89 ; 
+ 28   :  ff84 ; 
+ 29   :  ff7e ; 
+ 2a   :  ff79 ; 
+ 2b   :  ff74 ; 
+ 2c   :  ff6e ; 
+ 2d   :  ff69 ; 
+ 2e   :  ff64 ; 
+ 2f   :  ff5e ; 
+ 30   :  ff59 ; 
+ 31   :  ff54 ; 
+ 32   :  ff4e ; 
+ 33   :  ff49 ; 
+ 34   :  ff44 ; 
+ 35   :  ff3e ; 
+ 36   :  ff39 ; 
+ 37   :  ff34 ; 
+ 38   :  ff2e ; 
+ 39   :  ff29 ; 
+ 3a   :  ff24 ; 
+ 3b   :  ff1e ; 
+ 3c   :  ff19 ; 
+ 3d   :  ff14 ; 
+ 3e   :  ff0e ; 
+ 3f   :  ff09 ; 
+ 40   :  ff03 ; 
+ 41   :  fefe ; 
+ 42   :  fef9 ; 
+ 43   :  fef3 ; 
+ 44   :  feee ; 
+ 45   :  fee8 ; 
+ 46   :  fee3 ; 
+ 47   :  fede ; 
+ 48   :  fed8 ; 
+ 49   :  fed3 ; 
+ 4a   :  fecd ; 
+ 4b   :  fec8 ; 
+ 4c   :  fec3 ; 
+ 4d   :  febd ; 
+ 4e   :  feb8 ; 
+ 4f   :  feb2 ; 
+ 50   :  fead ; 
+ 51   :  fea8 ; 
+ 52   :  fea2 ; 
+ 53   :  fe9d ; 
+ 54   :  fe97 ; 
+ 55   :  fe92 ; 
+ 56   :  fe8d ; 
+ 57   :  fe87 ; 
+ 58   :  fe82 ; 
+ 59   :  fe7c ; 
+ 5a   :  fe77 ; 
+ 5b   :  fe71 ; 
+ 5c   :  fe6c ; 
+ 5d   :  fe67 ; 
+ 5e   :  fe61 ; 
+ 5f   :  fe5c ; 
+ 60   :  fe56 ; 
+ 61   :  fe51 ; 
+ 62   :  fe4b ; 
+ 63   :  fe46 ; 
+ 64   :  fe41 ; 
+ 65   :  fe3b ; 
+ 66   :  fe36 ; 
+ 67   :  fe30 ; 
+ 68   :  fe2b ; 
+ 69   :  fe25 ; 
+ 6a   :  fe20 ; 
+ 6b   :  fe1b ; 
+ 6c   :  fe15 ; 
+ 6d   :  fe10 ; 
+ 6e   :  fe0a ; 
+ 6f   :  fe05 ; 
+ 70   :  fdff ; 
+ 71   :  fdfa ; 
+ 72   :  fdf5 ; 
+ 73   :  fdef ; 
+ 74   :  fdea ; 
+ 75   :  fde4 ; 
+ 76   :  fddf ; 
+ 77   :  fdd9 ; 
+ 78   :  fdd4 ; 
+ 79   :  fdce ; 
+ 7a   :  fdc9 ; 
+ 7b   :  fdc4 ; 
+ 7c   :  fdbe ; 
+ 7d   :  fdb9 ; 
+ 7e   :  fdb3 ; 
+ 7f   :  fdae ; 
+ 80   :  fda8 ; 
+ 81   :  fda3 ; 
+ 82   :  fd9e ; 
+ 83   :  fd98 ; 
+ 84   :  fd93 ; 
+ 85   :  fd8d ; 
+ 86   :  fd88 ; 
+ 87   :  fd83 ; 
+ 88   :  fd7d ; 
+ 89   :  fd78 ; 
+ 8a   :  fd72 ; 
+ 8b   :  fd6d ; 
+ 8c   :  fd67 ; 
+ 8d   :  fd62 ; 
+ 8e   :  fd5d ; 
+ 8f   :  fd57 ; 
+ 90   :  fd52 ; 
+ 91   :  fd4c ; 
+ 92   :  fd47 ; 
+ 93   :  fd42 ; 
+ 94   :  fd3c ; 
+ 95   :  fd37 ; 
+ 96   :  fd31 ; 
+ 97   :  fd2c ; 
+ 98   :  fd27 ; 
+ 99   :  fd21 ; 
+ 9a   :  fd1c ; 
+ 9b   :  fd16 ; 
+ 9c   :  fd11 ; 
+ 9d   :  fd0c ; 
+ 9e   :  fd06 ; 
+ 9f   :  fd01 ; 
+ a0   :  fcfb ; 
+ a1   :  fcf6 ; 
+ a2   :  fcf1 ; 
+ a3   :  fceb ; 
+ a4   :  fce6 ; 
+ a5   :  fce1 ; 
+ a6   :  fcdb ; 
+ a7   :  fcd6 ; 
+ a8   :  fcd1 ; 
+ a9   :  fccb ; 
+ aa   :  fcc6 ; 
+ ab   :  fcc1 ; 
+ ac   :  fcbb ; 
+ ad   :  fcb6 ; 
+ ae   :  fcb1 ; 
+ af   :  fcab ; 
+ b0   :  fca6 ; 
+ b1   :  fca1 ; 
+ b2   :  fc9b ; 
+ b3   :  fc96 ; 
+ b4   :  fc91 ; 
+ b5   :  fc8b ; 
+ b6   :  fc86 ; 
+ b7   :  fc81 ; 
+ b8   :  fc7b ; 
+ b9   :  fc76 ; 
+ ba   :  fc71 ; 
+ bb   :  fc6b ; 
+ bc   :  fc66 ; 
+ bd   :  fc61 ; 
+ be   :  fc5c ; 
+ bf   :  fc56 ; 
+ c0   :  fc51 ; 
+ c1   :  fc4c ; 
+ c2   :  fc47 ; 
+ c3   :  fc41 ; 
+ c4   :  fc3c ; 
+ c5   :  fc37 ; 
+ c6   :  fc32 ; 
+ c7   :  fc2c ; 
+ c8   :  fc27 ; 
+ c9   :  fc22 ; 
+ ca   :  fc1d ; 
+ cb   :  fc17 ; 
+ cc   :  fc12 ; 
+ cd   :  fc0d ; 
+ ce   :  fc08 ; 
+ cf   :  fc03 ; 
+ d0   :  fbfd ; 
+ d1   :  fbf8 ; 
+ d2   :  fbf3 ; 
+ d3   :  fbee ; 
+ d4   :  fbe9 ; 
+ d5   :  fbe3 ; 
+ d6   :  fbde ; 
+ d7   :  fbd9 ; 
+ d8   :  fbd4 ; 
+ d9   :  fbcf ; 
+ da   :  fbca ; 
+ db   :  fbc4 ; 
+ dc   :  fbbf ; 
+ dd   :  fbba ; 
+ de   :  fbb5 ; 
+ df   :  fbb0 ; 
+ e0   :  fbab ; 
+ e1   :  fba6 ; 
+ e2   :  fba1 ; 
+ e3   :  fb9c ; 
+ e4   :  fb96 ; 
+ e5   :  fb91 ; 
+ e6   :  fb8c ; 
+ e7   :  fb87 ; 
+ e8   :  fb82 ; 
+ e9   :  fb7d ; 
+ ea   :  fb78 ; 
+ eb   :  fb73 ; 
+ ec   :  fb6e ; 
+ ed   :  fb69 ; 
+ ee   :  fb64 ; 
+ ef   :  fb5f ; 
+ f0   :  fb5a ; 
+ f1   :  fb55 ; 
+ f2   :  fb50 ; 
+ f3   :  fb4b ; 
+ f4   :  fb46 ; 
+ f5   :  fb41 ; 
+ f6   :  fb3c ; 
+ f7   :  fb37 ; 
+ f8   :  fb32 ; 
+ f9   :  fb2d ; 
+ fa   :  fb28 ; 
+ fb   :  fb23 ; 
+ fc   :  fb1e ; 
+ fd   :  fb19 ; 
+ fe   :  fb15 ; 
+ ff   :  fb10 ; 
+ 100   :  fb0b ; 
+ 101   :  fb06 ; 
+ 102   :  fb01 ; 
+ 103   :  fafc ; 
+ 104   :  faf7 ; 
+ 105   :  faf2 ; 
+ 106   :  faed ; 
+ 107   :  fae9 ; 
+ 108   :  fae4 ; 
+ 109   :  fadf ; 
+ 10a   :  fada ; 
+ 10b   :  fad5 ; 
+ 10c   :  fad1 ; 
+ 10d   :  facc ; 
+ 10e   :  fac7 ; 
+ 10f   :  fac2 ; 
+ 110   :  fabd ; 
+ 111   :  fab9 ; 
+ 112   :  fab4 ; 
+ 113   :  faaf ; 
+ 114   :  faaa ; 
+ 115   :  faa6 ; 
+ 116   :  faa1 ; 
+ 117   :  fa9c ; 
+ 118   :  fa98 ; 
+ 119   :  fa93 ; 
+ 11a   :  fa8e ; 
+ 11b   :  fa8a ; 
+ 11c   :  fa85 ; 
+ 11d   :  fa80 ; 
+ 11e   :  fa7c ; 
+ 11f   :  fa77 ; 
+ 120   :  fa72 ; 
+ 121   :  fa6e ; 
+ 122   :  fa69 ; 
+ 123   :  fa64 ; 
+ 124   :  fa60 ; 
+ 125   :  fa5b ; 
+ 126   :  fa57 ; 
+ 127   :  fa52 ; 
+ 128   :  fa4e ; 
+ 129   :  fa49 ; 
+ 12a   :  fa45 ; 
+ 12b   :  fa40 ; 
+ 12c   :  fa3b ; 
+ 12d   :  fa37 ; 
+ 12e   :  fa32 ; 
+ 12f   :  fa2e ; 
+ 130   :  fa2a ; 
+ 131   :  fa25 ; 
+ 132   :  fa21 ; 
+ 133   :  fa1c ; 
+ 134   :  fa18 ; 
+ 135   :  fa13 ; 
+ 136   :  fa0f ; 
+ 137   :  fa0a ; 
+ 138   :  fa06 ; 
+ 139   :  fa02 ; 
+ 13a   :  f9fd ; 
+ 13b   :  f9f9 ; 
+ 13c   :  f9f5 ; 
+ 13d   :  f9f0 ; 
+ 13e   :  f9ec ; 
+ 13f   :  f9e8 ; 
+ 140   :  f9e3 ; 
+ 141   :  f9df ; 
+ 142   :  f9db ; 
+ 143   :  f9d6 ; 
+ 144   :  f9d2 ; 
+ 145   :  f9ce ; 
+ 146   :  f9ca ; 
+ 147   :  f9c5 ; 
+ 148   :  f9c1 ; 
+ 149   :  f9bd ; 
+ 14a   :  f9b9 ; 
+ 14b   :  f9b5 ; 
+ 14c   :  f9b0 ; 
+ 14d   :  f9ac ; 
+ 14e   :  f9a8 ; 
+ 14f   :  f9a4 ; 
+ 150   :  f9a0 ; 
+ 151   :  f99c ; 
+ 152   :  f998 ; 
+ 153   :  f994 ; 
+ 154   :  f98f ; 
+ 155   :  f98b ; 
+ 156   :  f987 ; 
+ 157   :  f983 ; 
+ 158   :  f97f ; 
+ 159   :  f97b ; 
+ 15a   :  f977 ; 
+ 15b   :  f973 ; 
+ 15c   :  f96f ; 
+ 15d   :  f96b ; 
+ 15e   :  f967 ; 
+ 15f   :  f963 ; 
+ 160   :  f95f ; 
+ 161   :  f95b ; 
+ 162   :  f958 ; 
+ 163   :  f954 ; 
+ 164   :  f950 ; 
+ 165   :  f94c ; 
+ 166   :  f948 ; 
+ 167   :  f944 ; 
+ 168   :  f940 ; 
+ 169   :  f93d ; 
+ 16a   :  f939 ; 
+ 16b   :  f935 ; 
+ 16c   :  f931 ; 
+ 16d   :  f92d ; 
+ 16e   :  f92a ; 
+ 16f   :  f926 ; 
+ 170   :  f922 ; 
+ 171   :  f91e ; 
+ 172   :  f91b ; 
+ 173   :  f917 ; 
+ 174   :  f913 ; 
+ 175   :  f910 ; 
+ 176   :  f90c ; 
+ 177   :  f908 ; 
+ 178   :  f905 ; 
+ 179   :  f901 ; 
+ 17a   :  f8fe ; 
+ 17b   :  f8fa ; 
+ 17c   :  f8f6 ; 
+ 17d   :  f8f3 ; 
+ 17e   :  f8ef ; 
+ 17f   :  f8ec ; 
+ 180   :  f8e8 ; 
+ 181   :  f8e5 ; 
+ 182   :  f8e1 ; 
+ 183   :  f8de ; 
+ 184   :  f8da ; 
+ 185   :  f8d7 ; 
+ 186   :  f8d3 ; 
+ 187   :  f8d0 ; 
+ 188   :  f8cd ; 
+ 189   :  f8c9 ; 
+ 18a   :  f8c6 ; 
+ 18b   :  f8c3 ; 
+ 18c   :  f8bf ; 
+ 18d   :  f8bc ; 
+ 18e   :  f8b9 ; 
+ 18f   :  f8b5 ; 
+ 190   :  f8b2 ; 
+ 191   :  f8af ; 
+ 192   :  f8ab ; 
+ 193   :  f8a8 ; 
+ 194   :  f8a5 ; 
+ 195   :  f8a2 ; 
+ 196   :  f89f ; 
+ 197   :  f89b ; 
+ 198   :  f898 ; 
+ 199   :  f895 ; 
+ 19a   :  f892 ; 
+ 19b   :  f88f ; 
+ 19c   :  f88c ; 
+ 19d   :  f889 ; 
+ 19e   :  f885 ; 
+ 19f   :  f882 ; 
+ 1a0   :  f87f ; 
+ 1a1   :  f87c ; 
+ 1a2   :  f879 ; 
+ 1a3   :  f876 ; 
+ 1a4   :  f873 ; 
+ 1a5   :  f870 ; 
+ 1a6   :  f86d ; 
+ 1a7   :  f86a ; 
+ 1a8   :  f868 ; 
+ 1a9   :  f865 ; 
+ 1aa   :  f862 ; 
+ 1ab   :  f85f ; 
+ 1ac   :  f85c ; 
+ 1ad   :  f859 ; 
+ 1ae   :  f856 ; 
+ 1af   :  f854 ; 
+ 1b0   :  f851 ; 
+ 1b1   :  f84e ; 
+ 1b2   :  f84b ; 
+ 1b3   :  f848 ; 
+ 1b4   :  f846 ; 
+ 1b5   :  f843 ; 
+ 1b6   :  f840 ; 
+ 1b7   :  f83e ; 
+ 1b8   :  f83b ; 
+ 1b9   :  f838 ; 
+ 1ba   :  f836 ; 
+ 1bb   :  f833 ; 
+ 1bc   :  f830 ; 
+ 1bd   :  f82e ; 
+ 1be   :  f82b ; 
+ 1bf   :  f829 ; 
+ 1c0   :  f826 ; 
+ 1c1   :  f824 ; 
+ 1c2   :  f821 ; 
+ 1c3   :  f81f ; 
+ 1c4   :  f81c ; 
+ 1c5   :  f81a ; 
+ 1c6   :  f817 ; 
+ 1c7   :  f815 ; 
+ 1c8   :  f813 ; 
+ 1c9   :  f810 ; 
+ 1ca   :  f80e ; 
+ 1cb   :  f80b ; 
+ 1cc   :  f809 ; 
+ 1cd   :  f807 ; 
+ 1ce   :  f804 ; 
+ 1cf   :  f802 ; 
+ 1d0   :  f800 ; 
+ 1d1   :  f7fe ; 
+ 1d2   :  f7fb ; 
+ 1d3   :  f7f9 ; 
+ 1d4   :  f7f7 ; 
+ 1d5   :  f7f5 ; 
+ 1d6   :  f7f3 ; 
+ 1d7   :  f7f1 ; 
+ 1d8   :  f7ee ; 
+ 1d9   :  f7ec ; 
+ 1da   :  f7ea ; 
+ 1db   :  f7e8 ; 
+ 1dc   :  f7e6 ; 
+ 1dd   :  f7e4 ; 
+ 1de   :  f7e2 ; 
+ 1df   :  f7e0 ; 
+ 1e0   :  f7de ; 
+ 1e1   :  f7dc ; 
+ 1e2   :  f7da ; 
+ 1e3   :  f7d8 ; 
+ 1e4   :  f7d6 ; 
+ 1e5   :  f7d4 ; 
+ 1e6   :  f7d3 ; 
+ 1e7   :  f7d1 ; 
+ 1e8   :  f7cf ; 
+ 1e9   :  f7cd ; 
+ 1ea   :  f7cb ; 
+ 1eb   :  f7c9 ; 
+ 1ec   :  f7c8 ; 
+ 1ed   :  f7c6 ; 
+ 1ee   :  f7c4 ; 
+ 1ef   :  f7c3 ; 
+ 1f0   :  f7c1 ; 
+ 1f1   :  f7bf ; 
+ 1f2   :  f7bd ; 
+ 1f3   :  f7bc ; 
+ 1f4   :  f7ba ; 
+ 1f5   :  f7b9 ; 
+ 1f6   :  f7b7 ; 
+ 1f7   :  f7b5 ; 
+ 1f8   :  f7b4 ; 
+ 1f9   :  f7b2 ; 
+ 1fa   :  f7b1 ; 
+ 1fb   :  f7af ; 
+ 1fc   :  f7ae ; 
+ 1fd   :  f7ad ; 
+ 1fe   :  f7ab ; 
+ 1ff   :  f7aa ; 
+ 200   :  f7a8 ; 
+ 201   :  f7a7 ; 
+ 202   :  f7a6 ; 
+ 203   :  f7a4 ; 
+ 204   :  f7a3 ; 
+ 205   :  f7a2 ; 
+ 206   :  f7a0 ; 
+ 207   :  f79f ; 
+ 208   :  f79e ; 
+ 209   :  f79d ; 
+ 20a   :  f79b ; 
+ 20b   :  f79a ; 
+ 20c   :  f799 ; 
+ 20d   :  f798 ; 
+ 20e   :  f797 ; 
+ 20f   :  f796 ; 
+ 210   :  f795 ; 
+ 211   :  f793 ; 
+ 212   :  f792 ; 
+ 213   :  f791 ; 
+ 214   :  f790 ; 
+ 215   :  f78f ; 
+ 216   :  f78e ; 
+ 217   :  f78d ; 
+ 218   :  f78d ; 
+ 219   :  f78c ; 
+ 21a   :  f78b ; 
+ 21b   :  f78a ; 
+ 21c   :  f789 ; 
+ 21d   :  f788 ; 
+ 21e   :  f787 ; 
+ 21f   :  f787 ; 
+ 220   :  f786 ; 
+ 221   :  f785 ; 
+ 222   :  f784 ; 
+ 223   :  f784 ; 
+ 224   :  f783 ; 
+ 225   :  f782 ; 
+ 226   :  f782 ; 
+ 227   :  f781 ; 
+ 228   :  f780 ; 
+ 229   :  f780 ; 
+ 22a   :  f77f ; 
+ 22b   :  f77f ; 
+ 22c   :  f77e ; 
+ 22d   :  f77e ; 
+ 22e   :  f77d ; 
+ 22f   :  f77d ; 
+ 230   :  f77c ; 
+ 231   :  f77c ; 
+ 232   :  f77b ; 
+ 233   :  f77b ; 
+ 234   :  f77a ; 
+ 235   :  f77a ; 
+ 236   :  f77a ; 
+ 237   :  f779 ; 
+ 238   :  f779 ; 
+ 239   :  f779 ; 
+ 23a   :  f779 ; 
+ 23b   :  f778 ; 
+ 23c   :  f778 ; 
+ 23d   :  f778 ; 
+ 23e   :  f778 ; 
+ 23f   :  f778 ; 
+ 240   :  f778 ; 
+ 241   :  f777 ; 
+ 242   :  f777 ; 
+ 243   :  f777 ; 
+ 244   :  f777 ; 
+ 245   :  f777 ; 
+ 246   :  f777 ; 
+ 247   :  f777 ; 
+ 248   :  f777 ; 
+ 249   :  f777 ; 
+ 24a   :  f777 ; 
+ 24b   :  f778 ; 
+ 24c   :  f778 ; 
+ 24d   :  f778 ; 
+ 24e   :  f778 ; 
+ 24f   :  f778 ; 
+ 250   :  f778 ; 
+ 251   :  f779 ; 
+ 252   :  f779 ; 
+ 253   :  f779 ; 
+ 254   :  f779 ; 
+ 255   :  f77a ; 
+ 256   :  f77a ; 
+ 257   :  f77a ; 
+ 258   :  f77b ; 
+ 259   :  f77b ; 
+ 25a   :  f77c ; 
+ 25b   :  f77c ; 
+ 25c   :  f77c ; 
+ 25d   :  f77d ; 
+ 25e   :  f77d ; 
+ 25f   :  f77e ; 
+ 260   :  f77e ; 
+ 261   :  f77f ; 
+ 262   :  f780 ; 
+ 263   :  f780 ; 
+ 264   :  f781 ; 
+ 265   :  f781 ; 
+ 266   :  f782 ; 
+ 267   :  f783 ; 
+ 268   :  f783 ; 
+ 269   :  f784 ; 
+ 26a   :  f785 ; 
+ 26b   :  f786 ; 
+ 26c   :  f787 ; 
+ 26d   :  f787 ; 
+ 26e   :  f788 ; 
+ 26f   :  f789 ; 
+ 270   :  f78a ; 
+ 271   :  f78b ; 
+ 272   :  f78c ; 
+ 273   :  f78d ; 
+ 274   :  f78e ; 
+ 275   :  f78f ; 
+ 276   :  f790 ; 
+ 277   :  f791 ; 
+ 278   :  f792 ; 
+ 279   :  f793 ; 
+ 27a   :  f794 ; 
+ 27b   :  f795 ; 
+ 27c   :  f796 ; 
+ 27d   :  f797 ; 
+ 27e   :  f798 ; 
+ 27f   :  f79a ; 
+ 280   :  f79b ; 
+ 281   :  f79c ; 
+ 282   :  f79d ; 
+ 283   :  f79f ; 
+ 284   :  f7a0 ; 
+ 285   :  f7a1 ; 
+ 286   :  f7a3 ; 
+ 287   :  f7a4 ; 
+ 288   :  f7a5 ; 
+ 289   :  f7a7 ; 
+ 28a   :  f7a8 ; 
+ 28b   :  f7aa ; 
+ 28c   :  f7ab ; 
+ 28d   :  f7ad ; 
+ 28e   :  f7ae ; 
+ 28f   :  f7b0 ; 
+ 290   :  f7b1 ; 
+ 291   :  f7b3 ; 
+ 292   :  f7b5 ; 
+ 293   :  f7b6 ; 
+ 294   :  f7b8 ; 
+ 295   :  f7b9 ; 
+ 296   :  f7bb ; 
+ 297   :  f7bd ; 
+ 298   :  f7bf ; 
+ 299   :  f7c0 ; 
+ 29a   :  f7c2 ; 
+ 29b   :  f7c4 ; 
+ 29c   :  f7c6 ; 
+ 29d   :  f7c8 ; 
+ 29e   :  f7ca ; 
+ 29f   :  f7cb ; 
+ 2a0   :  f7cd ; 
+ 2a1   :  f7cf ; 
+ 2a2   :  f7d1 ; 
+ 2a3   :  f7d3 ; 
+ 2a4   :  f7d5 ; 
+ 2a5   :  f7d7 ; 
+ 2a6   :  f7d9 ; 
+ 2a7   :  f7db ; 
+ 2a8   :  f7dd ; 
+ 2a9   :  f7df ; 
+ 2aa   :  f7e2 ; 
+ 2ab   :  f7e4 ; 
+ 2ac   :  f7e6 ; 
+ 2ad   :  f7e8 ; 
+ 2ae   :  f7ea ; 
+ 2af   :  f7ed ; 
+ 2b0   :  f7ef ; 
+ 2b1   :  f7f1 ; 
+ 2b2   :  f7f3 ; 
+ 2b3   :  f7f6 ; 
+ 2b4   :  f7f8 ; 
+ 2b5   :  f7fa ; 
+ 2b6   :  f7fd ; 
+ 2b7   :  f7ff ; 
+ 2b8   :  f802 ; 
+ 2b9   :  f804 ; 
+ 2ba   :  f807 ; 
+ 2bb   :  f809 ; 
+ 2bc   :  f80c ; 
+ 2bd   :  f80e ; 
+ 2be   :  f811 ; 
+ 2bf   :  f813 ; 
+ 2c0   :  f816 ; 
+ 2c1   :  f819 ; 
+ 2c2   :  f81b ; 
+ 2c3   :  f81e ; 
+ 2c4   :  f821 ; 
+ 2c5   :  f823 ; 
+ 2c6   :  f826 ; 
+ 2c7   :  f829 ; 
+ 2c8   :  f82c ; 
+ 2c9   :  f82e ; 
+ 2ca   :  f831 ; 
+ 2cb   :  f834 ; 
+ 2cc   :  f837 ; 
+ 2cd   :  f83a ; 
+ 2ce   :  f83d ; 
+ 2cf   :  f840 ; 
+ 2d0   :  f843 ; 
+ 2d1   :  f846 ; 
+ 2d2   :  f849 ; 
+ 2d3   :  f84c ; 
+ 2d4   :  f84f ; 
+ 2d5   :  f852 ; 
+ 2d6   :  f855 ; 
+ 2d7   :  f858 ; 
+ 2d8   :  f85b ; 
+ 2d9   :  f85e ; 
+ 2da   :  f861 ; 
+ 2db   :  f864 ; 
+ 2dc   :  f868 ; 
+ 2dd   :  f86b ; 
+ 2de   :  f86e ; 
+ 2df   :  f871 ; 
+ 2e0   :  f875 ; 
+ 2e1   :  f878 ; 
+ 2e2   :  f87b ; 
+ 2e3   :  f87f ; 
+ 2e4   :  f882 ; 
+ 2e5   :  f885 ; 
+ 2e6   :  f889 ; 
+ 2e7   :  f88c ; 
+ 2e8   :  f890 ; 
+ 2e9   :  f893 ; 
+ 2ea   :  f897 ; 
+ 2eb   :  f89a ; 
+ 2ec   :  f89e ; 
+ 2ed   :  f8a1 ; 
+ 2ee   :  f8a5 ; 
+ 2ef   :  f8a9 ; 
+ 2f0   :  f8ac ; 
+ 2f1   :  f8b0 ; 
+ 2f2   :  f8b4 ; 
+ 2f3   :  f8b7 ; 
+ 2f4   :  f8bb ; 
+ 2f5   :  f8bf ; 
+ 2f6   :  f8c3 ; 
+ 2f7   :  f8c6 ; 
+ 2f8   :  f8ca ; 
+ 2f9   :  f8ce ; 
+ 2fa   :  f8d2 ; 
+ 2fb   :  f8d6 ; 
+ 2fc   :  f8da ; 
+ 2fd   :  f8dd ; 
+ 2fe   :  f8e1 ; 
+ 2ff   :  f8e5 ; 
+ 300   :  f8e9 ; 
+ 301   :  f8ed ; 
+ 302   :  f8f1 ; 
+ 303   :  f8f5 ; 
+ 304   :  f8f9 ; 
+ 305   :  f8fe ; 
+ 306   :  f902 ; 
+ 307   :  f906 ; 
+ 308   :  f90a ; 
+ 309   :  f90e ; 
+ 30a   :  f912 ; 
+ 30b   :  f916 ; 
+ 30c   :  f91b ; 
+ 30d   :  f91f ; 
+ 30e   :  f923 ; 
+ 30f   :  f927 ; 
+ 310   :  f92c ; 
+ 311   :  f930 ; 
+ 312   :  f934 ; 
+ 313   :  f939 ; 
+ 314   :  f93d ; 
+ 315   :  f942 ; 
+ 316   :  f946 ; 
+ 317   :  f94a ; 
+ 318   :  f94f ; 
+ 319   :  f953 ; 
+ 31a   :  f958 ; 
+ 31b   :  f95c ; 
+ 31c   :  f961 ; 
+ 31d   :  f966 ; 
+ 31e   :  f96a ; 
+ 31f   :  f96f ; 
+ 320   :  f973 ; 
+ 321   :  f978 ; 
+ 322   :  f97d ; 
+ 323   :  f981 ; 
+ 324   :  f986 ; 
+ 325   :  f98b ; 
+ 326   :  f990 ; 
+ 327   :  f994 ; 
+ 328   :  f999 ; 
+ 329   :  f99e ; 
+ 32a   :  f9a3 ; 
+ 32b   :  f9a8 ; 
+ 32c   :  f9ad ; 
+ 32d   :  f9b1 ; 
+ 32e   :  f9b6 ; 
+ 32f   :  f9bb ; 
+ 330   :  f9c0 ; 
+ 331   :  f9c5 ; 
+ 332   :  f9ca ; 
+ 333   :  f9cf ; 
+ 334   :  f9d4 ; 
+ 335   :  f9d9 ; 
+ 336   :  f9de ; 
+ 337   :  f9e4 ; 
+ 338   :  f9e9 ; 
+ 339   :  f9ee ; 
+ 33a   :  f9f3 ; 
+ 33b   :  f9f8 ; 
+ 33c   :  f9fd ; 
+ 33d   :  fa02 ; 
+ 33e   :  fa08 ; 
+ 33f   :  fa0d ; 
+ 340   :  fa12 ; 
+ 341   :  fa18 ; 
+ 342   :  fa1d ; 
+ 343   :  fa22 ; 
+ 344   :  fa28 ; 
+ 345   :  fa2d ; 
+ 346   :  fa32 ; 
+ 347   :  fa38 ; 
+ 348   :  fa3d ; 
+ 349   :  fa43 ; 
+ 34a   :  fa48 ; 
+ 34b   :  fa4d ; 
+ 34c   :  fa53 ; 
+ 34d   :  fa58 ; 
+ 34e   :  fa5e ; 
+ 34f   :  fa64 ; 
+ 350   :  fa69 ; 
+ 351   :  fa6f ; 
+ 352   :  fa74 ; 
+ 353   :  fa7a ; 
+ 354   :  fa80 ; 
+ 355   :  fa85 ; 
+ 356   :  fa8b ; 
+ 357   :  fa91 ; 
+ 358   :  fa96 ; 
+ 359   :  fa9c ; 
+ 35a   :  faa2 ; 
+ 35b   :  faa8 ; 
+ 35c   :  faae ; 
+ 35d   :  fab3 ; 
+ 35e   :  fab9 ; 
+ 35f   :  fabf ; 
+ 360   :  fac5 ; 
+ 361   :  facb ; 
+ 362   :  fad1 ; 
+ 363   :  fad7 ; 
+ 364   :  fadd ; 
+ 365   :  fae3 ; 
+ 366   :  fae8 ; 
+ 367   :  faee ; 
+ 368   :  faf5 ; 
+ 369   :  fafb ; 
+ 36a   :  fb01 ; 
+ 36b   :  fb07 ; 
+ 36c   :  fb0d ; 
+ 36d   :  fb13 ; 
+ 36e   :  fb19 ; 
+ 36f   :  fb1f ; 
+ 370   :  fb25 ; 
+ 371   :  fb2b ; 
+ 372   :  fb32 ; 
+ 373   :  fb38 ; 
+ 374   :  fb3e ; 
+ 375   :  fb44 ; 
+ 376   :  fb4b ; 
+ 377   :  fb51 ; 
+ 378   :  fb57 ; 
+ 379   :  fb5e ; 
+ 37a   :  fb64 ; 
+ 37b   :  fb6a ; 
+ 37c   :  fb71 ; 
+ 37d   :  fb77 ; 
+ 37e   :  fb7d ; 
+ 37f   :  fb84 ; 
+ 380   :  fb8a ; 
+ 381   :  fb91 ; 
+ 382   :  fb97 ; 
+ 383   :  fb9e ; 
+ 384   :  fba4 ; 
+ 385   :  fbab ; 
+ 386   :  fbb1 ; 
+ 387   :  fbb8 ; 
+ 388   :  fbbe ; 
+ 389   :  fbc5 ; 
+ 38a   :  fbcc ; 
+ 38b   :  fbd2 ; 
+ 38c   :  fbd9 ; 
+ 38d   :  fbdf ; 
+ 38e   :  fbe6 ; 
+ 38f   :  fbed ; 
+ 390   :  fbf3 ; 
+ 391   :  fbfa ; 
+ 392   :  fc01 ; 
+ 393   :  fc08 ; 
+ 394   :  fc0e ; 
+ 395   :  fc15 ; 
+ 396   :  fc1c ; 
+ 397   :  fc23 ; 
+ 398   :  fc2a ; 
+ 399   :  fc31 ; 
+ 39a   :  fc37 ; 
+ 39b   :  fc3e ; 
+ 39c   :  fc45 ; 
+ 39d   :  fc4c ; 
+ 39e   :  fc53 ; 
+ 39f   :  fc5a ; 
+ 3a0   :  fc61 ; 
+ 3a1   :  fc68 ; 
+ 3a2   :  fc6f ; 
+ 3a3   :  fc76 ; 
+ 3a4   :  fc7d ; 
+ 3a5   :  fc84 ; 
+ 3a6   :  fc8b ; 
+ 3a7   :  fc92 ; 
+ 3a8   :  fc99 ; 
+ 3a9   :  fca0 ; 
+ 3aa   :  fca7 ; 
+ 3ab   :  fcae ; 
+ 3ac   :  fcb6 ; 
+ 3ad   :  fcbd ; 
+ 3ae   :  fcc4 ; 
+ 3af   :  fccb ; 
+ 3b0   :  fcd2 ; 
+ 3b1   :  fcd9 ; 
+ 3b2   :  fce1 ; 
+ 3b3   :  fce8 ; 
+ 3b4   :  fcef ; 
+ 3b5   :  fcf6 ; 
+ 3b6   :  fcfe ; 
+ 3b7   :  fd05 ; 
+ 3b8   :  fd0c ; 
+ 3b9   :  fd14 ; 
+ 3ba   :  fd1b ; 
+ 3bb   :  fd22 ; 
+ 3bc   :  fd2a ; 
+ 3bd   :  fd31 ; 
+ 3be   :  fd38 ; 
+ 3bf   :  fd40 ; 
+ 3c0   :  fd47 ; 
+ 3c1   :  fd4f ; 
+ 3c2   :  fd56 ; 
+ 3c3   :  fd5e ; 
+ 3c4   :  fd65 ; 
+ 3c5   :  fd6d ; 
+ 3c6   :  fd74 ; 
+ 3c7   :  fd7c ; 
+ 3c8   :  fd83 ; 
+ 3c9   :  fd8b ; 
+ 3ca   :  fd92 ; 
+ 3cb   :  fd9a ; 
+ 3cc   :  fda1 ; 
+ 3cd   :  fda9 ; 
+ 3ce   :  fdb1 ; 
+ 3cf   :  fdb8 ; 
+ 3d0   :  fdc0 ; 
+ 3d1   :  fdc8 ; 
+ 3d2   :  fdcf ; 
+ 3d3   :  fdd7 ; 
+ 3d4   :  fddf ; 
+ 3d5   :  fde6 ; 
+ 3d6   :  fdee ; 
+ 3d7   :  fdf6 ; 
+ 3d8   :  fdfd ; 
+ 3d9   :  fe05 ; 
+ 3da   :  fe0d ; 
+ 3db   :  fe15 ; 
+ 3dc   :  fe1c ; 
+ 3dd   :  fe24 ; 
+ 3de   :  fe2c ; 
+ 3df   :  fe34 ; 
+ 3e0   :  fe3c ; 
+ 3e1   :  fe44 ; 
+ 3e2   :  fe4b ; 
+ 3e3   :  fe53 ; 
+ 3e4   :  fe5b ; 
+ 3e5   :  fe63 ; 
+ 3e6   :  fe6b ; 
+ 3e7   :  fe73 ; 
+ 3e8   :  fe7b ; 
+ 3e9   :  fe83 ; 
+ 3ea   :  fe8b ; 
+ 3eb   :  fe93 ; 
+ 3ec   :  fe9b ; 
+ 3ed   :  fea3 ; 
+ 3ee   :  feab ; 
+ 3ef   :  feb3 ; 
+ 3f0   :  febb ; 
+ 3f1   :  fec3 ; 
+ 3f2   :  fecb ; 
+ 3f3   :  fed3 ; 
+ 3f4   :  fedb ; 
+ 3f5   :  fee3 ; 
+ 3f6   :  feeb ; 
+ 3f7   :  fef3 ; 
+ 3f8   :  fefb ; 
+ 3f9   :  ff03 ; 
+ 3fa   :  ff0b ; 
+ 3fb   :  ff13 ; 
+ 3fc   :  ff1c ; 
+ 3fd   :  ff24 ; 
+ 3fe   :  ff2c ; 
+ 3ff   :  ff34 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_12.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_12.mif
new file mode 100644
index 0000000000000000000000000000000000000000..c93d6108e5f46b82cb85188bb19c4feb5d40eb68
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_12.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  4e ; 
+ 1   :  51 ; 
+ 2   :  55 ; 
+ 3   :  58 ; 
+ 4   :  5b ; 
+ 5   :  5f ; 
+ 6   :  62 ; 
+ 7   :  65 ; 
+ 8   :  69 ; 
+ 9   :  6c ; 
+ a   :  6f ; 
+ b   :  72 ; 
+ c   :  76 ; 
+ d   :  79 ; 
+ e   :  7c ; 
+ f   :  80 ; 
+ 10   :  83 ; 
+ 11   :  86 ; 
+ 12   :  8a ; 
+ 13   :  8d ; 
+ 14   :  90 ; 
+ 15   :  94 ; 
+ 16   :  97 ; 
+ 17   :  9a ; 
+ 18   :  9e ; 
+ 19   :  a1 ; 
+ 1a   :  a4 ; 
+ 1b   :  a8 ; 
+ 1c   :  ab ; 
+ 1d   :  ae ; 
+ 1e   :  b2 ; 
+ 1f   :  b5 ; 
+ 20   :  b8 ; 
+ 21   :  bc ; 
+ 22   :  bf ; 
+ 23   :  c2 ; 
+ 24   :  c6 ; 
+ 25   :  c9 ; 
+ 26   :  cc ; 
+ 27   :  d0 ; 
+ 28   :  d3 ; 
+ 29   :  d6 ; 
+ 2a   :  da ; 
+ 2b   :  dd ; 
+ 2c   :  e0 ; 
+ 2d   :  e4 ; 
+ 2e   :  e7 ; 
+ 2f   :  eb ; 
+ 30   :  ee ; 
+ 31   :  f1 ; 
+ 32   :  f5 ; 
+ 33   :  f8 ; 
+ 34   :  fb ; 
+ 35   :  ff ; 
+ 36   :  102 ; 
+ 37   :  105 ; 
+ 38   :  109 ; 
+ 39   :  10c ; 
+ 3a   :  110 ; 
+ 3b   :  113 ; 
+ 3c   :  116 ; 
+ 3d   :  11a ; 
+ 3e   :  11d ; 
+ 3f   :  120 ; 
+ 40   :  124 ; 
+ 41   :  127 ; 
+ 42   :  12b ; 
+ 43   :  12e ; 
+ 44   :  131 ; 
+ 45   :  135 ; 
+ 46   :  138 ; 
+ 47   :  13b ; 
+ 48   :  13f ; 
+ 49   :  142 ; 
+ 4a   :  146 ; 
+ 4b   :  149 ; 
+ 4c   :  14c ; 
+ 4d   :  150 ; 
+ 4e   :  153 ; 
+ 4f   :  156 ; 
+ 50   :  15a ; 
+ 51   :  15d ; 
+ 52   :  160 ; 
+ 53   :  164 ; 
+ 54   :  167 ; 
+ 55   :  16b ; 
+ 56   :  16e ; 
+ 57   :  171 ; 
+ 58   :  175 ; 
+ 59   :  178 ; 
+ 5a   :  17b ; 
+ 5b   :  17f ; 
+ 5c   :  182 ; 
+ 5d   :  186 ; 
+ 5e   :  189 ; 
+ 5f   :  18c ; 
+ 60   :  190 ; 
+ 61   :  193 ; 
+ 62   :  196 ; 
+ 63   :  19a ; 
+ 64   :  19d ; 
+ 65   :  1a1 ; 
+ 66   :  1a4 ; 
+ 67   :  1a7 ; 
+ 68   :  1ab ; 
+ 69   :  1ae ; 
+ 6a   :  1b1 ; 
+ 6b   :  1b5 ; 
+ 6c   :  1b8 ; 
+ 6d   :  1bb ; 
+ 6e   :  1bf ; 
+ 6f   :  1c2 ; 
+ 70   :  1c6 ; 
+ 71   :  1c9 ; 
+ 72   :  1cc ; 
+ 73   :  1d0 ; 
+ 74   :  1d3 ; 
+ 75   :  1d6 ; 
+ 76   :  1da ; 
+ 77   :  1dd ; 
+ 78   :  1e0 ; 
+ 79   :  1e4 ; 
+ 7a   :  1e7 ; 
+ 7b   :  1ea ; 
+ 7c   :  1ee ; 
+ 7d   :  1f1 ; 
+ 7e   :  1f4 ; 
+ 7f   :  1f8 ; 
+ 80   :  1fb ; 
+ 81   :  1fe ; 
+ 82   :  202 ; 
+ 83   :  205 ; 
+ 84   :  208 ; 
+ 85   :  20c ; 
+ 86   :  20f ; 
+ 87   :  212 ; 
+ 88   :  216 ; 
+ 89   :  219 ; 
+ 8a   :  21c ; 
+ 8b   :  220 ; 
+ 8c   :  223 ; 
+ 8d   :  226 ; 
+ 8e   :  22a ; 
+ 8f   :  22d ; 
+ 90   :  230 ; 
+ 91   :  234 ; 
+ 92   :  237 ; 
+ 93   :  23a ; 
+ 94   :  23d ; 
+ 95   :  241 ; 
+ 96   :  244 ; 
+ 97   :  247 ; 
+ 98   :  24b ; 
+ 99   :  24e ; 
+ 9a   :  251 ; 
+ 9b   :  255 ; 
+ 9c   :  258 ; 
+ 9d   :  25b ; 
+ 9e   :  25e ; 
+ 9f   :  262 ; 
+ a0   :  265 ; 
+ a1   :  268 ; 
+ a2   :  26b ; 
+ a3   :  26f ; 
+ a4   :  272 ; 
+ a5   :  275 ; 
+ a6   :  278 ; 
+ a7   :  27c ; 
+ a8   :  27f ; 
+ a9   :  282 ; 
+ aa   :  286 ; 
+ ab   :  289 ; 
+ ac   :  28c ; 
+ ad   :  28f ; 
+ ae   :  292 ; 
+ af   :  296 ; 
+ b0   :  299 ; 
+ b1   :  29c ; 
+ b2   :  29f ; 
+ b3   :  2a3 ; 
+ b4   :  2a6 ; 
+ b5   :  2a9 ; 
+ b6   :  2ac ; 
+ b7   :  2af ; 
+ b8   :  2b3 ; 
+ b9   :  2b6 ; 
+ ba   :  2b9 ; 
+ bb   :  2bc ; 
+ bc   :  2bf ; 
+ bd   :  2c3 ; 
+ be   :  2c6 ; 
+ bf   :  2c9 ; 
+ c0   :  2cc ; 
+ c1   :  2cf ; 
+ c2   :  2d3 ; 
+ c3   :  2d6 ; 
+ c4   :  2d9 ; 
+ c5   :  2dc ; 
+ c6   :  2df ; 
+ c7   :  2e2 ; 
+ c8   :  2e6 ; 
+ c9   :  2e9 ; 
+ ca   :  2ec ; 
+ cb   :  2ef ; 
+ cc   :  2f2 ; 
+ cd   :  2f5 ; 
+ ce   :  2f8 ; 
+ cf   :  2fb ; 
+ d0   :  2ff ; 
+ d1   :  302 ; 
+ d2   :  305 ; 
+ d3   :  308 ; 
+ d4   :  30b ; 
+ d5   :  30e ; 
+ d6   :  311 ; 
+ d7   :  314 ; 
+ d8   :  317 ; 
+ d9   :  31b ; 
+ da   :  31e ; 
+ db   :  321 ; 
+ dc   :  324 ; 
+ dd   :  327 ; 
+ de   :  32a ; 
+ df   :  32d ; 
+ e0   :  330 ; 
+ e1   :  333 ; 
+ e2   :  336 ; 
+ e3   :  339 ; 
+ e4   :  33c ; 
+ e5   :  33f ; 
+ e6   :  342 ; 
+ e7   :  345 ; 
+ e8   :  348 ; 
+ e9   :  34b ; 
+ ea   :  34e ; 
+ eb   :  351 ; 
+ ec   :  354 ; 
+ ed   :  357 ; 
+ ee   :  35a ; 
+ ef   :  35d ; 
+ f0   :  360 ; 
+ f1   :  363 ; 
+ f2   :  366 ; 
+ f3   :  369 ; 
+ f4   :  36c ; 
+ f5   :  36f ; 
+ f6   :  372 ; 
+ f7   :  375 ; 
+ f8   :  378 ; 
+ f9   :  37b ; 
+ fa   :  37e ; 
+ fb   :  381 ; 
+ fc   :  384 ; 
+ fd   :  386 ; 
+ fe   :  389 ; 
+ ff   :  38c ; 
+ 100   :  38f ; 
+ 101   :  392 ; 
+ 102   :  395 ; 
+ 103   :  398 ; 
+ 104   :  39b ; 
+ 105   :  39e ; 
+ 106   :  3a0 ; 
+ 107   :  3a3 ; 
+ 108   :  3a6 ; 
+ 109   :  3a9 ; 
+ 10a   :  3ac ; 
+ 10b   :  3af ; 
+ 10c   :  3b1 ; 
+ 10d   :  3b4 ; 
+ 10e   :  3b7 ; 
+ 10f   :  3ba ; 
+ 110   :  3bd ; 
+ 111   :  3c0 ; 
+ 112   :  3c2 ; 
+ 113   :  3c5 ; 
+ 114   :  3c8 ; 
+ 115   :  3cb ; 
+ 116   :  3cd ; 
+ 117   :  3d0 ; 
+ 118   :  3d3 ; 
+ 119   :  3d6 ; 
+ 11a   :  3d8 ; 
+ 11b   :  3db ; 
+ 11c   :  3de ; 
+ 11d   :  3e1 ; 
+ 11e   :  3e3 ; 
+ 11f   :  3e6 ; 
+ 120   :  3e9 ; 
+ 121   :  3eb ; 
+ 122   :  3ee ; 
+ 123   :  3f1 ; 
+ 124   :  3f4 ; 
+ 125   :  3f6 ; 
+ 126   :  3f9 ; 
+ 127   :  3fc ; 
+ 128   :  3fe ; 
+ 129   :  401 ; 
+ 12a   :  403 ; 
+ 12b   :  406 ; 
+ 12c   :  409 ; 
+ 12d   :  40b ; 
+ 12e   :  40e ; 
+ 12f   :  411 ; 
+ 130   :  413 ; 
+ 131   :  416 ; 
+ 132   :  418 ; 
+ 133   :  41b ; 
+ 134   :  41d ; 
+ 135   :  420 ; 
+ 136   :  423 ; 
+ 137   :  425 ; 
+ 138   :  428 ; 
+ 139   :  42a ; 
+ 13a   :  42d ; 
+ 13b   :  42f ; 
+ 13c   :  432 ; 
+ 13d   :  434 ; 
+ 13e   :  437 ; 
+ 13f   :  439 ; 
+ 140   :  43c ; 
+ 141   :  43e ; 
+ 142   :  441 ; 
+ 143   :  443 ; 
+ 144   :  446 ; 
+ 145   :  448 ; 
+ 146   :  44b ; 
+ 147   :  44d ; 
+ 148   :  44f ; 
+ 149   :  452 ; 
+ 14a   :  454 ; 
+ 14b   :  457 ; 
+ 14c   :  459 ; 
+ 14d   :  45b ; 
+ 14e   :  45e ; 
+ 14f   :  460 ; 
+ 150   :  463 ; 
+ 151   :  465 ; 
+ 152   :  467 ; 
+ 153   :  46a ; 
+ 154   :  46c ; 
+ 155   :  46e ; 
+ 156   :  471 ; 
+ 157   :  473 ; 
+ 158   :  475 ; 
+ 159   :  477 ; 
+ 15a   :  47a ; 
+ 15b   :  47c ; 
+ 15c   :  47e ; 
+ 15d   :  481 ; 
+ 15e   :  483 ; 
+ 15f   :  485 ; 
+ 160   :  487 ; 
+ 161   :  489 ; 
+ 162   :  48c ; 
+ 163   :  48e ; 
+ 164   :  490 ; 
+ 165   :  492 ; 
+ 166   :  495 ; 
+ 167   :  497 ; 
+ 168   :  499 ; 
+ 169   :  49b ; 
+ 16a   :  49d ; 
+ 16b   :  49f ; 
+ 16c   :  4a1 ; 
+ 16d   :  4a4 ; 
+ 16e   :  4a6 ; 
+ 16f   :  4a8 ; 
+ 170   :  4aa ; 
+ 171   :  4ac ; 
+ 172   :  4ae ; 
+ 173   :  4b0 ; 
+ 174   :  4b2 ; 
+ 175   :  4b4 ; 
+ 176   :  4b6 ; 
+ 177   :  4b8 ; 
+ 178   :  4ba ; 
+ 179   :  4bc ; 
+ 17a   :  4be ; 
+ 17b   :  4c0 ; 
+ 17c   :  4c2 ; 
+ 17d   :  4c4 ; 
+ 17e   :  4c6 ; 
+ 17f   :  4c8 ; 
+ 180   :  4ca ; 
+ 181   :  4cc ; 
+ 182   :  4ce ; 
+ 183   :  4d0 ; 
+ 184   :  4d2 ; 
+ 185   :  4d4 ; 
+ 186   :  4d6 ; 
+ 187   :  4d8 ; 
+ 188   :  4da ; 
+ 189   :  4db ; 
+ 18a   :  4dd ; 
+ 18b   :  4df ; 
+ 18c   :  4e1 ; 
+ 18d   :  4e3 ; 
+ 18e   :  4e5 ; 
+ 18f   :  4e7 ; 
+ 190   :  4e8 ; 
+ 191   :  4ea ; 
+ 192   :  4ec ; 
+ 193   :  4ee ; 
+ 194   :  4ef ; 
+ 195   :  4f1 ; 
+ 196   :  4f3 ; 
+ 197   :  4f5 ; 
+ 198   :  4f6 ; 
+ 199   :  4f8 ; 
+ 19a   :  4fa ; 
+ 19b   :  4fc ; 
+ 19c   :  4fd ; 
+ 19d   :  4ff ; 
+ 19e   :  501 ; 
+ 19f   :  502 ; 
+ 1a0   :  504 ; 
+ 1a1   :  506 ; 
+ 1a2   :  507 ; 
+ 1a3   :  509 ; 
+ 1a4   :  50a ; 
+ 1a5   :  50c ; 
+ 1a6   :  50e ; 
+ 1a7   :  50f ; 
+ 1a8   :  511 ; 
+ 1a9   :  512 ; 
+ 1aa   :  514 ; 
+ 1ab   :  515 ; 
+ 1ac   :  517 ; 
+ 1ad   :  519 ; 
+ 1ae   :  51a ; 
+ 1af   :  51c ; 
+ 1b0   :  51d ; 
+ 1b1   :  51f ; 
+ 1b2   :  520 ; 
+ 1b3   :  521 ; 
+ 1b4   :  523 ; 
+ 1b5   :  524 ; 
+ 1b6   :  526 ; 
+ 1b7   :  527 ; 
+ 1b8   :  529 ; 
+ 1b9   :  52a ; 
+ 1ba   :  52b ; 
+ 1bb   :  52d ; 
+ 1bc   :  52e ; 
+ 1bd   :  52f ; 
+ 1be   :  531 ; 
+ 1bf   :  532 ; 
+ 1c0   :  533 ; 
+ 1c1   :  535 ; 
+ 1c2   :  536 ; 
+ 1c3   :  537 ; 
+ 1c4   :  539 ; 
+ 1c5   :  53a ; 
+ 1c6   :  53b ; 
+ 1c7   :  53c ; 
+ 1c8   :  53e ; 
+ 1c9   :  53f ; 
+ 1ca   :  540 ; 
+ 1cb   :  541 ; 
+ 1cc   :  542 ; 
+ 1cd   :  544 ; 
+ 1ce   :  545 ; 
+ 1cf   :  546 ; 
+ 1d0   :  547 ; 
+ 1d1   :  548 ; 
+ 1d2   :  549 ; 
+ 1d3   :  54b ; 
+ 1d4   :  54c ; 
+ 1d5   :  54d ; 
+ 1d6   :  54e ; 
+ 1d7   :  54f ; 
+ 1d8   :  550 ; 
+ 1d9   :  551 ; 
+ 1da   :  552 ; 
+ 1db   :  553 ; 
+ 1dc   :  554 ; 
+ 1dd   :  555 ; 
+ 1de   :  556 ; 
+ 1df   :  557 ; 
+ 1e0   :  558 ; 
+ 1e1   :  559 ; 
+ 1e2   :  55a ; 
+ 1e3   :  55b ; 
+ 1e4   :  55c ; 
+ 1e5   :  55d ; 
+ 1e6   :  55e ; 
+ 1e7   :  55f ; 
+ 1e8   :  55f ; 
+ 1e9   :  560 ; 
+ 1ea   :  561 ; 
+ 1eb   :  562 ; 
+ 1ec   :  563 ; 
+ 1ed   :  564 ; 
+ 1ee   :  564 ; 
+ 1ef   :  565 ; 
+ 1f0   :  566 ; 
+ 1f1   :  567 ; 
+ 1f2   :  568 ; 
+ 1f3   :  568 ; 
+ 1f4   :  569 ; 
+ 1f5   :  56a ; 
+ 1f6   :  56b ; 
+ 1f7   :  56b ; 
+ 1f8   :  56c ; 
+ 1f9   :  56d ; 
+ 1fa   :  56d ; 
+ 1fb   :  56e ; 
+ 1fc   :  56f ; 
+ 1fd   :  56f ; 
+ 1fe   :  570 ; 
+ 1ff   :  570 ; 
+ 200   :  571 ; 
+ 201   :  572 ; 
+ 202   :  572 ; 
+ 203   :  573 ; 
+ 204   :  573 ; 
+ 205   :  574 ; 
+ 206   :  574 ; 
+ 207   :  575 ; 
+ 208   :  575 ; 
+ 209   :  576 ; 
+ 20a   :  576 ; 
+ 20b   :  577 ; 
+ 20c   :  577 ; 
+ 20d   :  578 ; 
+ 20e   :  578 ; 
+ 20f   :  579 ; 
+ 210   :  579 ; 
+ 211   :  579 ; 
+ 212   :  57a ; 
+ 213   :  57a ; 
+ 214   :  57b ; 
+ 215   :  57b ; 
+ 216   :  57b ; 
+ 217   :  57c ; 
+ 218   :  57c ; 
+ 219   :  57c ; 
+ 21a   :  57c ; 
+ 21b   :  57d ; 
+ 21c   :  57d ; 
+ 21d   :  57d ; 
+ 21e   :  57d ; 
+ 21f   :  57e ; 
+ 220   :  57e ; 
+ 221   :  57e ; 
+ 222   :  57e ; 
+ 223   :  57e ; 
+ 224   :  57f ; 
+ 225   :  57f ; 
+ 226   :  57f ; 
+ 227   :  57f ; 
+ 228   :  57f ; 
+ 229   :  57f ; 
+ 22a   :  57f ; 
+ 22b   :  57f ; 
+ 22c   :  57f ; 
+ 22d   :  57f ; 
+ 22e   :  580 ; 
+ 22f   :  580 ; 
+ 230   :  580 ; 
+ 231   :  580 ; 
+ 232   :  580 ; 
+ 233   :  580 ; 
+ 234   :  57f ; 
+ 235   :  57f ; 
+ 236   :  57f ; 
+ 237   :  57f ; 
+ 238   :  57f ; 
+ 239   :  57f ; 
+ 23a   :  57f ; 
+ 23b   :  57f ; 
+ 23c   :  57f ; 
+ 23d   :  57f ; 
+ 23e   :  57e ; 
+ 23f   :  57e ; 
+ 240   :  57e ; 
+ 241   :  57e ; 
+ 242   :  57e ; 
+ 243   :  57d ; 
+ 244   :  57d ; 
+ 245   :  57d ; 
+ 246   :  57d ; 
+ 247   :  57c ; 
+ 248   :  57c ; 
+ 249   :  57c ; 
+ 24a   :  57b ; 
+ 24b   :  57b ; 
+ 24c   :  57b ; 
+ 24d   :  57a ; 
+ 24e   :  57a ; 
+ 24f   :  57a ; 
+ 250   :  579 ; 
+ 251   :  579 ; 
+ 252   :  578 ; 
+ 253   :  578 ; 
+ 254   :  578 ; 
+ 255   :  577 ; 
+ 256   :  577 ; 
+ 257   :  576 ; 
+ 258   :  576 ; 
+ 259   :  575 ; 
+ 25a   :  575 ; 
+ 25b   :  574 ; 
+ 25c   :  573 ; 
+ 25d   :  573 ; 
+ 25e   :  572 ; 
+ 25f   :  572 ; 
+ 260   :  571 ; 
+ 261   :  571 ; 
+ 262   :  570 ; 
+ 263   :  56f ; 
+ 264   :  56f ; 
+ 265   :  56e ; 
+ 266   :  56d ; 
+ 267   :  56d ; 
+ 268   :  56c ; 
+ 269   :  56b ; 
+ 26a   :  56a ; 
+ 26b   :  56a ; 
+ 26c   :  569 ; 
+ 26d   :  568 ; 
+ 26e   :  567 ; 
+ 26f   :  567 ; 
+ 270   :  566 ; 
+ 271   :  565 ; 
+ 272   :  564 ; 
+ 273   :  563 ; 
+ 274   :  562 ; 
+ 275   :  561 ; 
+ 276   :  561 ; 
+ 277   :  560 ; 
+ 278   :  55f ; 
+ 279   :  55e ; 
+ 27a   :  55d ; 
+ 27b   :  55c ; 
+ 27c   :  55b ; 
+ 27d   :  55a ; 
+ 27e   :  559 ; 
+ 27f   :  558 ; 
+ 280   :  557 ; 
+ 281   :  556 ; 
+ 282   :  555 ; 
+ 283   :  554 ; 
+ 284   :  553 ; 
+ 285   :  552 ; 
+ 286   :  551 ; 
+ 287   :  54f ; 
+ 288   :  54e ; 
+ 289   :  54d ; 
+ 28a   :  54c ; 
+ 28b   :  54b ; 
+ 28c   :  54a ; 
+ 28d   :  548 ; 
+ 28e   :  547 ; 
+ 28f   :  546 ; 
+ 290   :  545 ; 
+ 291   :  544 ; 
+ 292   :  542 ; 
+ 293   :  541 ; 
+ 294   :  540 ; 
+ 295   :  53e ; 
+ 296   :  53d ; 
+ 297   :  53c ; 
+ 298   :  53a ; 
+ 299   :  539 ; 
+ 29a   :  538 ; 
+ 29b   :  536 ; 
+ 29c   :  535 ; 
+ 29d   :  534 ; 
+ 29e   :  532 ; 
+ 29f   :  531 ; 
+ 2a0   :  52f ; 
+ 2a1   :  52e ; 
+ 2a2   :  52c ; 
+ 2a3   :  52b ; 
+ 2a4   :  529 ; 
+ 2a5   :  528 ; 
+ 2a6   :  526 ; 
+ 2a7   :  525 ; 
+ 2a8   :  523 ; 
+ 2a9   :  522 ; 
+ 2aa   :  520 ; 
+ 2ab   :  51e ; 
+ 2ac   :  51d ; 
+ 2ad   :  51b ; 
+ 2ae   :  51a ; 
+ 2af   :  518 ; 
+ 2b0   :  516 ; 
+ 2b1   :  515 ; 
+ 2b2   :  513 ; 
+ 2b3   :  511 ; 
+ 2b4   :  510 ; 
+ 2b5   :  50e ; 
+ 2b6   :  50c ; 
+ 2b7   :  50a ; 
+ 2b8   :  509 ; 
+ 2b9   :  507 ; 
+ 2ba   :  505 ; 
+ 2bb   :  503 ; 
+ 2bc   :  501 ; 
+ 2bd   :  500 ; 
+ 2be   :  4fe ; 
+ 2bf   :  4fc ; 
+ 2c0   :  4fa ; 
+ 2c1   :  4f8 ; 
+ 2c2   :  4f6 ; 
+ 2c3   :  4f4 ; 
+ 2c4   :  4f2 ; 
+ 2c5   :  4f0 ; 
+ 2c6   :  4ef ; 
+ 2c7   :  4ed ; 
+ 2c8   :  4eb ; 
+ 2c9   :  4e9 ; 
+ 2ca   :  4e7 ; 
+ 2cb   :  4e5 ; 
+ 2cc   :  4e3 ; 
+ 2cd   :  4e1 ; 
+ 2ce   :  4df ; 
+ 2cf   :  4dc ; 
+ 2d0   :  4da ; 
+ 2d1   :  4d8 ; 
+ 2d2   :  4d6 ; 
+ 2d3   :  4d4 ; 
+ 2d4   :  4d2 ; 
+ 2d5   :  4d0 ; 
+ 2d6   :  4ce ; 
+ 2d7   :  4cb ; 
+ 2d8   :  4c9 ; 
+ 2d9   :  4c7 ; 
+ 2da   :  4c5 ; 
+ 2db   :  4c3 ; 
+ 2dc   :  4c0 ; 
+ 2dd   :  4be ; 
+ 2de   :  4bc ; 
+ 2df   :  4ba ; 
+ 2e0   :  4b7 ; 
+ 2e1   :  4b5 ; 
+ 2e2   :  4b3 ; 
+ 2e3   :  4b0 ; 
+ 2e4   :  4ae ; 
+ 2e5   :  4ac ; 
+ 2e6   :  4a9 ; 
+ 2e7   :  4a7 ; 
+ 2e8   :  4a5 ; 
+ 2e9   :  4a2 ; 
+ 2ea   :  4a0 ; 
+ 2eb   :  49d ; 
+ 2ec   :  49b ; 
+ 2ed   :  499 ; 
+ 2ee   :  496 ; 
+ 2ef   :  494 ; 
+ 2f0   :  491 ; 
+ 2f1   :  48f ; 
+ 2f2   :  48c ; 
+ 2f3   :  48a ; 
+ 2f4   :  487 ; 
+ 2f5   :  484 ; 
+ 2f6   :  482 ; 
+ 2f7   :  47f ; 
+ 2f8   :  47d ; 
+ 2f9   :  47a ; 
+ 2fa   :  478 ; 
+ 2fb   :  475 ; 
+ 2fc   :  472 ; 
+ 2fd   :  470 ; 
+ 2fe   :  46d ; 
+ 2ff   :  46a ; 
+ 300   :  468 ; 
+ 301   :  465 ; 
+ 302   :  462 ; 
+ 303   :  45f ; 
+ 304   :  45d ; 
+ 305   :  45a ; 
+ 306   :  457 ; 
+ 307   :  454 ; 
+ 308   :  452 ; 
+ 309   :  44f ; 
+ 30a   :  44c ; 
+ 30b   :  449 ; 
+ 30c   :  446 ; 
+ 30d   :  443 ; 
+ 30e   :  441 ; 
+ 30f   :  43e ; 
+ 310   :  43b ; 
+ 311   :  438 ; 
+ 312   :  435 ; 
+ 313   :  432 ; 
+ 314   :  42f ; 
+ 315   :  42c ; 
+ 316   :  429 ; 
+ 317   :  426 ; 
+ 318   :  423 ; 
+ 319   :  420 ; 
+ 31a   :  41d ; 
+ 31b   :  41a ; 
+ 31c   :  417 ; 
+ 31d   :  414 ; 
+ 31e   :  411 ; 
+ 31f   :  40e ; 
+ 320   :  40b ; 
+ 321   :  408 ; 
+ 322   :  405 ; 
+ 323   :  402 ; 
+ 324   :  3fe ; 
+ 325   :  3fb ; 
+ 326   :  3f8 ; 
+ 327   :  3f5 ; 
+ 328   :  3f2 ; 
+ 329   :  3ef ; 
+ 32a   :  3eb ; 
+ 32b   :  3e8 ; 
+ 32c   :  3e5 ; 
+ 32d   :  3e2 ; 
+ 32e   :  3de ; 
+ 32f   :  3db ; 
+ 330   :  3d8 ; 
+ 331   :  3d5 ; 
+ 332   :  3d1 ; 
+ 333   :  3ce ; 
+ 334   :  3cb ; 
+ 335   :  3c7 ; 
+ 336   :  3c4 ; 
+ 337   :  3c1 ; 
+ 338   :  3bd ; 
+ 339   :  3ba ; 
+ 33a   :  3b7 ; 
+ 33b   :  3b3 ; 
+ 33c   :  3b0 ; 
+ 33d   :  3ac ; 
+ 33e   :  3a9 ; 
+ 33f   :  3a5 ; 
+ 340   :  3a2 ; 
+ 341   :  39e ; 
+ 342   :  39b ; 
+ 343   :  397 ; 
+ 344   :  394 ; 
+ 345   :  390 ; 
+ 346   :  38d ; 
+ 347   :  389 ; 
+ 348   :  386 ; 
+ 349   :  382 ; 
+ 34a   :  37f ; 
+ 34b   :  37b ; 
+ 34c   :  377 ; 
+ 34d   :  374 ; 
+ 34e   :  370 ; 
+ 34f   :  36d ; 
+ 350   :  369 ; 
+ 351   :  365 ; 
+ 352   :  362 ; 
+ 353   :  35e ; 
+ 354   :  35a ; 
+ 355   :  357 ; 
+ 356   :  353 ; 
+ 357   :  34f ; 
+ 358   :  34b ; 
+ 359   :  348 ; 
+ 35a   :  344 ; 
+ 35b   :  340 ; 
+ 35c   :  33c ; 
+ 35d   :  339 ; 
+ 35e   :  335 ; 
+ 35f   :  331 ; 
+ 360   :  32d ; 
+ 361   :  329 ; 
+ 362   :  326 ; 
+ 363   :  322 ; 
+ 364   :  31e ; 
+ 365   :  31a ; 
+ 366   :  316 ; 
+ 367   :  312 ; 
+ 368   :  30e ; 
+ 369   :  30a ; 
+ 36a   :  306 ; 
+ 36b   :  303 ; 
+ 36c   :  2ff ; 
+ 36d   :  2fb ; 
+ 36e   :  2f7 ; 
+ 36f   :  2f3 ; 
+ 370   :  2ef ; 
+ 371   :  2eb ; 
+ 372   :  2e7 ; 
+ 373   :  2e3 ; 
+ 374   :  2df ; 
+ 375   :  2db ; 
+ 376   :  2d7 ; 
+ 377   :  2d3 ; 
+ 378   :  2ce ; 
+ 379   :  2ca ; 
+ 37a   :  2c6 ; 
+ 37b   :  2c2 ; 
+ 37c   :  2be ; 
+ 37d   :  2ba ; 
+ 37e   :  2b6 ; 
+ 37f   :  2b2 ; 
+ 380   :  2ae ; 
+ 381   :  2a9 ; 
+ 382   :  2a5 ; 
+ 383   :  2a1 ; 
+ 384   :  29d ; 
+ 385   :  299 ; 
+ 386   :  294 ; 
+ 387   :  290 ; 
+ 388   :  28c ; 
+ 389   :  288 ; 
+ 38a   :  284 ; 
+ 38b   :  27f ; 
+ 38c   :  27b ; 
+ 38d   :  277 ; 
+ 38e   :  272 ; 
+ 38f   :  26e ; 
+ 390   :  26a ; 
+ 391   :  266 ; 
+ 392   :  261 ; 
+ 393   :  25d ; 
+ 394   :  259 ; 
+ 395   :  254 ; 
+ 396   :  250 ; 
+ 397   :  24c ; 
+ 398   :  247 ; 
+ 399   :  243 ; 
+ 39a   :  23e ; 
+ 39b   :  23a ; 
+ 39c   :  236 ; 
+ 39d   :  231 ; 
+ 39e   :  22d ; 
+ 39f   :  228 ; 
+ 3a0   :  224 ; 
+ 3a1   :  21f ; 
+ 3a2   :  21b ; 
+ 3a3   :  216 ; 
+ 3a4   :  212 ; 
+ 3a5   :  20d ; 
+ 3a6   :  209 ; 
+ 3a7   :  204 ; 
+ 3a8   :  200 ; 
+ 3a9   :  1fb ; 
+ 3aa   :  1f7 ; 
+ 3ab   :  1f2 ; 
+ 3ac   :  1ee ; 
+ 3ad   :  1e9 ; 
+ 3ae   :  1e5 ; 
+ 3af   :  1e0 ; 
+ 3b0   :  1db ; 
+ 3b1   :  1d7 ; 
+ 3b2   :  1d2 ; 
+ 3b3   :  1ce ; 
+ 3b4   :  1c9 ; 
+ 3b5   :  1c4 ; 
+ 3b6   :  1c0 ; 
+ 3b7   :  1bb ; 
+ 3b8   :  1b6 ; 
+ 3b9   :  1b2 ; 
+ 3ba   :  1ad ; 
+ 3bb   :  1a8 ; 
+ 3bc   :  1a4 ; 
+ 3bd   :  19f ; 
+ 3be   :  19a ; 
+ 3bf   :  196 ; 
+ 3c0   :  191 ; 
+ 3c1   :  18c ; 
+ 3c2   :  188 ; 
+ 3c3   :  183 ; 
+ 3c4   :  17e ; 
+ 3c5   :  179 ; 
+ 3c6   :  175 ; 
+ 3c7   :  170 ; 
+ 3c8   :  16b ; 
+ 3c9   :  166 ; 
+ 3ca   :  161 ; 
+ 3cb   :  15d ; 
+ 3cc   :  158 ; 
+ 3cd   :  153 ; 
+ 3ce   :  14e ; 
+ 3cf   :  149 ; 
+ 3d0   :  145 ; 
+ 3d1   :  140 ; 
+ 3d2   :  13b ; 
+ 3d3   :  136 ; 
+ 3d4   :  131 ; 
+ 3d5   :  12c ; 
+ 3d6   :  127 ; 
+ 3d7   :  123 ; 
+ 3d8   :  11e ; 
+ 3d9   :  119 ; 
+ 3da   :  114 ; 
+ 3db   :  10f ; 
+ 3dc   :  10a ; 
+ 3dd   :  105 ; 
+ 3de   :  100 ; 
+ 3df   :  fb ; 
+ 3e0   :  f6 ; 
+ 3e1   :  f1 ; 
+ 3e2   :  ec ; 
+ 3e3   :  e7 ; 
+ 3e4   :  e2 ; 
+ 3e5   :  dd ; 
+ 3e6   :  d8 ; 
+ 3e7   :  d3 ; 
+ 3e8   :  ce ; 
+ 3e9   :  c9 ; 
+ 3ea   :  c4 ; 
+ 3eb   :  bf ; 
+ 3ec   :  ba ; 
+ 3ed   :  b5 ; 
+ 3ee   :  b0 ; 
+ 3ef   :  ab ; 
+ 3f0   :  a6 ; 
+ 3f1   :  a1 ; 
+ 3f2   :  9c ; 
+ 3f3   :  97 ; 
+ 3f4   :  92 ; 
+ 3f5   :  8d ; 
+ 3f6   :  88 ; 
+ 3f7   :  83 ; 
+ 3f8   :  7e ; 
+ 3f9   :  79 ; 
+ 3fa   :  74 ; 
+ 3fb   :  6e ; 
+ 3fc   :  69 ; 
+ 3fd   :  64 ; 
+ 3fe   :  5f ; 
+ 3ff   :  5a ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_13.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_13.mif
new file mode 100644
index 0000000000000000000000000000000000000000..d083bc245d99fad736c779d4555b63db655eabdf
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_13.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  fedc ; 
+ 1   :  feda ; 
+ 2   :  fed8 ; 
+ 3   :  fed6 ; 
+ 4   :  fed4 ; 
+ 5   :  fed2 ; 
+ 6   :  fed1 ; 
+ 7   :  fecf ; 
+ 8   :  fecd ; 
+ 9   :  fecb ; 
+ a   :  fec9 ; 
+ b   :  fec7 ; 
+ c   :  fec5 ; 
+ d   :  fec3 ; 
+ e   :  fec1 ; 
+ f   :  febf ; 
+ 10   :  febe ; 
+ 11   :  febc ; 
+ 12   :  feba ; 
+ 13   :  feb8 ; 
+ 14   :  feb6 ; 
+ 15   :  feb4 ; 
+ 16   :  feb2 ; 
+ 17   :  feb0 ; 
+ 18   :  feae ; 
+ 19   :  feac ; 
+ 1a   :  feab ; 
+ 1b   :  fea9 ; 
+ 1c   :  fea7 ; 
+ 1d   :  fea5 ; 
+ 1e   :  fea3 ; 
+ 1f   :  fea1 ; 
+ 20   :  fe9f ; 
+ 21   :  fe9d ; 
+ 22   :  fe9b ; 
+ 23   :  fe9a ; 
+ 24   :  fe98 ; 
+ 25   :  fe96 ; 
+ 26   :  fe94 ; 
+ 27   :  fe92 ; 
+ 28   :  fe90 ; 
+ 29   :  fe8e ; 
+ 2a   :  fe8c ; 
+ 2b   :  fe8b ; 
+ 2c   :  fe89 ; 
+ 2d   :  fe87 ; 
+ 2e   :  fe85 ; 
+ 2f   :  fe83 ; 
+ 30   :  fe81 ; 
+ 31   :  fe7f ; 
+ 32   :  fe7d ; 
+ 33   :  fe7b ; 
+ 34   :  fe7a ; 
+ 35   :  fe78 ; 
+ 36   :  fe76 ; 
+ 37   :  fe74 ; 
+ 38   :  fe72 ; 
+ 39   :  fe70 ; 
+ 3a   :  fe6e ; 
+ 3b   :  fe6c ; 
+ 3c   :  fe6b ; 
+ 3d   :  fe69 ; 
+ 3e   :  fe67 ; 
+ 3f   :  fe65 ; 
+ 40   :  fe63 ; 
+ 41   :  fe61 ; 
+ 42   :  fe5f ; 
+ 43   :  fe5e ; 
+ 44   :  fe5c ; 
+ 45   :  fe5a ; 
+ 46   :  fe58 ; 
+ 47   :  fe56 ; 
+ 48   :  fe54 ; 
+ 49   :  fe52 ; 
+ 4a   :  fe51 ; 
+ 4b   :  fe4f ; 
+ 4c   :  fe4d ; 
+ 4d   :  fe4b ; 
+ 4e   :  fe49 ; 
+ 4f   :  fe47 ; 
+ 50   :  fe45 ; 
+ 51   :  fe44 ; 
+ 52   :  fe42 ; 
+ 53   :  fe40 ; 
+ 54   :  fe3e ; 
+ 55   :  fe3c ; 
+ 56   :  fe3a ; 
+ 57   :  fe39 ; 
+ 58   :  fe37 ; 
+ 59   :  fe35 ; 
+ 5a   :  fe33 ; 
+ 5b   :  fe31 ; 
+ 5c   :  fe2f ; 
+ 5d   :  fe2e ; 
+ 5e   :  fe2c ; 
+ 5f   :  fe2a ; 
+ 60   :  fe28 ; 
+ 61   :  fe26 ; 
+ 62   :  fe25 ; 
+ 63   :  fe23 ; 
+ 64   :  fe21 ; 
+ 65   :  fe1f ; 
+ 66   :  fe1d ; 
+ 67   :  fe1b ; 
+ 68   :  fe1a ; 
+ 69   :  fe18 ; 
+ 6a   :  fe16 ; 
+ 6b   :  fe14 ; 
+ 6c   :  fe12 ; 
+ 6d   :  fe11 ; 
+ 6e   :  fe0f ; 
+ 6f   :  fe0d ; 
+ 70   :  fe0b ; 
+ 71   :  fe09 ; 
+ 72   :  fe08 ; 
+ 73   :  fe06 ; 
+ 74   :  fe04 ; 
+ 75   :  fe02 ; 
+ 76   :  fe00 ; 
+ 77   :  fdff ; 
+ 78   :  fdfd ; 
+ 79   :  fdfb ; 
+ 7a   :  fdf9 ; 
+ 7b   :  fdf8 ; 
+ 7c   :  fdf6 ; 
+ 7d   :  fdf4 ; 
+ 7e   :  fdf2 ; 
+ 7f   :  fdf0 ; 
+ 80   :  fdef ; 
+ 81   :  fded ; 
+ 82   :  fdeb ; 
+ 83   :  fde9 ; 
+ 84   :  fde8 ; 
+ 85   :  fde6 ; 
+ 86   :  fde4 ; 
+ 87   :  fde2 ; 
+ 88   :  fde1 ; 
+ 89   :  fddf ; 
+ 8a   :  fddd ; 
+ 8b   :  fddb ; 
+ 8c   :  fdda ; 
+ 8d   :  fdd8 ; 
+ 8e   :  fdd6 ; 
+ 8f   :  fdd5 ; 
+ 90   :  fdd3 ; 
+ 91   :  fdd1 ; 
+ 92   :  fdcf ; 
+ 93   :  fdce ; 
+ 94   :  fdcc ; 
+ 95   :  fdca ; 
+ 96   :  fdc8 ; 
+ 97   :  fdc7 ; 
+ 98   :  fdc5 ; 
+ 99   :  fdc3 ; 
+ 9a   :  fdc2 ; 
+ 9b   :  fdc0 ; 
+ 9c   :  fdbe ; 
+ 9d   :  fdbd ; 
+ 9e   :  fdbb ; 
+ 9f   :  fdb9 ; 
+ a0   :  fdb7 ; 
+ a1   :  fdb6 ; 
+ a2   :  fdb4 ; 
+ a3   :  fdb2 ; 
+ a4   :  fdb1 ; 
+ a5   :  fdaf ; 
+ a6   :  fdad ; 
+ a7   :  fdac ; 
+ a8   :  fdaa ; 
+ a9   :  fda8 ; 
+ aa   :  fda7 ; 
+ ab   :  fda5 ; 
+ ac   :  fda3 ; 
+ ad   :  fda2 ; 
+ ae   :  fda0 ; 
+ af   :  fd9e ; 
+ b0   :  fd9d ; 
+ b1   :  fd9b ; 
+ b2   :  fd99 ; 
+ b3   :  fd98 ; 
+ b4   :  fd96 ; 
+ b5   :  fd95 ; 
+ b6   :  fd93 ; 
+ b7   :  fd91 ; 
+ b8   :  fd90 ; 
+ b9   :  fd8e ; 
+ ba   :  fd8c ; 
+ bb   :  fd8b ; 
+ bc   :  fd89 ; 
+ bd   :  fd88 ; 
+ be   :  fd86 ; 
+ bf   :  fd84 ; 
+ c0   :  fd83 ; 
+ c1   :  fd81 ; 
+ c2   :  fd80 ; 
+ c3   :  fd7e ; 
+ c4   :  fd7c ; 
+ c5   :  fd7b ; 
+ c6   :  fd79 ; 
+ c7   :  fd78 ; 
+ c8   :  fd76 ; 
+ c9   :  fd75 ; 
+ ca   :  fd73 ; 
+ cb   :  fd71 ; 
+ cc   :  fd70 ; 
+ cd   :  fd6e ; 
+ ce   :  fd6d ; 
+ cf   :  fd6b ; 
+ d0   :  fd6a ; 
+ d1   :  fd68 ; 
+ d2   :  fd67 ; 
+ d3   :  fd65 ; 
+ d4   :  fd63 ; 
+ d5   :  fd62 ; 
+ d6   :  fd60 ; 
+ d7   :  fd5f ; 
+ d8   :  fd5d ; 
+ d9   :  fd5c ; 
+ da   :  fd5a ; 
+ db   :  fd59 ; 
+ dc   :  fd57 ; 
+ dd   :  fd56 ; 
+ de   :  fd54 ; 
+ df   :  fd53 ; 
+ e0   :  fd51 ; 
+ e1   :  fd50 ; 
+ e2   :  fd4e ; 
+ e3   :  fd4d ; 
+ e4   :  fd4b ; 
+ e5   :  fd4a ; 
+ e6   :  fd48 ; 
+ e7   :  fd47 ; 
+ e8   :  fd46 ; 
+ e9   :  fd44 ; 
+ ea   :  fd43 ; 
+ eb   :  fd41 ; 
+ ec   :  fd40 ; 
+ ed   :  fd3e ; 
+ ee   :  fd3d ; 
+ ef   :  fd3b ; 
+ f0   :  fd3a ; 
+ f1   :  fd39 ; 
+ f2   :  fd37 ; 
+ f3   :  fd36 ; 
+ f4   :  fd34 ; 
+ f5   :  fd33 ; 
+ f6   :  fd31 ; 
+ f7   :  fd30 ; 
+ f8   :  fd2f ; 
+ f9   :  fd2d ; 
+ fa   :  fd2c ; 
+ fb   :  fd2a ; 
+ fc   :  fd29 ; 
+ fd   :  fd28 ; 
+ fe   :  fd26 ; 
+ ff   :  fd25 ; 
+ 100   :  fd24 ; 
+ 101   :  fd22 ; 
+ 102   :  fd21 ; 
+ 103   :  fd1f ; 
+ 104   :  fd1e ; 
+ 105   :  fd1d ; 
+ 106   :  fd1b ; 
+ 107   :  fd1a ; 
+ 108   :  fd19 ; 
+ 109   :  fd17 ; 
+ 10a   :  fd16 ; 
+ 10b   :  fd15 ; 
+ 10c   :  fd13 ; 
+ 10d   :  fd12 ; 
+ 10e   :  fd11 ; 
+ 10f   :  fd10 ; 
+ 110   :  fd0e ; 
+ 111   :  fd0d ; 
+ 112   :  fd0c ; 
+ 113   :  fd0a ; 
+ 114   :  fd09 ; 
+ 115   :  fd08 ; 
+ 116   :  fd07 ; 
+ 117   :  fd05 ; 
+ 118   :  fd04 ; 
+ 119   :  fd03 ; 
+ 11a   :  fd01 ; 
+ 11b   :  fd00 ; 
+ 11c   :  fcff ; 
+ 11d   :  fcfe ; 
+ 11e   :  fcfc ; 
+ 11f   :  fcfb ; 
+ 120   :  fcfa ; 
+ 121   :  fcf9 ; 
+ 122   :  fcf8 ; 
+ 123   :  fcf6 ; 
+ 124   :  fcf5 ; 
+ 125   :  fcf4 ; 
+ 126   :  fcf3 ; 
+ 127   :  fcf2 ; 
+ 128   :  fcf0 ; 
+ 129   :  fcef ; 
+ 12a   :  fcee ; 
+ 12b   :  fced ; 
+ 12c   :  fcec ; 
+ 12d   :  fcea ; 
+ 12e   :  fce9 ; 
+ 12f   :  fce8 ; 
+ 130   :  fce7 ; 
+ 131   :  fce6 ; 
+ 132   :  fce5 ; 
+ 133   :  fce4 ; 
+ 134   :  fce2 ; 
+ 135   :  fce1 ; 
+ 136   :  fce0 ; 
+ 137   :  fcdf ; 
+ 138   :  fcde ; 
+ 139   :  fcdd ; 
+ 13a   :  fcdc ; 
+ 13b   :  fcdb ; 
+ 13c   :  fcda ; 
+ 13d   :  fcd8 ; 
+ 13e   :  fcd7 ; 
+ 13f   :  fcd6 ; 
+ 140   :  fcd5 ; 
+ 141   :  fcd4 ; 
+ 142   :  fcd3 ; 
+ 143   :  fcd2 ; 
+ 144   :  fcd1 ; 
+ 145   :  fcd0 ; 
+ 146   :  fccf ; 
+ 147   :  fcce ; 
+ 148   :  fccd ; 
+ 149   :  fccc ; 
+ 14a   :  fccb ; 
+ 14b   :  fcca ; 
+ 14c   :  fcc9 ; 
+ 14d   :  fcc8 ; 
+ 14e   :  fcc7 ; 
+ 14f   :  fcc6 ; 
+ 150   :  fcc5 ; 
+ 151   :  fcc4 ; 
+ 152   :  fcc3 ; 
+ 153   :  fcc2 ; 
+ 154   :  fcc1 ; 
+ 155   :  fcc0 ; 
+ 156   :  fcbf ; 
+ 157   :  fcbe ; 
+ 158   :  fcbd ; 
+ 159   :  fcbc ; 
+ 15a   :  fcbb ; 
+ 15b   :  fcba ; 
+ 15c   :  fcb9 ; 
+ 15d   :  fcb8 ; 
+ 15e   :  fcb7 ; 
+ 15f   :  fcb6 ; 
+ 160   :  fcb6 ; 
+ 161   :  fcb5 ; 
+ 162   :  fcb4 ; 
+ 163   :  fcb3 ; 
+ 164   :  fcb2 ; 
+ 165   :  fcb1 ; 
+ 166   :  fcb0 ; 
+ 167   :  fcaf ; 
+ 168   :  fcaf ; 
+ 169   :  fcae ; 
+ 16a   :  fcad ; 
+ 16b   :  fcac ; 
+ 16c   :  fcab ; 
+ 16d   :  fcaa ; 
+ 16e   :  fcaa ; 
+ 16f   :  fca9 ; 
+ 170   :  fca8 ; 
+ 171   :  fca7 ; 
+ 172   :  fca6 ; 
+ 173   :  fca5 ; 
+ 174   :  fca5 ; 
+ 175   :  fca4 ; 
+ 176   :  fca3 ; 
+ 177   :  fca2 ; 
+ 178   :  fca2 ; 
+ 179   :  fca1 ; 
+ 17a   :  fca0 ; 
+ 17b   :  fc9f ; 
+ 17c   :  fc9f ; 
+ 17d   :  fc9e ; 
+ 17e   :  fc9d ; 
+ 17f   :  fc9c ; 
+ 180   :  fc9c ; 
+ 181   :  fc9b ; 
+ 182   :  fc9a ; 
+ 183   :  fc9a ; 
+ 184   :  fc99 ; 
+ 185   :  fc98 ; 
+ 186   :  fc97 ; 
+ 187   :  fc97 ; 
+ 188   :  fc96 ; 
+ 189   :  fc95 ; 
+ 18a   :  fc95 ; 
+ 18b   :  fc94 ; 
+ 18c   :  fc93 ; 
+ 18d   :  fc93 ; 
+ 18e   :  fc92 ; 
+ 18f   :  fc92 ; 
+ 190   :  fc91 ; 
+ 191   :  fc90 ; 
+ 192   :  fc90 ; 
+ 193   :  fc8f ; 
+ 194   :  fc8e ; 
+ 195   :  fc8e ; 
+ 196   :  fc8d ; 
+ 197   :  fc8d ; 
+ 198   :  fc8c ; 
+ 199   :  fc8c ; 
+ 19a   :  fc8b ; 
+ 19b   :  fc8a ; 
+ 19c   :  fc8a ; 
+ 19d   :  fc89 ; 
+ 19e   :  fc89 ; 
+ 19f   :  fc88 ; 
+ 1a0   :  fc88 ; 
+ 1a1   :  fc87 ; 
+ 1a2   :  fc87 ; 
+ 1a3   :  fc86 ; 
+ 1a4   :  fc86 ; 
+ 1a5   :  fc85 ; 
+ 1a6   :  fc85 ; 
+ 1a7   :  fc84 ; 
+ 1a8   :  fc84 ; 
+ 1a9   :  fc83 ; 
+ 1aa   :  fc83 ; 
+ 1ab   :  fc82 ; 
+ 1ac   :  fc82 ; 
+ 1ad   :  fc82 ; 
+ 1ae   :  fc81 ; 
+ 1af   :  fc81 ; 
+ 1b0   :  fc80 ; 
+ 1b1   :  fc80 ; 
+ 1b2   :  fc7f ; 
+ 1b3   :  fc7f ; 
+ 1b4   :  fc7f ; 
+ 1b5   :  fc7e ; 
+ 1b6   :  fc7e ; 
+ 1b7   :  fc7e ; 
+ 1b8   :  fc7d ; 
+ 1b9   :  fc7d ; 
+ 1ba   :  fc7c ; 
+ 1bb   :  fc7c ; 
+ 1bc   :  fc7c ; 
+ 1bd   :  fc7b ; 
+ 1be   :  fc7b ; 
+ 1bf   :  fc7b ; 
+ 1c0   :  fc7a ; 
+ 1c1   :  fc7a ; 
+ 1c2   :  fc7a ; 
+ 1c3   :  fc7a ; 
+ 1c4   :  fc79 ; 
+ 1c5   :  fc79 ; 
+ 1c6   :  fc79 ; 
+ 1c7   :  fc78 ; 
+ 1c8   :  fc78 ; 
+ 1c9   :  fc78 ; 
+ 1ca   :  fc78 ; 
+ 1cb   :  fc77 ; 
+ 1cc   :  fc77 ; 
+ 1cd   :  fc77 ; 
+ 1ce   :  fc77 ; 
+ 1cf   :  fc76 ; 
+ 1d0   :  fc76 ; 
+ 1d1   :  fc76 ; 
+ 1d2   :  fc76 ; 
+ 1d3   :  fc76 ; 
+ 1d4   :  fc76 ; 
+ 1d5   :  fc75 ; 
+ 1d6   :  fc75 ; 
+ 1d7   :  fc75 ; 
+ 1d8   :  fc75 ; 
+ 1d9   :  fc75 ; 
+ 1da   :  fc75 ; 
+ 1db   :  fc74 ; 
+ 1dc   :  fc74 ; 
+ 1dd   :  fc74 ; 
+ 1de   :  fc74 ; 
+ 1df   :  fc74 ; 
+ 1e0   :  fc74 ; 
+ 1e1   :  fc74 ; 
+ 1e2   :  fc74 ; 
+ 1e3   :  fc74 ; 
+ 1e4   :  fc74 ; 
+ 1e5   :  fc73 ; 
+ 1e6   :  fc73 ; 
+ 1e7   :  fc73 ; 
+ 1e8   :  fc73 ; 
+ 1e9   :  fc73 ; 
+ 1ea   :  fc73 ; 
+ 1eb   :  fc73 ; 
+ 1ec   :  fc73 ; 
+ 1ed   :  fc73 ; 
+ 1ee   :  fc73 ; 
+ 1ef   :  fc73 ; 
+ 1f0   :  fc73 ; 
+ 1f1   :  fc73 ; 
+ 1f2   :  fc73 ; 
+ 1f3   :  fc73 ; 
+ 1f4   :  fc73 ; 
+ 1f5   :  fc73 ; 
+ 1f6   :  fc73 ; 
+ 1f7   :  fc74 ; 
+ 1f8   :  fc74 ; 
+ 1f9   :  fc74 ; 
+ 1fa   :  fc74 ; 
+ 1fb   :  fc74 ; 
+ 1fc   :  fc74 ; 
+ 1fd   :  fc74 ; 
+ 1fe   :  fc74 ; 
+ 1ff   :  fc74 ; 
+ 200   :  fc74 ; 
+ 201   :  fc75 ; 
+ 202   :  fc75 ; 
+ 203   :  fc75 ; 
+ 204   :  fc75 ; 
+ 205   :  fc75 ; 
+ 206   :  fc75 ; 
+ 207   :  fc76 ; 
+ 208   :  fc76 ; 
+ 209   :  fc76 ; 
+ 20a   :  fc76 ; 
+ 20b   :  fc76 ; 
+ 20c   :  fc77 ; 
+ 20d   :  fc77 ; 
+ 20e   :  fc77 ; 
+ 20f   :  fc77 ; 
+ 210   :  fc78 ; 
+ 211   :  fc78 ; 
+ 212   :  fc78 ; 
+ 213   :  fc78 ; 
+ 214   :  fc79 ; 
+ 215   :  fc79 ; 
+ 216   :  fc79 ; 
+ 217   :  fc79 ; 
+ 218   :  fc7a ; 
+ 219   :  fc7a ; 
+ 21a   :  fc7a ; 
+ 21b   :  fc7b ; 
+ 21c   :  fc7b ; 
+ 21d   :  fc7b ; 
+ 21e   :  fc7c ; 
+ 21f   :  fc7c ; 
+ 220   :  fc7c ; 
+ 221   :  fc7d ; 
+ 222   :  fc7d ; 
+ 223   :  fc7e ; 
+ 224   :  fc7e ; 
+ 225   :  fc7e ; 
+ 226   :  fc7f ; 
+ 227   :  fc7f ; 
+ 228   :  fc80 ; 
+ 229   :  fc80 ; 
+ 22a   :  fc80 ; 
+ 22b   :  fc81 ; 
+ 22c   :  fc81 ; 
+ 22d   :  fc82 ; 
+ 22e   :  fc82 ; 
+ 22f   :  fc83 ; 
+ 230   :  fc83 ; 
+ 231   :  fc84 ; 
+ 232   :  fc84 ; 
+ 233   :  fc85 ; 
+ 234   :  fc85 ; 
+ 235   :  fc86 ; 
+ 236   :  fc86 ; 
+ 237   :  fc87 ; 
+ 238   :  fc87 ; 
+ 239   :  fc88 ; 
+ 23a   :  fc88 ; 
+ 23b   :  fc89 ; 
+ 23c   :  fc8a ; 
+ 23d   :  fc8a ; 
+ 23e   :  fc8b ; 
+ 23f   :  fc8b ; 
+ 240   :  fc8c ; 
+ 241   :  fc8d ; 
+ 242   :  fc8d ; 
+ 243   :  fc8e ; 
+ 244   :  fc8e ; 
+ 245   :  fc8f ; 
+ 246   :  fc90 ; 
+ 247   :  fc90 ; 
+ 248   :  fc91 ; 
+ 249   :  fc92 ; 
+ 24a   :  fc92 ; 
+ 24b   :  fc93 ; 
+ 24c   :  fc94 ; 
+ 24d   :  fc94 ; 
+ 24e   :  fc95 ; 
+ 24f   :  fc96 ; 
+ 250   :  fc97 ; 
+ 251   :  fc97 ; 
+ 252   :  fc98 ; 
+ 253   :  fc99 ; 
+ 254   :  fc9a ; 
+ 255   :  fc9a ; 
+ 256   :  fc9b ; 
+ 257   :  fc9c ; 
+ 258   :  fc9d ; 
+ 259   :  fc9d ; 
+ 25a   :  fc9e ; 
+ 25b   :  fc9f ; 
+ 25c   :  fca0 ; 
+ 25d   :  fca1 ; 
+ 25e   :  fca2 ; 
+ 25f   :  fca2 ; 
+ 260   :  fca3 ; 
+ 261   :  fca4 ; 
+ 262   :  fca5 ; 
+ 263   :  fca6 ; 
+ 264   :  fca7 ; 
+ 265   :  fca8 ; 
+ 266   :  fca8 ; 
+ 267   :  fca9 ; 
+ 268   :  fcaa ; 
+ 269   :  fcab ; 
+ 26a   :  fcac ; 
+ 26b   :  fcad ; 
+ 26c   :  fcae ; 
+ 26d   :  fcaf ; 
+ 26e   :  fcb0 ; 
+ 26f   :  fcb1 ; 
+ 270   :  fcb2 ; 
+ 271   :  fcb3 ; 
+ 272   :  fcb4 ; 
+ 273   :  fcb5 ; 
+ 274   :  fcb6 ; 
+ 275   :  fcb7 ; 
+ 276   :  fcb8 ; 
+ 277   :  fcb9 ; 
+ 278   :  fcba ; 
+ 279   :  fcbb ; 
+ 27a   :  fcbc ; 
+ 27b   :  fcbd ; 
+ 27c   :  fcbe ; 
+ 27d   :  fcbf ; 
+ 27e   :  fcc0 ; 
+ 27f   :  fcc1 ; 
+ 280   :  fcc2 ; 
+ 281   :  fcc3 ; 
+ 282   :  fcc4 ; 
+ 283   :  fcc6 ; 
+ 284   :  fcc7 ; 
+ 285   :  fcc8 ; 
+ 286   :  fcc9 ; 
+ 287   :  fcca ; 
+ 288   :  fccb ; 
+ 289   :  fccc ; 
+ 28a   :  fcce ; 
+ 28b   :  fccf ; 
+ 28c   :  fcd0 ; 
+ 28d   :  fcd1 ; 
+ 28e   :  fcd2 ; 
+ 28f   :  fcd3 ; 
+ 290   :  fcd5 ; 
+ 291   :  fcd6 ; 
+ 292   :  fcd7 ; 
+ 293   :  fcd8 ; 
+ 294   :  fcda ; 
+ 295   :  fcdb ; 
+ 296   :  fcdc ; 
+ 297   :  fcdd ; 
+ 298   :  fcdf ; 
+ 299   :  fce0 ; 
+ 29a   :  fce1 ; 
+ 29b   :  fce2 ; 
+ 29c   :  fce4 ; 
+ 29d   :  fce5 ; 
+ 29e   :  fce6 ; 
+ 29f   :  fce8 ; 
+ 2a0   :  fce9 ; 
+ 2a1   :  fcea ; 
+ 2a2   :  fcec ; 
+ 2a3   :  fced ; 
+ 2a4   :  fcee ; 
+ 2a5   :  fcf0 ; 
+ 2a6   :  fcf1 ; 
+ 2a7   :  fcf3 ; 
+ 2a8   :  fcf4 ; 
+ 2a9   :  fcf5 ; 
+ 2aa   :  fcf7 ; 
+ 2ab   :  fcf8 ; 
+ 2ac   :  fcfa ; 
+ 2ad   :  fcfb ; 
+ 2ae   :  fcfc ; 
+ 2af   :  fcfe ; 
+ 2b0   :  fcff ; 
+ 2b1   :  fd01 ; 
+ 2b2   :  fd02 ; 
+ 2b3   :  fd04 ; 
+ 2b4   :  fd05 ; 
+ 2b5   :  fd07 ; 
+ 2b6   :  fd08 ; 
+ 2b7   :  fd0a ; 
+ 2b8   :  fd0b ; 
+ 2b9   :  fd0d ; 
+ 2ba   :  fd0e ; 
+ 2bb   :  fd10 ; 
+ 2bc   :  fd11 ; 
+ 2bd   :  fd13 ; 
+ 2be   :  fd14 ; 
+ 2bf   :  fd16 ; 
+ 2c0   :  fd18 ; 
+ 2c1   :  fd19 ; 
+ 2c2   :  fd1b ; 
+ 2c3   :  fd1c ; 
+ 2c4   :  fd1e ; 
+ 2c5   :  fd20 ; 
+ 2c6   :  fd21 ; 
+ 2c7   :  fd23 ; 
+ 2c8   :  fd24 ; 
+ 2c9   :  fd26 ; 
+ 2ca   :  fd28 ; 
+ 2cb   :  fd29 ; 
+ 2cc   :  fd2b ; 
+ 2cd   :  fd2d ; 
+ 2ce   :  fd2e ; 
+ 2cf   :  fd30 ; 
+ 2d0   :  fd32 ; 
+ 2d1   :  fd33 ; 
+ 2d2   :  fd35 ; 
+ 2d3   :  fd37 ; 
+ 2d4   :  fd39 ; 
+ 2d5   :  fd3a ; 
+ 2d6   :  fd3c ; 
+ 2d7   :  fd3e ; 
+ 2d8   :  fd3f ; 
+ 2d9   :  fd41 ; 
+ 2da   :  fd43 ; 
+ 2db   :  fd45 ; 
+ 2dc   :  fd47 ; 
+ 2dd   :  fd48 ; 
+ 2de   :  fd4a ; 
+ 2df   :  fd4c ; 
+ 2e0   :  fd4e ; 
+ 2e1   :  fd4f ; 
+ 2e2   :  fd51 ; 
+ 2e3   :  fd53 ; 
+ 2e4   :  fd55 ; 
+ 2e5   :  fd57 ; 
+ 2e6   :  fd59 ; 
+ 2e7   :  fd5b ; 
+ 2e8   :  fd5c ; 
+ 2e9   :  fd5e ; 
+ 2ea   :  fd60 ; 
+ 2eb   :  fd62 ; 
+ 2ec   :  fd64 ; 
+ 2ed   :  fd66 ; 
+ 2ee   :  fd68 ; 
+ 2ef   :  fd6a ; 
+ 2f0   :  fd6c ; 
+ 2f1   :  fd6d ; 
+ 2f2   :  fd6f ; 
+ 2f3   :  fd71 ; 
+ 2f4   :  fd73 ; 
+ 2f5   :  fd75 ; 
+ 2f6   :  fd77 ; 
+ 2f7   :  fd79 ; 
+ 2f8   :  fd7b ; 
+ 2f9   :  fd7d ; 
+ 2fa   :  fd7f ; 
+ 2fb   :  fd81 ; 
+ 2fc   :  fd83 ; 
+ 2fd   :  fd85 ; 
+ 2fe   :  fd87 ; 
+ 2ff   :  fd89 ; 
+ 300   :  fd8b ; 
+ 301   :  fd8d ; 
+ 302   :  fd8f ; 
+ 303   :  fd91 ; 
+ 304   :  fd93 ; 
+ 305   :  fd95 ; 
+ 306   :  fd97 ; 
+ 307   :  fd9a ; 
+ 308   :  fd9c ; 
+ 309   :  fd9e ; 
+ 30a   :  fda0 ; 
+ 30b   :  fda2 ; 
+ 30c   :  fda4 ; 
+ 30d   :  fda6 ; 
+ 30e   :  fda8 ; 
+ 30f   :  fdaa ; 
+ 310   :  fdad ; 
+ 311   :  fdaf ; 
+ 312   :  fdb1 ; 
+ 313   :  fdb3 ; 
+ 314   :  fdb5 ; 
+ 315   :  fdb7 ; 
+ 316   :  fdba ; 
+ 317   :  fdbc ; 
+ 318   :  fdbe ; 
+ 319   :  fdc0 ; 
+ 31a   :  fdc2 ; 
+ 31b   :  fdc5 ; 
+ 31c   :  fdc7 ; 
+ 31d   :  fdc9 ; 
+ 31e   :  fdcb ; 
+ 31f   :  fdcd ; 
+ 320   :  fdd0 ; 
+ 321   :  fdd2 ; 
+ 322   :  fdd4 ; 
+ 323   :  fdd6 ; 
+ 324   :  fdd9 ; 
+ 325   :  fddb ; 
+ 326   :  fddd ; 
+ 327   :  fde0 ; 
+ 328   :  fde2 ; 
+ 329   :  fde4 ; 
+ 32a   :  fde7 ; 
+ 32b   :  fde9 ; 
+ 32c   :  fdeb ; 
+ 32d   :  fded ; 
+ 32e   :  fdf0 ; 
+ 32f   :  fdf2 ; 
+ 330   :  fdf5 ; 
+ 331   :  fdf7 ; 
+ 332   :  fdf9 ; 
+ 333   :  fdfc ; 
+ 334   :  fdfe ; 
+ 335   :  fe00 ; 
+ 336   :  fe03 ; 
+ 337   :  fe05 ; 
+ 338   :  fe08 ; 
+ 339   :  fe0a ; 
+ 33a   :  fe0c ; 
+ 33b   :  fe0f ; 
+ 33c   :  fe11 ; 
+ 33d   :  fe14 ; 
+ 33e   :  fe16 ; 
+ 33f   :  fe19 ; 
+ 340   :  fe1b ; 
+ 341   :  fe1d ; 
+ 342   :  fe20 ; 
+ 343   :  fe22 ; 
+ 344   :  fe25 ; 
+ 345   :  fe27 ; 
+ 346   :  fe2a ; 
+ 347   :  fe2c ; 
+ 348   :  fe2f ; 
+ 349   :  fe31 ; 
+ 34a   :  fe34 ; 
+ 34b   :  fe36 ; 
+ 34c   :  fe39 ; 
+ 34d   :  fe3b ; 
+ 34e   :  fe3e ; 
+ 34f   :  fe40 ; 
+ 350   :  fe43 ; 
+ 351   :  fe46 ; 
+ 352   :  fe48 ; 
+ 353   :  fe4b ; 
+ 354   :  fe4d ; 
+ 355   :  fe50 ; 
+ 356   :  fe52 ; 
+ 357   :  fe55 ; 
+ 358   :  fe58 ; 
+ 359   :  fe5a ; 
+ 35a   :  fe5d ; 
+ 35b   :  fe5f ; 
+ 35c   :  fe62 ; 
+ 35d   :  fe65 ; 
+ 35e   :  fe67 ; 
+ 35f   :  fe6a ; 
+ 360   :  fe6c ; 
+ 361   :  fe6f ; 
+ 362   :  fe72 ; 
+ 363   :  fe74 ; 
+ 364   :  fe77 ; 
+ 365   :  fe7a ; 
+ 366   :  fe7c ; 
+ 367   :  fe7f ; 
+ 368   :  fe82 ; 
+ 369   :  fe84 ; 
+ 36a   :  fe87 ; 
+ 36b   :  fe8a ; 
+ 36c   :  fe8d ; 
+ 36d   :  fe8f ; 
+ 36e   :  fe92 ; 
+ 36f   :  fe95 ; 
+ 370   :  fe97 ; 
+ 371   :  fe9a ; 
+ 372   :  fe9d ; 
+ 373   :  fea0 ; 
+ 374   :  fea2 ; 
+ 375   :  fea5 ; 
+ 376   :  fea8 ; 
+ 377   :  feab ; 
+ 378   :  fead ; 
+ 379   :  feb0 ; 
+ 37a   :  feb3 ; 
+ 37b   :  feb6 ; 
+ 37c   :  feb9 ; 
+ 37d   :  febb ; 
+ 37e   :  febe ; 
+ 37f   :  fec1 ; 
+ 380   :  fec4 ; 
+ 381   :  fec7 ; 
+ 382   :  fec9 ; 
+ 383   :  fecc ; 
+ 384   :  fecf ; 
+ 385   :  fed2 ; 
+ 386   :  fed5 ; 
+ 387   :  fed8 ; 
+ 388   :  feda ; 
+ 389   :  fedd ; 
+ 38a   :  fee0 ; 
+ 38b   :  fee3 ; 
+ 38c   :  fee6 ; 
+ 38d   :  fee9 ; 
+ 38e   :  feec ; 
+ 38f   :  feef ; 
+ 390   :  fef1 ; 
+ 391   :  fef4 ; 
+ 392   :  fef7 ; 
+ 393   :  fefa ; 
+ 394   :  fefd ; 
+ 395   :  ff00 ; 
+ 396   :  ff03 ; 
+ 397   :  ff06 ; 
+ 398   :  ff09 ; 
+ 399   :  ff0c ; 
+ 39a   :  ff0f ; 
+ 39b   :  ff12 ; 
+ 39c   :  ff14 ; 
+ 39d   :  ff17 ; 
+ 39e   :  ff1a ; 
+ 39f   :  ff1d ; 
+ 3a0   :  ff20 ; 
+ 3a1   :  ff23 ; 
+ 3a2   :  ff26 ; 
+ 3a3   :  ff29 ; 
+ 3a4   :  ff2c ; 
+ 3a5   :  ff2f ; 
+ 3a6   :  ff32 ; 
+ 3a7   :  ff35 ; 
+ 3a8   :  ff38 ; 
+ 3a9   :  ff3b ; 
+ 3aa   :  ff3e ; 
+ 3ab   :  ff41 ; 
+ 3ac   :  ff44 ; 
+ 3ad   :  ff47 ; 
+ 3ae   :  ff4a ; 
+ 3af   :  ff4d ; 
+ 3b0   :  ff50 ; 
+ 3b1   :  ff53 ; 
+ 3b2   :  ff56 ; 
+ 3b3   :  ff59 ; 
+ 3b4   :  ff5c ; 
+ 3b5   :  ff60 ; 
+ 3b6   :  ff63 ; 
+ 3b7   :  ff66 ; 
+ 3b8   :  ff69 ; 
+ 3b9   :  ff6c ; 
+ 3ba   :  ff6f ; 
+ 3bb   :  ff72 ; 
+ 3bc   :  ff75 ; 
+ 3bd   :  ff78 ; 
+ 3be   :  ff7b ; 
+ 3bf   :  ff7e ; 
+ 3c0   :  ff81 ; 
+ 3c1   :  ff84 ; 
+ 3c2   :  ff88 ; 
+ 3c3   :  ff8b ; 
+ 3c4   :  ff8e ; 
+ 3c5   :  ff91 ; 
+ 3c6   :  ff94 ; 
+ 3c7   :  ff97 ; 
+ 3c8   :  ff9a ; 
+ 3c9   :  ff9d ; 
+ 3ca   :  ffa1 ; 
+ 3cb   :  ffa4 ; 
+ 3cc   :  ffa7 ; 
+ 3cd   :  ffaa ; 
+ 3ce   :  ffad ; 
+ 3cf   :  ffb0 ; 
+ 3d0   :  ffb3 ; 
+ 3d1   :  ffb7 ; 
+ 3d2   :  ffba ; 
+ 3d3   :  ffbd ; 
+ 3d4   :  ffc0 ; 
+ 3d5   :  ffc3 ; 
+ 3d6   :  ffc6 ; 
+ 3d7   :  ffca ; 
+ 3d8   :  ffcd ; 
+ 3d9   :  ffd0 ; 
+ 3da   :  ffd3 ; 
+ 3db   :  ffd6 ; 
+ 3dc   :  ffd9 ; 
+ 3dd   :  ffdd ; 
+ 3de   :  ffe0 ; 
+ 3df   :  ffe3 ; 
+ 3e0   :  ffe6 ; 
+ 3e1   :  ffe9 ; 
+ 3e2   :  ffed ; 
+ 3e3   :  fff0 ; 
+ 3e4   :  fff3 ; 
+ 3e5   :  fff6 ; 
+ 3e6   :  fffa ; 
+ 3e7   :  fffd ; 
+ 3e8   :  0 ; 
+ 3e9   :  3 ; 
+ 3ea   :  6 ; 
+ 3eb   :  a ; 
+ 3ec   :  d ; 
+ 3ed   :  10 ; 
+ 3ee   :  13 ; 
+ 3ef   :  17 ; 
+ 3f0   :  1a ; 
+ 3f1   :  1d ; 
+ 3f2   :  20 ; 
+ 3f3   :  24 ; 
+ 3f4   :  27 ; 
+ 3f5   :  2a ; 
+ 3f6   :  2d ; 
+ 3f7   :  31 ; 
+ 3f8   :  34 ; 
+ 3f9   :  37 ; 
+ 3fa   :  3a ; 
+ 3fb   :  3e ; 
+ 3fc   :  41 ; 
+ 3fd   :  44 ; 
+ 3fe   :  48 ; 
+ 3ff   :  4b ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_14.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_14.mif
new file mode 100644
index 0000000000000000000000000000000000000000..1f088073ebccd0241cd56ed6875965ff26508d2e
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_14.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  1a7 ; 
+ 1   :  1a8 ; 
+ 2   :  1a8 ; 
+ 3   :  1a9 ; 
+ 4   :  1a9 ; 
+ 5   :  1aa ; 
+ 6   :  1aa ; 
+ 7   :  1ab ; 
+ 8   :  1ab ; 
+ 9   :  1ac ; 
+ a   :  1ac ; 
+ b   :  1ad ; 
+ c   :  1ad ; 
+ d   :  1ae ; 
+ e   :  1af ; 
+ f   :  1af ; 
+ 10   :  1b0 ; 
+ 11   :  1b0 ; 
+ 12   :  1b1 ; 
+ 13   :  1b1 ; 
+ 14   :  1b2 ; 
+ 15   :  1b2 ; 
+ 16   :  1b3 ; 
+ 17   :  1b3 ; 
+ 18   :  1b4 ; 
+ 19   :  1b4 ; 
+ 1a   :  1b5 ; 
+ 1b   :  1b5 ; 
+ 1c   :  1b6 ; 
+ 1d   :  1b6 ; 
+ 1e   :  1b7 ; 
+ 1f   :  1b7 ; 
+ 20   :  1b8 ; 
+ 21   :  1b8 ; 
+ 22   :  1b9 ; 
+ 23   :  1b9 ; 
+ 24   :  1ba ; 
+ 25   :  1bb ; 
+ 26   :  1bb ; 
+ 27   :  1bc ; 
+ 28   :  1bc ; 
+ 29   :  1bd ; 
+ 2a   :  1bd ; 
+ 2b   :  1be ; 
+ 2c   :  1be ; 
+ 2d   :  1bf ; 
+ 2e   :  1bf ; 
+ 2f   :  1c0 ; 
+ 30   :  1c0 ; 
+ 31   :  1c1 ; 
+ 32   :  1c1 ; 
+ 33   :  1c2 ; 
+ 34   :  1c2 ; 
+ 35   :  1c2 ; 
+ 36   :  1c3 ; 
+ 37   :  1c3 ; 
+ 38   :  1c4 ; 
+ 39   :  1c4 ; 
+ 3a   :  1c5 ; 
+ 3b   :  1c5 ; 
+ 3c   :  1c6 ; 
+ 3d   :  1c6 ; 
+ 3e   :  1c7 ; 
+ 3f   :  1c7 ; 
+ 40   :  1c8 ; 
+ 41   :  1c8 ; 
+ 42   :  1c9 ; 
+ 43   :  1c9 ; 
+ 44   :  1ca ; 
+ 45   :  1ca ; 
+ 46   :  1cb ; 
+ 47   :  1cb ; 
+ 48   :  1cc ; 
+ 49   :  1cc ; 
+ 4a   :  1cc ; 
+ 4b   :  1cd ; 
+ 4c   :  1cd ; 
+ 4d   :  1ce ; 
+ 4e   :  1ce ; 
+ 4f   :  1cf ; 
+ 50   :  1cf ; 
+ 51   :  1d0 ; 
+ 52   :  1d0 ; 
+ 53   :  1d1 ; 
+ 54   :  1d1 ; 
+ 55   :  1d1 ; 
+ 56   :  1d2 ; 
+ 57   :  1d2 ; 
+ 58   :  1d3 ; 
+ 59   :  1d3 ; 
+ 5a   :  1d4 ; 
+ 5b   :  1d4 ; 
+ 5c   :  1d5 ; 
+ 5d   :  1d5 ; 
+ 5e   :  1d5 ; 
+ 5f   :  1d6 ; 
+ 60   :  1d6 ; 
+ 61   :  1d7 ; 
+ 62   :  1d7 ; 
+ 63   :  1d8 ; 
+ 64   :  1d8 ; 
+ 65   :  1d8 ; 
+ 66   :  1d9 ; 
+ 67   :  1d9 ; 
+ 68   :  1da ; 
+ 69   :  1da ; 
+ 6a   :  1db ; 
+ 6b   :  1db ; 
+ 6c   :  1db ; 
+ 6d   :  1dc ; 
+ 6e   :  1dc ; 
+ 6f   :  1dd ; 
+ 70   :  1dd ; 
+ 71   :  1dd ; 
+ 72   :  1de ; 
+ 73   :  1de ; 
+ 74   :  1df ; 
+ 75   :  1df ; 
+ 76   :  1df ; 
+ 77   :  1e0 ; 
+ 78   :  1e0 ; 
+ 79   :  1e1 ; 
+ 7a   :  1e1 ; 
+ 7b   :  1e1 ; 
+ 7c   :  1e2 ; 
+ 7d   :  1e2 ; 
+ 7e   :  1e2 ; 
+ 7f   :  1e3 ; 
+ 80   :  1e3 ; 
+ 81   :  1e4 ; 
+ 82   :  1e4 ; 
+ 83   :  1e4 ; 
+ 84   :  1e5 ; 
+ 85   :  1e5 ; 
+ 86   :  1e5 ; 
+ 87   :  1e6 ; 
+ 88   :  1e6 ; 
+ 89   :  1e7 ; 
+ 8a   :  1e7 ; 
+ 8b   :  1e7 ; 
+ 8c   :  1e8 ; 
+ 8d   :  1e8 ; 
+ 8e   :  1e8 ; 
+ 8f   :  1e9 ; 
+ 90   :  1e9 ; 
+ 91   :  1e9 ; 
+ 92   :  1ea ; 
+ 93   :  1ea ; 
+ 94   :  1ea ; 
+ 95   :  1eb ; 
+ 96   :  1eb ; 
+ 97   :  1eb ; 
+ 98   :  1ec ; 
+ 99   :  1ec ; 
+ 9a   :  1ec ; 
+ 9b   :  1ed ; 
+ 9c   :  1ed ; 
+ 9d   :  1ed ; 
+ 9e   :  1ee ; 
+ 9f   :  1ee ; 
+ a0   :  1ee ; 
+ a1   :  1ef ; 
+ a2   :  1ef ; 
+ a3   :  1ef ; 
+ a4   :  1f0 ; 
+ a5   :  1f0 ; 
+ a6   :  1f0 ; 
+ a7   :  1f1 ; 
+ a8   :  1f1 ; 
+ a9   :  1f1 ; 
+ aa   :  1f1 ; 
+ ab   :  1f2 ; 
+ ac   :  1f2 ; 
+ ad   :  1f2 ; 
+ ae   :  1f3 ; 
+ af   :  1f3 ; 
+ b0   :  1f3 ; 
+ b1   :  1f4 ; 
+ b2   :  1f4 ; 
+ b3   :  1f4 ; 
+ b4   :  1f4 ; 
+ b5   :  1f5 ; 
+ b6   :  1f5 ; 
+ b7   :  1f5 ; 
+ b8   :  1f5 ; 
+ b9   :  1f6 ; 
+ ba   :  1f6 ; 
+ bb   :  1f6 ; 
+ bc   :  1f7 ; 
+ bd   :  1f7 ; 
+ be   :  1f7 ; 
+ bf   :  1f7 ; 
+ c0   :  1f8 ; 
+ c1   :  1f8 ; 
+ c2   :  1f8 ; 
+ c3   :  1f8 ; 
+ c4   :  1f9 ; 
+ c5   :  1f9 ; 
+ c6   :  1f9 ; 
+ c7   :  1f9 ; 
+ c8   :  1fa ; 
+ c9   :  1fa ; 
+ ca   :  1fa ; 
+ cb   :  1fa ; 
+ cc   :  1fa ; 
+ cd   :  1fb ; 
+ ce   :  1fb ; 
+ cf   :  1fb ; 
+ d0   :  1fb ; 
+ d1   :  1fc ; 
+ d2   :  1fc ; 
+ d3   :  1fc ; 
+ d4   :  1fc ; 
+ d5   :  1fc ; 
+ d6   :  1fd ; 
+ d7   :  1fd ; 
+ d8   :  1fd ; 
+ d9   :  1fd ; 
+ da   :  1fd ; 
+ db   :  1fe ; 
+ dc   :  1fe ; 
+ dd   :  1fe ; 
+ de   :  1fe ; 
+ df   :  1fe ; 
+ e0   :  1ff ; 
+ e1   :  1ff ; 
+ e2   :  1ff ; 
+ e3   :  1ff ; 
+ e4   :  1ff ; 
+ e5   :  200 ; 
+ e6   :  200 ; 
+ e7   :  200 ; 
+ e8   :  200 ; 
+ e9   :  200 ; 
+ ea   :  200 ; 
+ eb   :  200 ; 
+ ec   :  201 ; 
+ ed   :  201 ; 
+ ee   :  201 ; 
+ ef   :  201 ; 
+ f0   :  201 ; 
+ f1   :  201 ; 
+ f2   :  202 ; 
+ f3   :  202 ; 
+ f4   :  202 ; 
+ f5   :  202 ; 
+ f6   :  202 ; 
+ f7   :  202 ; 
+ f8   :  202 ; 
+ f9   :  202 ; 
+ fa   :  203 ; 
+ fb   :  203 ; 
+ fc   :  203 ; 
+ fd   :  203 ; 
+ fe   :  203 ; 
+ ff   :  203 ; 
+ 100   :  203 ; 
+ 101   :  203 ; 
+ 102   :  203 ; 
+ 103   :  204 ; 
+ 104   :  204 ; 
+ 105   :  204 ; 
+ 106   :  204 ; 
+ 107   :  204 ; 
+ 108   :  204 ; 
+ 109   :  204 ; 
+ 10a   :  204 ; 
+ 10b   :  204 ; 
+ 10c   :  204 ; 
+ 10d   :  204 ; 
+ 10e   :  205 ; 
+ 10f   :  205 ; 
+ 110   :  205 ; 
+ 111   :  205 ; 
+ 112   :  205 ; 
+ 113   :  205 ; 
+ 114   :  205 ; 
+ 115   :  205 ; 
+ 116   :  205 ; 
+ 117   :  205 ; 
+ 118   :  205 ; 
+ 119   :  205 ; 
+ 11a   :  205 ; 
+ 11b   :  205 ; 
+ 11c   :  205 ; 
+ 11d   :  205 ; 
+ 11e   :  205 ; 
+ 11f   :  205 ; 
+ 120   :  205 ; 
+ 121   :  205 ; 
+ 122   :  205 ; 
+ 123   :  205 ; 
+ 124   :  205 ; 
+ 125   :  205 ; 
+ 126   :  205 ; 
+ 127   :  205 ; 
+ 128   :  205 ; 
+ 129   :  205 ; 
+ 12a   :  205 ; 
+ 12b   :  205 ; 
+ 12c   :  205 ; 
+ 12d   :  205 ; 
+ 12e   :  205 ; 
+ 12f   :  205 ; 
+ 130   :  205 ; 
+ 131   :  205 ; 
+ 132   :  205 ; 
+ 133   :  205 ; 
+ 134   :  205 ; 
+ 135   :  205 ; 
+ 136   :  205 ; 
+ 137   :  205 ; 
+ 138   :  205 ; 
+ 139   :  205 ; 
+ 13a   :  205 ; 
+ 13b   :  205 ; 
+ 13c   :  205 ; 
+ 13d   :  205 ; 
+ 13e   :  205 ; 
+ 13f   :  205 ; 
+ 140   :  205 ; 
+ 141   :  205 ; 
+ 142   :  205 ; 
+ 143   :  205 ; 
+ 144   :  204 ; 
+ 145   :  204 ; 
+ 146   :  204 ; 
+ 147   :  204 ; 
+ 148   :  204 ; 
+ 149   :  204 ; 
+ 14a   :  204 ; 
+ 14b   :  204 ; 
+ 14c   :  204 ; 
+ 14d   :  204 ; 
+ 14e   :  204 ; 
+ 14f   :  203 ; 
+ 150   :  203 ; 
+ 151   :  203 ; 
+ 152   :  203 ; 
+ 153   :  203 ; 
+ 154   :  203 ; 
+ 155   :  203 ; 
+ 156   :  203 ; 
+ 157   :  202 ; 
+ 158   :  202 ; 
+ 159   :  202 ; 
+ 15a   :  202 ; 
+ 15b   :  202 ; 
+ 15c   :  202 ; 
+ 15d   :  202 ; 
+ 15e   :  201 ; 
+ 15f   :  201 ; 
+ 160   :  201 ; 
+ 161   :  201 ; 
+ 162   :  201 ; 
+ 163   :  201 ; 
+ 164   :  201 ; 
+ 165   :  200 ; 
+ 166   :  200 ; 
+ 167   :  200 ; 
+ 168   :  200 ; 
+ 169   :  200 ; 
+ 16a   :  1ff ; 
+ 16b   :  1ff ; 
+ 16c   :  1ff ; 
+ 16d   :  1ff ; 
+ 16e   :  1ff ; 
+ 16f   :  1fe ; 
+ 170   :  1fe ; 
+ 171   :  1fe ; 
+ 172   :  1fe ; 
+ 173   :  1fe ; 
+ 174   :  1fd ; 
+ 175   :  1fd ; 
+ 176   :  1fd ; 
+ 177   :  1fd ; 
+ 178   :  1fc ; 
+ 179   :  1fc ; 
+ 17a   :  1fc ; 
+ 17b   :  1fc ; 
+ 17c   :  1fc ; 
+ 17d   :  1fb ; 
+ 17e   :  1fb ; 
+ 17f   :  1fb ; 
+ 180   :  1fb ; 
+ 181   :  1fa ; 
+ 182   :  1fa ; 
+ 183   :  1fa ; 
+ 184   :  1f9 ; 
+ 185   :  1f9 ; 
+ 186   :  1f9 ; 
+ 187   :  1f9 ; 
+ 188   :  1f8 ; 
+ 189   :  1f8 ; 
+ 18a   :  1f8 ; 
+ 18b   :  1f8 ; 
+ 18c   :  1f7 ; 
+ 18d   :  1f7 ; 
+ 18e   :  1f7 ; 
+ 18f   :  1f6 ; 
+ 190   :  1f6 ; 
+ 191   :  1f6 ; 
+ 192   :  1f5 ; 
+ 193   :  1f5 ; 
+ 194   :  1f5 ; 
+ 195   :  1f4 ; 
+ 196   :  1f4 ; 
+ 197   :  1f4 ; 
+ 198   :  1f3 ; 
+ 199   :  1f3 ; 
+ 19a   :  1f3 ; 
+ 19b   :  1f2 ; 
+ 19c   :  1f2 ; 
+ 19d   :  1f2 ; 
+ 19e   :  1f1 ; 
+ 19f   :  1f1 ; 
+ 1a0   :  1f1 ; 
+ 1a1   :  1f0 ; 
+ 1a2   :  1f0 ; 
+ 1a3   :  1f0 ; 
+ 1a4   :  1ef ; 
+ 1a5   :  1ef ; 
+ 1a6   :  1ee ; 
+ 1a7   :  1ee ; 
+ 1a8   :  1ee ; 
+ 1a9   :  1ed ; 
+ 1aa   :  1ed ; 
+ 1ab   :  1ed ; 
+ 1ac   :  1ec ; 
+ 1ad   :  1ec ; 
+ 1ae   :  1eb ; 
+ 1af   :  1eb ; 
+ 1b0   :  1eb ; 
+ 1b1   :  1ea ; 
+ 1b2   :  1ea ; 
+ 1b3   :  1e9 ; 
+ 1b4   :  1e9 ; 
+ 1b5   :  1e8 ; 
+ 1b6   :  1e8 ; 
+ 1b7   :  1e8 ; 
+ 1b8   :  1e7 ; 
+ 1b9   :  1e7 ; 
+ 1ba   :  1e6 ; 
+ 1bb   :  1e6 ; 
+ 1bc   :  1e5 ; 
+ 1bd   :  1e5 ; 
+ 1be   :  1e4 ; 
+ 1bf   :  1e4 ; 
+ 1c0   :  1e4 ; 
+ 1c1   :  1e3 ; 
+ 1c2   :  1e3 ; 
+ 1c3   :  1e2 ; 
+ 1c4   :  1e2 ; 
+ 1c5   :  1e1 ; 
+ 1c6   :  1e1 ; 
+ 1c7   :  1e0 ; 
+ 1c8   :  1e0 ; 
+ 1c9   :  1df ; 
+ 1ca   :  1df ; 
+ 1cb   :  1de ; 
+ 1cc   :  1de ; 
+ 1cd   :  1dd ; 
+ 1ce   :  1dd ; 
+ 1cf   :  1dc ; 
+ 1d0   :  1dc ; 
+ 1d1   :  1db ; 
+ 1d2   :  1db ; 
+ 1d3   :  1da ; 
+ 1d4   :  1da ; 
+ 1d5   :  1d9 ; 
+ 1d6   :  1d9 ; 
+ 1d7   :  1d8 ; 
+ 1d8   :  1d7 ; 
+ 1d9   :  1d7 ; 
+ 1da   :  1d6 ; 
+ 1db   :  1d6 ; 
+ 1dc   :  1d5 ; 
+ 1dd   :  1d5 ; 
+ 1de   :  1d4 ; 
+ 1df   :  1d4 ; 
+ 1e0   :  1d3 ; 
+ 1e1   :  1d2 ; 
+ 1e2   :  1d2 ; 
+ 1e3   :  1d1 ; 
+ 1e4   :  1d1 ; 
+ 1e5   :  1d0 ; 
+ 1e6   :  1cf ; 
+ 1e7   :  1cf ; 
+ 1e8   :  1ce ; 
+ 1e9   :  1ce ; 
+ 1ea   :  1cd ; 
+ 1eb   :  1cc ; 
+ 1ec   :  1cc ; 
+ 1ed   :  1cb ; 
+ 1ee   :  1cb ; 
+ 1ef   :  1ca ; 
+ 1f0   :  1c9 ; 
+ 1f1   :  1c9 ; 
+ 1f2   :  1c8 ; 
+ 1f3   :  1c7 ; 
+ 1f4   :  1c7 ; 
+ 1f5   :  1c6 ; 
+ 1f6   :  1c6 ; 
+ 1f7   :  1c5 ; 
+ 1f8   :  1c4 ; 
+ 1f9   :  1c4 ; 
+ 1fa   :  1c3 ; 
+ 1fb   :  1c2 ; 
+ 1fc   :  1c2 ; 
+ 1fd   :  1c1 ; 
+ 1fe   :  1c0 ; 
+ 1ff   :  1c0 ; 
+ 200   :  1bf ; 
+ 201   :  1be ; 
+ 202   :  1be ; 
+ 203   :  1bd ; 
+ 204   :  1bc ; 
+ 205   :  1bb ; 
+ 206   :  1bb ; 
+ 207   :  1ba ; 
+ 208   :  1b9 ; 
+ 209   :  1b9 ; 
+ 20a   :  1b8 ; 
+ 20b   :  1b7 ; 
+ 20c   :  1b6 ; 
+ 20d   :  1b6 ; 
+ 20e   :  1b5 ; 
+ 20f   :  1b4 ; 
+ 210   :  1b4 ; 
+ 211   :  1b3 ; 
+ 212   :  1b2 ; 
+ 213   :  1b1 ; 
+ 214   :  1b1 ; 
+ 215   :  1b0 ; 
+ 216   :  1af ; 
+ 217   :  1ae ; 
+ 218   :  1ae ; 
+ 219   :  1ad ; 
+ 21a   :  1ac ; 
+ 21b   :  1ab ; 
+ 21c   :  1aa ; 
+ 21d   :  1aa ; 
+ 21e   :  1a9 ; 
+ 21f   :  1a8 ; 
+ 220   :  1a7 ; 
+ 221   :  1a7 ; 
+ 222   :  1a6 ; 
+ 223   :  1a5 ; 
+ 224   :  1a4 ; 
+ 225   :  1a3 ; 
+ 226   :  1a2 ; 
+ 227   :  1a2 ; 
+ 228   :  1a1 ; 
+ 229   :  1a0 ; 
+ 22a   :  19f ; 
+ 22b   :  19e ; 
+ 22c   :  19e ; 
+ 22d   :  19d ; 
+ 22e   :  19c ; 
+ 22f   :  19b ; 
+ 230   :  19a ; 
+ 231   :  199 ; 
+ 232   :  198 ; 
+ 233   :  198 ; 
+ 234   :  197 ; 
+ 235   :  196 ; 
+ 236   :  195 ; 
+ 237   :  194 ; 
+ 238   :  193 ; 
+ 239   :  192 ; 
+ 23a   :  191 ; 
+ 23b   :  191 ; 
+ 23c   :  190 ; 
+ 23d   :  18f ; 
+ 23e   :  18e ; 
+ 23f   :  18d ; 
+ 240   :  18c ; 
+ 241   :  18b ; 
+ 242   :  18a ; 
+ 243   :  189 ; 
+ 244   :  188 ; 
+ 245   :  188 ; 
+ 246   :  187 ; 
+ 247   :  186 ; 
+ 248   :  185 ; 
+ 249   :  184 ; 
+ 24a   :  183 ; 
+ 24b   :  182 ; 
+ 24c   :  181 ; 
+ 24d   :  180 ; 
+ 24e   :  17f ; 
+ 24f   :  17e ; 
+ 250   :  17d ; 
+ 251   :  17c ; 
+ 252   :  17b ; 
+ 253   :  17a ; 
+ 254   :  179 ; 
+ 255   :  178 ; 
+ 256   :  177 ; 
+ 257   :  176 ; 
+ 258   :  175 ; 
+ 259   :  174 ; 
+ 25a   :  173 ; 
+ 25b   :  172 ; 
+ 25c   :  171 ; 
+ 25d   :  170 ; 
+ 25e   :  16f ; 
+ 25f   :  16e ; 
+ 260   :  16d ; 
+ 261   :  16c ; 
+ 262   :  16b ; 
+ 263   :  16a ; 
+ 264   :  169 ; 
+ 265   :  168 ; 
+ 266   :  167 ; 
+ 267   :  166 ; 
+ 268   :  165 ; 
+ 269   :  164 ; 
+ 26a   :  163 ; 
+ 26b   :  162 ; 
+ 26c   :  161 ; 
+ 26d   :  160 ; 
+ 26e   :  15f ; 
+ 26f   :  15e ; 
+ 270   :  15d ; 
+ 271   :  15c ; 
+ 272   :  15b ; 
+ 273   :  15a ; 
+ 274   :  158 ; 
+ 275   :  157 ; 
+ 276   :  156 ; 
+ 277   :  155 ; 
+ 278   :  154 ; 
+ 279   :  153 ; 
+ 27a   :  152 ; 
+ 27b   :  151 ; 
+ 27c   :  150 ; 
+ 27d   :  14f ; 
+ 27e   :  14d ; 
+ 27f   :  14c ; 
+ 280   :  14b ; 
+ 281   :  14a ; 
+ 282   :  149 ; 
+ 283   :  148 ; 
+ 284   :  147 ; 
+ 285   :  146 ; 
+ 286   :  144 ; 
+ 287   :  143 ; 
+ 288   :  142 ; 
+ 289   :  141 ; 
+ 28a   :  140 ; 
+ 28b   :  13f ; 
+ 28c   :  13d ; 
+ 28d   :  13c ; 
+ 28e   :  13b ; 
+ 28f   :  13a ; 
+ 290   :  139 ; 
+ 291   :  138 ; 
+ 292   :  136 ; 
+ 293   :  135 ; 
+ 294   :  134 ; 
+ 295   :  133 ; 
+ 296   :  132 ; 
+ 297   :  130 ; 
+ 298   :  12f ; 
+ 299   :  12e ; 
+ 29a   :  12d ; 
+ 29b   :  12c ; 
+ 29c   :  12a ; 
+ 29d   :  129 ; 
+ 29e   :  128 ; 
+ 29f   :  127 ; 
+ 2a0   :  126 ; 
+ 2a1   :  124 ; 
+ 2a2   :  123 ; 
+ 2a3   :  122 ; 
+ 2a4   :  121 ; 
+ 2a5   :  11f ; 
+ 2a6   :  11e ; 
+ 2a7   :  11d ; 
+ 2a8   :  11c ; 
+ 2a9   :  11a ; 
+ 2aa   :  119 ; 
+ 2ab   :  118 ; 
+ 2ac   :  117 ; 
+ 2ad   :  115 ; 
+ 2ae   :  114 ; 
+ 2af   :  113 ; 
+ 2b0   :  111 ; 
+ 2b1   :  110 ; 
+ 2b2   :  10f ; 
+ 2b3   :  10e ; 
+ 2b4   :  10c ; 
+ 2b5   :  10b ; 
+ 2b6   :  10a ; 
+ 2b7   :  108 ; 
+ 2b8   :  107 ; 
+ 2b9   :  106 ; 
+ 2ba   :  104 ; 
+ 2bb   :  103 ; 
+ 2bc   :  102 ; 
+ 2bd   :  100 ; 
+ 2be   :  ff ; 
+ 2bf   :  fe ; 
+ 2c0   :  fd ; 
+ 2c1   :  fb ; 
+ 2c2   :  fa ; 
+ 2c3   :  f8 ; 
+ 2c4   :  f7 ; 
+ 2c5   :  f6 ; 
+ 2c6   :  f4 ; 
+ 2c7   :  f3 ; 
+ 2c8   :  f2 ; 
+ 2c9   :  f0 ; 
+ 2ca   :  ef ; 
+ 2cb   :  ee ; 
+ 2cc   :  ec ; 
+ 2cd   :  eb ; 
+ 2ce   :  ea ; 
+ 2cf   :  e8 ; 
+ 2d0   :  e7 ; 
+ 2d1   :  e5 ; 
+ 2d2   :  e4 ; 
+ 2d3   :  e3 ; 
+ 2d4   :  e1 ; 
+ 2d5   :  e0 ; 
+ 2d6   :  de ; 
+ 2d7   :  dd ; 
+ 2d8   :  dc ; 
+ 2d9   :  da ; 
+ 2da   :  d9 ; 
+ 2db   :  d7 ; 
+ 2dc   :  d6 ; 
+ 2dd   :  d5 ; 
+ 2de   :  d3 ; 
+ 2df   :  d2 ; 
+ 2e0   :  d0 ; 
+ 2e1   :  cf ; 
+ 2e2   :  cd ; 
+ 2e3   :  cc ; 
+ 2e4   :  cb ; 
+ 2e5   :  c9 ; 
+ 2e6   :  c8 ; 
+ 2e7   :  c6 ; 
+ 2e8   :  c5 ; 
+ 2e9   :  c3 ; 
+ 2ea   :  c2 ; 
+ 2eb   :  c0 ; 
+ 2ec   :  bf ; 
+ 2ed   :  bd ; 
+ 2ee   :  bc ; 
+ 2ef   :  bb ; 
+ 2f0   :  b9 ; 
+ 2f1   :  b8 ; 
+ 2f2   :  b6 ; 
+ 2f3   :  b5 ; 
+ 2f4   :  b3 ; 
+ 2f5   :  b2 ; 
+ 2f6   :  b0 ; 
+ 2f7   :  af ; 
+ 2f8   :  ad ; 
+ 2f9   :  ac ; 
+ 2fa   :  aa ; 
+ 2fb   :  a9 ; 
+ 2fc   :  a7 ; 
+ 2fd   :  a6 ; 
+ 2fe   :  a4 ; 
+ 2ff   :  a3 ; 
+ 300   :  a1 ; 
+ 301   :  a0 ; 
+ 302   :  9e ; 
+ 303   :  9d ; 
+ 304   :  9b ; 
+ 305   :  99 ; 
+ 306   :  98 ; 
+ 307   :  96 ; 
+ 308   :  95 ; 
+ 309   :  93 ; 
+ 30a   :  92 ; 
+ 30b   :  90 ; 
+ 30c   :  8f ; 
+ 30d   :  8d ; 
+ 30e   :  8c ; 
+ 30f   :  8a ; 
+ 310   :  88 ; 
+ 311   :  87 ; 
+ 312   :  85 ; 
+ 313   :  84 ; 
+ 314   :  82 ; 
+ 315   :  81 ; 
+ 316   :  7f ; 
+ 317   :  7d ; 
+ 318   :  7c ; 
+ 319   :  7a ; 
+ 31a   :  79 ; 
+ 31b   :  77 ; 
+ 31c   :  76 ; 
+ 31d   :  74 ; 
+ 31e   :  72 ; 
+ 31f   :  71 ; 
+ 320   :  6f ; 
+ 321   :  6e ; 
+ 322   :  6c ; 
+ 323   :  6a ; 
+ 324   :  69 ; 
+ 325   :  67 ; 
+ 326   :  65 ; 
+ 327   :  64 ; 
+ 328   :  62 ; 
+ 329   :  61 ; 
+ 32a   :  5f ; 
+ 32b   :  5d ; 
+ 32c   :  5c ; 
+ 32d   :  5a ; 
+ 32e   :  58 ; 
+ 32f   :  57 ; 
+ 330   :  55 ; 
+ 331   :  54 ; 
+ 332   :  52 ; 
+ 333   :  50 ; 
+ 334   :  4f ; 
+ 335   :  4d ; 
+ 336   :  4b ; 
+ 337   :  4a ; 
+ 338   :  48 ; 
+ 339   :  46 ; 
+ 33a   :  45 ; 
+ 33b   :  43 ; 
+ 33c   :  41 ; 
+ 33d   :  40 ; 
+ 33e   :  3e ; 
+ 33f   :  3c ; 
+ 340   :  3b ; 
+ 341   :  39 ; 
+ 342   :  37 ; 
+ 343   :  36 ; 
+ 344   :  34 ; 
+ 345   :  32 ; 
+ 346   :  30 ; 
+ 347   :  2f ; 
+ 348   :  2d ; 
+ 349   :  2b ; 
+ 34a   :  2a ; 
+ 34b   :  28 ; 
+ 34c   :  26 ; 
+ 34d   :  25 ; 
+ 34e   :  23 ; 
+ 34f   :  21 ; 
+ 350   :  1f ; 
+ 351   :  1e ; 
+ 352   :  1c ; 
+ 353   :  1a ; 
+ 354   :  19 ; 
+ 355   :  17 ; 
+ 356   :  15 ; 
+ 357   :  13 ; 
+ 358   :  12 ; 
+ 359   :  10 ; 
+ 35a   :  e ; 
+ 35b   :  c ; 
+ 35c   :  b ; 
+ 35d   :  9 ; 
+ 35e   :  7 ; 
+ 35f   :  6 ; 
+ 360   :  4 ; 
+ 361   :  2 ; 
+ 362   :  0 ; 
+ 363   :  ffff ; 
+ 364   :  fffd ; 
+ 365   :  fffb ; 
+ 366   :  fff9 ; 
+ 367   :  fff8 ; 
+ 368   :  fff6 ; 
+ 369   :  fff4 ; 
+ 36a   :  fff2 ; 
+ 36b   :  fff0 ; 
+ 36c   :  ffef ; 
+ 36d   :  ffed ; 
+ 36e   :  ffeb ; 
+ 36f   :  ffe9 ; 
+ 370   :  ffe8 ; 
+ 371   :  ffe6 ; 
+ 372   :  ffe4 ; 
+ 373   :  ffe2 ; 
+ 374   :  ffe0 ; 
+ 375   :  ffdf ; 
+ 376   :  ffdd ; 
+ 377   :  ffdb ; 
+ 378   :  ffd9 ; 
+ 379   :  ffd8 ; 
+ 37a   :  ffd6 ; 
+ 37b   :  ffd4 ; 
+ 37c   :  ffd2 ; 
+ 37d   :  ffd0 ; 
+ 37e   :  ffcf ; 
+ 37f   :  ffcd ; 
+ 380   :  ffcb ; 
+ 381   :  ffc9 ; 
+ 382   :  ffc7 ; 
+ 383   :  ffc6 ; 
+ 384   :  ffc4 ; 
+ 385   :  ffc2 ; 
+ 386   :  ffc0 ; 
+ 387   :  ffbe ; 
+ 388   :  ffbd ; 
+ 389   :  ffbb ; 
+ 38a   :  ffb9 ; 
+ 38b   :  ffb7 ; 
+ 38c   :  ffb5 ; 
+ 38d   :  ffb3 ; 
+ 38e   :  ffb2 ; 
+ 38f   :  ffb0 ; 
+ 390   :  ffae ; 
+ 391   :  ffac ; 
+ 392   :  ffaa ; 
+ 393   :  ffa9 ; 
+ 394   :  ffa7 ; 
+ 395   :  ffa5 ; 
+ 396   :  ffa3 ; 
+ 397   :  ffa1 ; 
+ 398   :  ff9f ; 
+ 399   :  ff9e ; 
+ 39a   :  ff9c ; 
+ 39b   :  ff9a ; 
+ 39c   :  ff98 ; 
+ 39d   :  ff96 ; 
+ 39e   :  ff94 ; 
+ 39f   :  ff92 ; 
+ 3a0   :  ff91 ; 
+ 3a1   :  ff8f ; 
+ 3a2   :  ff8d ; 
+ 3a3   :  ff8b ; 
+ 3a4   :  ff89 ; 
+ 3a5   :  ff87 ; 
+ 3a6   :  ff86 ; 
+ 3a7   :  ff84 ; 
+ 3a8   :  ff82 ; 
+ 3a9   :  ff80 ; 
+ 3aa   :  ff7e ; 
+ 3ab   :  ff7c ; 
+ 3ac   :  ff7a ; 
+ 3ad   :  ff79 ; 
+ 3ae   :  ff77 ; 
+ 3af   :  ff75 ; 
+ 3b0   :  ff73 ; 
+ 3b1   :  ff71 ; 
+ 3b2   :  ff6f ; 
+ 3b3   :  ff6d ; 
+ 3b4   :  ff6c ; 
+ 3b5   :  ff6a ; 
+ 3b6   :  ff68 ; 
+ 3b7   :  ff66 ; 
+ 3b8   :  ff64 ; 
+ 3b9   :  ff62 ; 
+ 3ba   :  ff60 ; 
+ 3bb   :  ff5e ; 
+ 3bc   :  ff5d ; 
+ 3bd   :  ff5b ; 
+ 3be   :  ff59 ; 
+ 3bf   :  ff57 ; 
+ 3c0   :  ff55 ; 
+ 3c1   :  ff53 ; 
+ 3c2   :  ff51 ; 
+ 3c3   :  ff4f ; 
+ 3c4   :  ff4e ; 
+ 3c5   :  ff4c ; 
+ 3c6   :  ff4a ; 
+ 3c7   :  ff48 ; 
+ 3c8   :  ff46 ; 
+ 3c9   :  ff44 ; 
+ 3ca   :  ff42 ; 
+ 3cb   :  ff40 ; 
+ 3cc   :  ff3e ; 
+ 3cd   :  ff3d ; 
+ 3ce   :  ff3b ; 
+ 3cf   :  ff39 ; 
+ 3d0   :  ff37 ; 
+ 3d1   :  ff35 ; 
+ 3d2   :  ff33 ; 
+ 3d3   :  ff31 ; 
+ 3d4   :  ff2f ; 
+ 3d5   :  ff2d ; 
+ 3d6   :  ff2c ; 
+ 3d7   :  ff2a ; 
+ 3d8   :  ff28 ; 
+ 3d9   :  ff26 ; 
+ 3da   :  ff24 ; 
+ 3db   :  ff22 ; 
+ 3dc   :  ff20 ; 
+ 3dd   :  ff1e ; 
+ 3de   :  ff1c ; 
+ 3df   :  ff1b ; 
+ 3e0   :  ff19 ; 
+ 3e1   :  ff17 ; 
+ 3e2   :  ff15 ; 
+ 3e3   :  ff13 ; 
+ 3e4   :  ff11 ; 
+ 3e5   :  ff0f ; 
+ 3e6   :  ff0d ; 
+ 3e7   :  ff0b ; 
+ 3e8   :  ff09 ; 
+ 3e9   :  ff08 ; 
+ 3ea   :  ff06 ; 
+ 3eb   :  ff04 ; 
+ 3ec   :  ff02 ; 
+ 3ed   :  ff00 ; 
+ 3ee   :  fefe ; 
+ 3ef   :  fefc ; 
+ 3f0   :  fefa ; 
+ 3f1   :  fef8 ; 
+ 3f2   :  fef7 ; 
+ 3f3   :  fef5 ; 
+ 3f4   :  fef3 ; 
+ 3f5   :  fef1 ; 
+ 3f6   :  feef ; 
+ 3f7   :  feed ; 
+ 3f8   :  feeb ; 
+ 3f9   :  fee9 ; 
+ 3fa   :  fee7 ; 
+ 3fb   :  fee5 ; 
+ 3fc   :  fee4 ; 
+ 3fd   :  fee2 ; 
+ 3fe   :  fee0 ; 
+ 3ff   :  fede ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_15.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_15.mif
new file mode 100644
index 0000000000000000000000000000000000000000..e4421573acea5da7a413478ec18246e757cbc0d2
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_15.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  28 ; 
+ 1   :  28 ; 
+ 2   :  28 ; 
+ 3   :  27 ; 
+ 4   :  26 ; 
+ 5   :  25 ; 
+ 6   :  24 ; 
+ 7   :  22 ; 
+ 8   :  21 ; 
+ 9   :  1f ; 
+ a   :  1e ; 
+ b   :  1c ; 
+ c   :  1a ; 
+ d   :  18 ; 
+ e   :  16 ; 
+ f   :  15 ; 
+ 10   :  13 ; 
+ 11   :  11 ; 
+ 12   :  10 ; 
+ 13   :  e ; 
+ 14   :  d ; 
+ 15   :  c ; 
+ 16   :  b ; 
+ 17   :  a ; 
+ 18   :  9 ; 
+ 19   :  9 ; 
+ 1a   :  9 ; 
+ 1b   :  8 ; 
+ 1c   :  8 ; 
+ 1d   :  8 ; 
+ 1e   :  8 ; 
+ 1f   :  8 ; 
+ 20   :  9 ; 
+ 21   :  9 ; 
+ 22   :  9 ; 
+ 23   :  a ; 
+ 24   :  a ; 
+ 25   :  a ; 
+ 26   :  b ; 
+ 27   :  b ; 
+ 28   :  b ; 
+ 29   :  c ; 
+ 2a   :  c ; 
+ 2b   :  c ; 
+ 2c   :  c ; 
+ 2d   :  d ; 
+ 2e   :  d ; 
+ 2f   :  d ; 
+ 30   :  d ; 
+ 31   :  d ; 
+ 32   :  d ; 
+ 33   :  d ; 
+ 34   :  d ; 
+ 35   :  d ; 
+ 36   :  d ; 
+ 37   :  d ; 
+ 38   :  d ; 
+ 39   :  d ; 
+ 3a   :  d ; 
+ 3b   :  d ; 
+ 3c   :  d ; 
+ 3d   :  d ; 
+ 3e   :  d ; 
+ 3f   :  d ; 
+ 40   :  d ; 
+ 41   :  d ; 
+ 42   :  d ; 
+ 43   :  e ; 
+ 44   :  e ; 
+ 45   :  e ; 
+ 46   :  e ; 
+ 47   :  e ; 
+ 48   :  f ; 
+ 49   :  f ; 
+ 4a   :  f ; 
+ 4b   :  f ; 
+ 4c   :  f ; 
+ 4d   :  f ; 
+ 4e   :  10 ; 
+ 4f   :  10 ; 
+ 50   :  10 ; 
+ 51   :  10 ; 
+ 52   :  10 ; 
+ 53   :  10 ; 
+ 54   :  10 ; 
+ 55   :  10 ; 
+ 56   :  10 ; 
+ 57   :  10 ; 
+ 58   :  10 ; 
+ 59   :  10 ; 
+ 5a   :  10 ; 
+ 5b   :  11 ; 
+ 5c   :  11 ; 
+ 5d   :  11 ; 
+ 5e   :  11 ; 
+ 5f   :  11 ; 
+ 60   :  11 ; 
+ 61   :  11 ; 
+ 62   :  11 ; 
+ 63   :  11 ; 
+ 64   :  12 ; 
+ 65   :  12 ; 
+ 66   :  12 ; 
+ 67   :  12 ; 
+ 68   :  12 ; 
+ 69   :  12 ; 
+ 6a   :  13 ; 
+ 6b   :  13 ; 
+ 6c   :  13 ; 
+ 6d   :  13 ; 
+ 6e   :  13 ; 
+ 6f   :  13 ; 
+ 70   :  13 ; 
+ 71   :  14 ; 
+ 72   :  14 ; 
+ 73   :  14 ; 
+ 74   :  14 ; 
+ 75   :  14 ; 
+ 76   :  14 ; 
+ 77   :  14 ; 
+ 78   :  14 ; 
+ 79   :  14 ; 
+ 7a   :  15 ; 
+ 7b   :  15 ; 
+ 7c   :  15 ; 
+ 7d   :  15 ; 
+ 7e   :  15 ; 
+ 7f   :  15 ; 
+ 80   :  15 ; 
+ 81   :  16 ; 
+ 82   :  16 ; 
+ 83   :  16 ; 
+ 84   :  16 ; 
+ 85   :  16 ; 
+ 86   :  16 ; 
+ 87   :  16 ; 
+ 88   :  17 ; 
+ 89   :  17 ; 
+ 8a   :  17 ; 
+ 8b   :  17 ; 
+ 8c   :  17 ; 
+ 8d   :  17 ; 
+ 8e   :  18 ; 
+ 8f   :  18 ; 
+ 90   :  18 ; 
+ 91   :  18 ; 
+ 92   :  18 ; 
+ 93   :  18 ; 
+ 94   :  18 ; 
+ 95   :  19 ; 
+ 96   :  19 ; 
+ 97   :  19 ; 
+ 98   :  19 ; 
+ 99   :  19 ; 
+ 9a   :  19 ; 
+ 9b   :  19 ; 
+ 9c   :  1a ; 
+ 9d   :  1a ; 
+ 9e   :  1a ; 
+ 9f   :  1a ; 
+ a0   :  1a ; 
+ a1   :  1a ; 
+ a2   :  1b ; 
+ a3   :  1b ; 
+ a4   :  1b ; 
+ a5   :  1b ; 
+ a6   :  1b ; 
+ a7   :  1b ; 
+ a8   :  1c ; 
+ a9   :  1c ; 
+ aa   :  1c ; 
+ ab   :  1c ; 
+ ac   :  1c ; 
+ ad   :  1c ; 
+ ae   :  1d ; 
+ af   :  1d ; 
+ b0   :  1d ; 
+ b1   :  1d ; 
+ b2   :  1d ; 
+ b3   :  1e ; 
+ b4   :  1e ; 
+ b5   :  1e ; 
+ b6   :  1e ; 
+ b7   :  1e ; 
+ b8   :  1e ; 
+ b9   :  1e ; 
+ ba   :  1f ; 
+ bb   :  1f ; 
+ bc   :  1f ; 
+ bd   :  1f ; 
+ be   :  1f ; 
+ bf   :  20 ; 
+ c0   :  20 ; 
+ c1   :  20 ; 
+ c2   :  20 ; 
+ c3   :  20 ; 
+ c4   :  20 ; 
+ c5   :  21 ; 
+ c6   :  21 ; 
+ c7   :  21 ; 
+ c8   :  21 ; 
+ c9   :  21 ; 
+ ca   :  22 ; 
+ cb   :  22 ; 
+ cc   :  22 ; 
+ cd   :  22 ; 
+ ce   :  22 ; 
+ cf   :  23 ; 
+ d0   :  23 ; 
+ d1   :  23 ; 
+ d2   :  23 ; 
+ d3   :  23 ; 
+ d4   :  24 ; 
+ d5   :  24 ; 
+ d6   :  24 ; 
+ d7   :  24 ; 
+ d8   :  24 ; 
+ d9   :  25 ; 
+ da   :  25 ; 
+ db   :  25 ; 
+ dc   :  25 ; 
+ dd   :  25 ; 
+ de   :  26 ; 
+ df   :  26 ; 
+ e0   :  26 ; 
+ e1   :  26 ; 
+ e2   :  26 ; 
+ e3   :  27 ; 
+ e4   :  27 ; 
+ e5   :  27 ; 
+ e6   :  27 ; 
+ e7   :  27 ; 
+ e8   :  28 ; 
+ e9   :  28 ; 
+ ea   :  28 ; 
+ eb   :  28 ; 
+ ec   :  28 ; 
+ ed   :  29 ; 
+ ee   :  29 ; 
+ ef   :  29 ; 
+ f0   :  29 ; 
+ f1   :  2a ; 
+ f2   :  2a ; 
+ f3   :  2a ; 
+ f4   :  2a ; 
+ f5   :  2a ; 
+ f6   :  2b ; 
+ f7   :  2b ; 
+ f8   :  2b ; 
+ f9   :  2b ; 
+ fa   :  2b ; 
+ fb   :  2c ; 
+ fc   :  2c ; 
+ fd   :  2c ; 
+ fe   :  2c ; 
+ ff   :  2d ; 
+ 100   :  2d ; 
+ 101   :  2d ; 
+ 102   :  2d ; 
+ 103   :  2e ; 
+ 104   :  2e ; 
+ 105   :  2e ; 
+ 106   :  2e ; 
+ 107   :  2e ; 
+ 108   :  2f ; 
+ 109   :  2f ; 
+ 10a   :  2f ; 
+ 10b   :  2f ; 
+ 10c   :  30 ; 
+ 10d   :  30 ; 
+ 10e   :  30 ; 
+ 10f   :  30 ; 
+ 110   :  31 ; 
+ 111   :  31 ; 
+ 112   :  31 ; 
+ 113   :  31 ; 
+ 114   :  32 ; 
+ 115   :  32 ; 
+ 116   :  32 ; 
+ 117   :  32 ; 
+ 118   :  33 ; 
+ 119   :  33 ; 
+ 11a   :  33 ; 
+ 11b   :  33 ; 
+ 11c   :  34 ; 
+ 11d   :  34 ; 
+ 11e   :  34 ; 
+ 11f   :  34 ; 
+ 120   :  35 ; 
+ 121   :  35 ; 
+ 122   :  35 ; 
+ 123   :  35 ; 
+ 124   :  36 ; 
+ 125   :  36 ; 
+ 126   :  36 ; 
+ 127   :  36 ; 
+ 128   :  37 ; 
+ 129   :  37 ; 
+ 12a   :  37 ; 
+ 12b   :  37 ; 
+ 12c   :  38 ; 
+ 12d   :  38 ; 
+ 12e   :  38 ; 
+ 12f   :  38 ; 
+ 130   :  39 ; 
+ 131   :  39 ; 
+ 132   :  39 ; 
+ 133   :  3a ; 
+ 134   :  3a ; 
+ 135   :  3a ; 
+ 136   :  3a ; 
+ 137   :  3b ; 
+ 138   :  3b ; 
+ 139   :  3b ; 
+ 13a   :  3b ; 
+ 13b   :  3c ; 
+ 13c   :  3c ; 
+ 13d   :  3c ; 
+ 13e   :  3d ; 
+ 13f   :  3d ; 
+ 140   :  3d ; 
+ 141   :  3d ; 
+ 142   :  3e ; 
+ 143   :  3e ; 
+ 144   :  3e ; 
+ 145   :  3e ; 
+ 146   :  3f ; 
+ 147   :  3f ; 
+ 148   :  3f ; 
+ 149   :  40 ; 
+ 14a   :  40 ; 
+ 14b   :  40 ; 
+ 14c   :  41 ; 
+ 14d   :  41 ; 
+ 14e   :  41 ; 
+ 14f   :  41 ; 
+ 150   :  42 ; 
+ 151   :  42 ; 
+ 152   :  42 ; 
+ 153   :  43 ; 
+ 154   :  43 ; 
+ 155   :  43 ; 
+ 156   :  43 ; 
+ 157   :  44 ; 
+ 158   :  44 ; 
+ 159   :  44 ; 
+ 15a   :  45 ; 
+ 15b   :  45 ; 
+ 15c   :  45 ; 
+ 15d   :  46 ; 
+ 15e   :  46 ; 
+ 15f   :  46 ; 
+ 160   :  46 ; 
+ 161   :  47 ; 
+ 162   :  47 ; 
+ 163   :  47 ; 
+ 164   :  48 ; 
+ 165   :  48 ; 
+ 166   :  48 ; 
+ 167   :  49 ; 
+ 168   :  49 ; 
+ 169   :  49 ; 
+ 16a   :  4a ; 
+ 16b   :  4a ; 
+ 16c   :  4a ; 
+ 16d   :  4a ; 
+ 16e   :  4b ; 
+ 16f   :  4b ; 
+ 170   :  4b ; 
+ 171   :  4c ; 
+ 172   :  4c ; 
+ 173   :  4c ; 
+ 174   :  4d ; 
+ 175   :  4d ; 
+ 176   :  4d ; 
+ 177   :  4e ; 
+ 178   :  4e ; 
+ 179   :  4e ; 
+ 17a   :  4f ; 
+ 17b   :  4f ; 
+ 17c   :  4f ; 
+ 17d   :  50 ; 
+ 17e   :  50 ; 
+ 17f   :  50 ; 
+ 180   :  51 ; 
+ 181   :  51 ; 
+ 182   :  51 ; 
+ 183   :  52 ; 
+ 184   :  52 ; 
+ 185   :  52 ; 
+ 186   :  53 ; 
+ 187   :  53 ; 
+ 188   :  53 ; 
+ 189   :  54 ; 
+ 18a   :  54 ; 
+ 18b   :  54 ; 
+ 18c   :  55 ; 
+ 18d   :  55 ; 
+ 18e   :  55 ; 
+ 18f   :  56 ; 
+ 190   :  56 ; 
+ 191   :  56 ; 
+ 192   :  57 ; 
+ 193   :  57 ; 
+ 194   :  57 ; 
+ 195   :  58 ; 
+ 196   :  58 ; 
+ 197   :  59 ; 
+ 198   :  59 ; 
+ 199   :  59 ; 
+ 19a   :  5a ; 
+ 19b   :  5a ; 
+ 19c   :  5a ; 
+ 19d   :  5b ; 
+ 19e   :  5b ; 
+ 19f   :  5b ; 
+ 1a0   :  5c ; 
+ 1a1   :  5c ; 
+ 1a2   :  5c ; 
+ 1a3   :  5d ; 
+ 1a4   :  5d ; 
+ 1a5   :  5e ; 
+ 1a6   :  5e ; 
+ 1a7   :  5e ; 
+ 1a8   :  5f ; 
+ 1a9   :  5f ; 
+ 1aa   :  5f ; 
+ 1ab   :  60 ; 
+ 1ac   :  60 ; 
+ 1ad   :  61 ; 
+ 1ae   :  61 ; 
+ 1af   :  61 ; 
+ 1b0   :  62 ; 
+ 1b1   :  62 ; 
+ 1b2   :  62 ; 
+ 1b3   :  63 ; 
+ 1b4   :  63 ; 
+ 1b5   :  64 ; 
+ 1b6   :  64 ; 
+ 1b7   :  64 ; 
+ 1b8   :  65 ; 
+ 1b9   :  65 ; 
+ 1ba   :  65 ; 
+ 1bb   :  66 ; 
+ 1bc   :  66 ; 
+ 1bd   :  67 ; 
+ 1be   :  67 ; 
+ 1bf   :  67 ; 
+ 1c0   :  68 ; 
+ 1c1   :  68 ; 
+ 1c2   :  69 ; 
+ 1c3   :  69 ; 
+ 1c4   :  69 ; 
+ 1c5   :  6a ; 
+ 1c6   :  6a ; 
+ 1c7   :  6a ; 
+ 1c8   :  6b ; 
+ 1c9   :  6b ; 
+ 1ca   :  6c ; 
+ 1cb   :  6c ; 
+ 1cc   :  6c ; 
+ 1cd   :  6d ; 
+ 1ce   :  6d ; 
+ 1cf   :  6e ; 
+ 1d0   :  6e ; 
+ 1d1   :  6e ; 
+ 1d2   :  6f ; 
+ 1d3   :  6f ; 
+ 1d4   :  70 ; 
+ 1d5   :  70 ; 
+ 1d6   :  71 ; 
+ 1d7   :  71 ; 
+ 1d8   :  71 ; 
+ 1d9   :  72 ; 
+ 1da   :  72 ; 
+ 1db   :  73 ; 
+ 1dc   :  73 ; 
+ 1dd   :  73 ; 
+ 1de   :  74 ; 
+ 1df   :  74 ; 
+ 1e0   :  75 ; 
+ 1e1   :  75 ; 
+ 1e2   :  75 ; 
+ 1e3   :  76 ; 
+ 1e4   :  76 ; 
+ 1e5   :  77 ; 
+ 1e6   :  77 ; 
+ 1e7   :  78 ; 
+ 1e8   :  78 ; 
+ 1e9   :  78 ; 
+ 1ea   :  79 ; 
+ 1eb   :  79 ; 
+ 1ec   :  7a ; 
+ 1ed   :  7a ; 
+ 1ee   :  7b ; 
+ 1ef   :  7b ; 
+ 1f0   :  7b ; 
+ 1f1   :  7c ; 
+ 1f2   :  7c ; 
+ 1f3   :  7d ; 
+ 1f4   :  7d ; 
+ 1f5   :  7e ; 
+ 1f6   :  7e ; 
+ 1f7   :  7e ; 
+ 1f8   :  7f ; 
+ 1f9   :  7f ; 
+ 1fa   :  80 ; 
+ 1fb   :  80 ; 
+ 1fc   :  81 ; 
+ 1fd   :  81 ; 
+ 1fe   :  81 ; 
+ 1ff   :  82 ; 
+ 200   :  82 ; 
+ 201   :  83 ; 
+ 202   :  83 ; 
+ 203   :  84 ; 
+ 204   :  84 ; 
+ 205   :  85 ; 
+ 206   :  85 ; 
+ 207   :  86 ; 
+ 208   :  86 ; 
+ 209   :  86 ; 
+ 20a   :  87 ; 
+ 20b   :  87 ; 
+ 20c   :  88 ; 
+ 20d   :  88 ; 
+ 20e   :  89 ; 
+ 20f   :  89 ; 
+ 210   :  8a ; 
+ 211   :  8a ; 
+ 212   :  8b ; 
+ 213   :  8b ; 
+ 214   :  8b ; 
+ 215   :  8c ; 
+ 216   :  8c ; 
+ 217   :  8d ; 
+ 218   :  8d ; 
+ 219   :  8e ; 
+ 21a   :  8e ; 
+ 21b   :  8f ; 
+ 21c   :  8f ; 
+ 21d   :  90 ; 
+ 21e   :  90 ; 
+ 21f   :  91 ; 
+ 220   :  91 ; 
+ 221   :  91 ; 
+ 222   :  92 ; 
+ 223   :  92 ; 
+ 224   :  93 ; 
+ 225   :  93 ; 
+ 226   :  94 ; 
+ 227   :  94 ; 
+ 228   :  95 ; 
+ 229   :  95 ; 
+ 22a   :  96 ; 
+ 22b   :  96 ; 
+ 22c   :  97 ; 
+ 22d   :  97 ; 
+ 22e   :  98 ; 
+ 22f   :  98 ; 
+ 230   :  99 ; 
+ 231   :  99 ; 
+ 232   :  9a ; 
+ 233   :  9a ; 
+ 234   :  9b ; 
+ 235   :  9b ; 
+ 236   :  9c ; 
+ 237   :  9c ; 
+ 238   :  9d ; 
+ 239   :  9d ; 
+ 23a   :  9e ; 
+ 23b   :  9e ; 
+ 23c   :  9f ; 
+ 23d   :  9f ; 
+ 23e   :  9f ; 
+ 23f   :  a0 ; 
+ 240   :  a0 ; 
+ 241   :  a1 ; 
+ 242   :  a1 ; 
+ 243   :  a2 ; 
+ 244   :  a2 ; 
+ 245   :  a3 ; 
+ 246   :  a3 ; 
+ 247   :  a4 ; 
+ 248   :  a4 ; 
+ 249   :  a5 ; 
+ 24a   :  a5 ; 
+ 24b   :  a6 ; 
+ 24c   :  a7 ; 
+ 24d   :  a7 ; 
+ 24e   :  a8 ; 
+ 24f   :  a8 ; 
+ 250   :  a9 ; 
+ 251   :  a9 ; 
+ 252   :  aa ; 
+ 253   :  aa ; 
+ 254   :  ab ; 
+ 255   :  ab ; 
+ 256   :  ac ; 
+ 257   :  ac ; 
+ 258   :  ad ; 
+ 259   :  ad ; 
+ 25a   :  ae ; 
+ 25b   :  ae ; 
+ 25c   :  af ; 
+ 25d   :  af ; 
+ 25e   :  b0 ; 
+ 25f   :  b0 ; 
+ 260   :  b1 ; 
+ 261   :  b1 ; 
+ 262   :  b2 ; 
+ 263   :  b2 ; 
+ 264   :  b3 ; 
+ 265   :  b3 ; 
+ 266   :  b4 ; 
+ 267   :  b4 ; 
+ 268   :  b5 ; 
+ 269   :  b5 ; 
+ 26a   :  b6 ; 
+ 26b   :  b7 ; 
+ 26c   :  b7 ; 
+ 26d   :  b8 ; 
+ 26e   :  b8 ; 
+ 26f   :  b9 ; 
+ 270   :  b9 ; 
+ 271   :  ba ; 
+ 272   :  ba ; 
+ 273   :  bb ; 
+ 274   :  bb ; 
+ 275   :  bc ; 
+ 276   :  bc ; 
+ 277   :  bd ; 
+ 278   :  bd ; 
+ 279   :  be ; 
+ 27a   :  bf ; 
+ 27b   :  bf ; 
+ 27c   :  c0 ; 
+ 27d   :  c0 ; 
+ 27e   :  c1 ; 
+ 27f   :  c1 ; 
+ 280   :  c2 ; 
+ 281   :  c2 ; 
+ 282   :  c3 ; 
+ 283   :  c3 ; 
+ 284   :  c4 ; 
+ 285   :  c5 ; 
+ 286   :  c5 ; 
+ 287   :  c6 ; 
+ 288   :  c6 ; 
+ 289   :  c7 ; 
+ 28a   :  c7 ; 
+ 28b   :  c8 ; 
+ 28c   :  c8 ; 
+ 28d   :  c9 ; 
+ 28e   :  c9 ; 
+ 28f   :  ca ; 
+ 290   :  cb ; 
+ 291   :  cb ; 
+ 292   :  cc ; 
+ 293   :  cc ; 
+ 294   :  cd ; 
+ 295   :  cd ; 
+ 296   :  ce ; 
+ 297   :  ce ; 
+ 298   :  cf ; 
+ 299   :  d0 ; 
+ 29a   :  d0 ; 
+ 29b   :  d1 ; 
+ 29c   :  d1 ; 
+ 29d   :  d2 ; 
+ 29e   :  d2 ; 
+ 29f   :  d3 ; 
+ 2a0   :  d4 ; 
+ 2a1   :  d4 ; 
+ 2a2   :  d5 ; 
+ 2a3   :  d5 ; 
+ 2a4   :  d6 ; 
+ 2a5   :  d6 ; 
+ 2a6   :  d7 ; 
+ 2a7   :  d7 ; 
+ 2a8   :  d8 ; 
+ 2a9   :  d9 ; 
+ 2aa   :  d9 ; 
+ 2ab   :  da ; 
+ 2ac   :  da ; 
+ 2ad   :  db ; 
+ 2ae   :  db ; 
+ 2af   :  dc ; 
+ 2b0   :  dd ; 
+ 2b1   :  dd ; 
+ 2b2   :  de ; 
+ 2b3   :  de ; 
+ 2b4   :  df ; 
+ 2b5   :  df ; 
+ 2b6   :  e0 ; 
+ 2b7   :  e1 ; 
+ 2b8   :  e1 ; 
+ 2b9   :  e2 ; 
+ 2ba   :  e2 ; 
+ 2bb   :  e3 ; 
+ 2bc   :  e4 ; 
+ 2bd   :  e4 ; 
+ 2be   :  e5 ; 
+ 2bf   :  e5 ; 
+ 2c0   :  e6 ; 
+ 2c1   :  e6 ; 
+ 2c2   :  e7 ; 
+ 2c3   :  e8 ; 
+ 2c4   :  e8 ; 
+ 2c5   :  e9 ; 
+ 2c6   :  e9 ; 
+ 2c7   :  ea ; 
+ 2c8   :  eb ; 
+ 2c9   :  eb ; 
+ 2ca   :  ec ; 
+ 2cb   :  ec ; 
+ 2cc   :  ed ; 
+ 2cd   :  ed ; 
+ 2ce   :  ee ; 
+ 2cf   :  ef ; 
+ 2d0   :  ef ; 
+ 2d1   :  f0 ; 
+ 2d2   :  f0 ; 
+ 2d3   :  f1 ; 
+ 2d4   :  f2 ; 
+ 2d5   :  f2 ; 
+ 2d6   :  f3 ; 
+ 2d7   :  f3 ; 
+ 2d8   :  f4 ; 
+ 2d9   :  f5 ; 
+ 2da   :  f5 ; 
+ 2db   :  f6 ; 
+ 2dc   :  f6 ; 
+ 2dd   :  f7 ; 
+ 2de   :  f8 ; 
+ 2df   :  f8 ; 
+ 2e0   :  f9 ; 
+ 2e1   :  f9 ; 
+ 2e2   :  fa ; 
+ 2e3   :  fb ; 
+ 2e4   :  fb ; 
+ 2e5   :  fc ; 
+ 2e6   :  fc ; 
+ 2e7   :  fd ; 
+ 2e8   :  fe ; 
+ 2e9   :  fe ; 
+ 2ea   :  ff ; 
+ 2eb   :  ff ; 
+ 2ec   :  100 ; 
+ 2ed   :  101 ; 
+ 2ee   :  101 ; 
+ 2ef   :  102 ; 
+ 2f0   :  102 ; 
+ 2f1   :  103 ; 
+ 2f2   :  104 ; 
+ 2f3   :  104 ; 
+ 2f4   :  105 ; 
+ 2f5   :  105 ; 
+ 2f6   :  106 ; 
+ 2f7   :  107 ; 
+ 2f8   :  107 ; 
+ 2f9   :  108 ; 
+ 2fa   :  108 ; 
+ 2fb   :  109 ; 
+ 2fc   :  10a ; 
+ 2fd   :  10a ; 
+ 2fe   :  10b ; 
+ 2ff   :  10b ; 
+ 300   :  10c ; 
+ 301   :  10d ; 
+ 302   :  10d ; 
+ 303   :  10e ; 
+ 304   :  10f ; 
+ 305   :  10f ; 
+ 306   :  110 ; 
+ 307   :  110 ; 
+ 308   :  111 ; 
+ 309   :  112 ; 
+ 30a   :  112 ; 
+ 30b   :  113 ; 
+ 30c   :  113 ; 
+ 30d   :  114 ; 
+ 30e   :  115 ; 
+ 30f   :  115 ; 
+ 310   :  116 ; 
+ 311   :  116 ; 
+ 312   :  117 ; 
+ 313   :  118 ; 
+ 314   :  118 ; 
+ 315   :  119 ; 
+ 316   :  11a ; 
+ 317   :  11a ; 
+ 318   :  11b ; 
+ 319   :  11b ; 
+ 31a   :  11c ; 
+ 31b   :  11d ; 
+ 31c   :  11d ; 
+ 31d   :  11e ; 
+ 31e   :  11f ; 
+ 31f   :  11f ; 
+ 320   :  120 ; 
+ 321   :  120 ; 
+ 322   :  121 ; 
+ 323   :  122 ; 
+ 324   :  122 ; 
+ 325   :  123 ; 
+ 326   :  123 ; 
+ 327   :  124 ; 
+ 328   :  125 ; 
+ 329   :  125 ; 
+ 32a   :  126 ; 
+ 32b   :  127 ; 
+ 32c   :  127 ; 
+ 32d   :  128 ; 
+ 32e   :  128 ; 
+ 32f   :  129 ; 
+ 330   :  12a ; 
+ 331   :  12a ; 
+ 332   :  12b ; 
+ 333   :  12c ; 
+ 334   :  12c ; 
+ 335   :  12d ; 
+ 336   :  12d ; 
+ 337   :  12e ; 
+ 338   :  12f ; 
+ 339   :  12f ; 
+ 33a   :  130 ; 
+ 33b   :  130 ; 
+ 33c   :  131 ; 
+ 33d   :  132 ; 
+ 33e   :  132 ; 
+ 33f   :  133 ; 
+ 340   :  134 ; 
+ 341   :  134 ; 
+ 342   :  135 ; 
+ 343   :  135 ; 
+ 344   :  136 ; 
+ 345   :  137 ; 
+ 346   :  137 ; 
+ 347   :  138 ; 
+ 348   :  139 ; 
+ 349   :  139 ; 
+ 34a   :  13a ; 
+ 34b   :  13a ; 
+ 34c   :  13b ; 
+ 34d   :  13c ; 
+ 34e   :  13c ; 
+ 34f   :  13d ; 
+ 350   :  13e ; 
+ 351   :  13e ; 
+ 352   :  13f ; 
+ 353   :  13f ; 
+ 354   :  140 ; 
+ 355   :  141 ; 
+ 356   :  141 ; 
+ 357   :  142 ; 
+ 358   :  143 ; 
+ 359   :  143 ; 
+ 35a   :  144 ; 
+ 35b   :  144 ; 
+ 35c   :  145 ; 
+ 35d   :  146 ; 
+ 35e   :  146 ; 
+ 35f   :  147 ; 
+ 360   :  148 ; 
+ 361   :  148 ; 
+ 362   :  149 ; 
+ 363   :  149 ; 
+ 364   :  14a ; 
+ 365   :  14b ; 
+ 366   :  14b ; 
+ 367   :  14c ; 
+ 368   :  14d ; 
+ 369   :  14d ; 
+ 36a   :  14e ; 
+ 36b   :  14e ; 
+ 36c   :  14f ; 
+ 36d   :  150 ; 
+ 36e   :  150 ; 
+ 36f   :  151 ; 
+ 370   :  152 ; 
+ 371   :  152 ; 
+ 372   :  153 ; 
+ 373   :  153 ; 
+ 374   :  154 ; 
+ 375   :  155 ; 
+ 376   :  155 ; 
+ 377   :  156 ; 
+ 378   :  156 ; 
+ 379   :  157 ; 
+ 37a   :  158 ; 
+ 37b   :  158 ; 
+ 37c   :  159 ; 
+ 37d   :  15a ; 
+ 37e   :  15a ; 
+ 37f   :  15b ; 
+ 380   :  15b ; 
+ 381   :  15c ; 
+ 382   :  15d ; 
+ 383   :  15d ; 
+ 384   :  15e ; 
+ 385   :  15f ; 
+ 386   :  15f ; 
+ 387   :  160 ; 
+ 388   :  160 ; 
+ 389   :  161 ; 
+ 38a   :  162 ; 
+ 38b   :  162 ; 
+ 38c   :  163 ; 
+ 38d   :  163 ; 
+ 38e   :  164 ; 
+ 38f   :  165 ; 
+ 390   :  165 ; 
+ 391   :  166 ; 
+ 392   :  167 ; 
+ 393   :  167 ; 
+ 394   :  168 ; 
+ 395   :  168 ; 
+ 396   :  169 ; 
+ 397   :  16a ; 
+ 398   :  16a ; 
+ 399   :  16b ; 
+ 39a   :  16b ; 
+ 39b   :  16c ; 
+ 39c   :  16d ; 
+ 39d   :  16d ; 
+ 39e   :  16e ; 
+ 39f   :  16e ; 
+ 3a0   :  16f ; 
+ 3a1   :  170 ; 
+ 3a2   :  170 ; 
+ 3a3   :  171 ; 
+ 3a4   :  172 ; 
+ 3a5   :  172 ; 
+ 3a6   :  173 ; 
+ 3a7   :  173 ; 
+ 3a8   :  174 ; 
+ 3a9   :  175 ; 
+ 3aa   :  175 ; 
+ 3ab   :  176 ; 
+ 3ac   :  176 ; 
+ 3ad   :  177 ; 
+ 3ae   :  178 ; 
+ 3af   :  178 ; 
+ 3b0   :  179 ; 
+ 3b1   :  179 ; 
+ 3b2   :  17a ; 
+ 3b3   :  17b ; 
+ 3b4   :  17b ; 
+ 3b5   :  17c ; 
+ 3b6   :  17c ; 
+ 3b7   :  17d ; 
+ 3b8   :  17e ; 
+ 3b9   :  17e ; 
+ 3ba   :  17f ; 
+ 3bb   :  17f ; 
+ 3bc   :  180 ; 
+ 3bd   :  181 ; 
+ 3be   :  181 ; 
+ 3bf   :  182 ; 
+ 3c0   :  182 ; 
+ 3c1   :  183 ; 
+ 3c2   :  184 ; 
+ 3c3   :  184 ; 
+ 3c4   :  185 ; 
+ 3c5   :  185 ; 
+ 3c6   :  186 ; 
+ 3c7   :  186 ; 
+ 3c8   :  187 ; 
+ 3c9   :  188 ; 
+ 3ca   :  188 ; 
+ 3cb   :  189 ; 
+ 3cc   :  189 ; 
+ 3cd   :  18a ; 
+ 3ce   :  18b ; 
+ 3cf   :  18b ; 
+ 3d0   :  18c ; 
+ 3d1   :  18c ; 
+ 3d2   :  18d ; 
+ 3d3   :  18e ; 
+ 3d4   :  18e ; 
+ 3d5   :  18f ; 
+ 3d6   :  18f ; 
+ 3d7   :  190 ; 
+ 3d8   :  190 ; 
+ 3d9   :  191 ; 
+ 3da   :  192 ; 
+ 3db   :  192 ; 
+ 3dc   :  193 ; 
+ 3dd   :  193 ; 
+ 3de   :  194 ; 
+ 3df   :  194 ; 
+ 3e0   :  195 ; 
+ 3e1   :  196 ; 
+ 3e2   :  196 ; 
+ 3e3   :  197 ; 
+ 3e4   :  197 ; 
+ 3e5   :  198 ; 
+ 3e6   :  198 ; 
+ 3e7   :  199 ; 
+ 3e8   :  19a ; 
+ 3e9   :  19a ; 
+ 3ea   :  19b ; 
+ 3eb   :  19b ; 
+ 3ec   :  19c ; 
+ 3ed   :  19c ; 
+ 3ee   :  19d ; 
+ 3ef   :  19e ; 
+ 3f0   :  19e ; 
+ 3f1   :  19f ; 
+ 3f2   :  19f ; 
+ 3f3   :  1a0 ; 
+ 3f4   :  1a0 ; 
+ 3f5   :  1a1 ; 
+ 3f6   :  1a1 ; 
+ 3f7   :  1a2 ; 
+ 3f8   :  1a3 ; 
+ 3f9   :  1a3 ; 
+ 3fa   :  1a4 ; 
+ 3fb   :  1a4 ; 
+ 3fc   :  1a5 ; 
+ 3fd   :  1a5 ; 
+ 3fe   :  1a6 ; 
+ 3ff   :  1a6 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_2.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_2.mif
new file mode 100644
index 0000000000000000000000000000000000000000..d8091c23615782ce88ac9b174d4fc49e71f34e11
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_2.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  4b ; 
+ 1   :  48 ; 
+ 2   :  44 ; 
+ 3   :  41 ; 
+ 4   :  3e ; 
+ 5   :  3a ; 
+ 6   :  37 ; 
+ 7   :  34 ; 
+ 8   :  31 ; 
+ 9   :  2d ; 
+ a   :  2a ; 
+ b   :  27 ; 
+ c   :  24 ; 
+ d   :  20 ; 
+ e   :  1d ; 
+ f   :  1a ; 
+ 10   :  17 ; 
+ 11   :  13 ; 
+ 12   :  10 ; 
+ 13   :  d ; 
+ 14   :  a ; 
+ 15   :  6 ; 
+ 16   :  3 ; 
+ 17   :  0 ; 
+ 18   :  fffd ; 
+ 19   :  fffa ; 
+ 1a   :  fff6 ; 
+ 1b   :  fff3 ; 
+ 1c   :  fff0 ; 
+ 1d   :  ffed ; 
+ 1e   :  ffe9 ; 
+ 1f   :  ffe6 ; 
+ 20   :  ffe3 ; 
+ 21   :  ffe0 ; 
+ 22   :  ffdd ; 
+ 23   :  ffd9 ; 
+ 24   :  ffd6 ; 
+ 25   :  ffd3 ; 
+ 26   :  ffd0 ; 
+ 27   :  ffcd ; 
+ 28   :  ffca ; 
+ 29   :  ffc6 ; 
+ 2a   :  ffc3 ; 
+ 2b   :  ffc0 ; 
+ 2c   :  ffbd ; 
+ 2d   :  ffba ; 
+ 2e   :  ffb7 ; 
+ 2f   :  ffb3 ; 
+ 30   :  ffb0 ; 
+ 31   :  ffad ; 
+ 32   :  ffaa ; 
+ 33   :  ffa7 ; 
+ 34   :  ffa4 ; 
+ 35   :  ffa1 ; 
+ 36   :  ff9d ; 
+ 37   :  ff9a ; 
+ 38   :  ff97 ; 
+ 39   :  ff94 ; 
+ 3a   :  ff91 ; 
+ 3b   :  ff8e ; 
+ 3c   :  ff8b ; 
+ 3d   :  ff88 ; 
+ 3e   :  ff84 ; 
+ 3f   :  ff81 ; 
+ 40   :  ff7e ; 
+ 41   :  ff7b ; 
+ 42   :  ff78 ; 
+ 43   :  ff75 ; 
+ 44   :  ff72 ; 
+ 45   :  ff6f ; 
+ 46   :  ff6c ; 
+ 47   :  ff69 ; 
+ 48   :  ff66 ; 
+ 49   :  ff63 ; 
+ 4a   :  ff60 ; 
+ 4b   :  ff5c ; 
+ 4c   :  ff59 ; 
+ 4d   :  ff56 ; 
+ 4e   :  ff53 ; 
+ 4f   :  ff50 ; 
+ 50   :  ff4d ; 
+ 51   :  ff4a ; 
+ 52   :  ff47 ; 
+ 53   :  ff44 ; 
+ 54   :  ff41 ; 
+ 55   :  ff3e ; 
+ 56   :  ff3b ; 
+ 57   :  ff38 ; 
+ 58   :  ff35 ; 
+ 59   :  ff32 ; 
+ 5a   :  ff2f ; 
+ 5b   :  ff2c ; 
+ 5c   :  ff29 ; 
+ 5d   :  ff26 ; 
+ 5e   :  ff23 ; 
+ 5f   :  ff20 ; 
+ 60   :  ff1d ; 
+ 61   :  ff1a ; 
+ 62   :  ff17 ; 
+ 63   :  ff14 ; 
+ 64   :  ff12 ; 
+ 65   :  ff0f ; 
+ 66   :  ff0c ; 
+ 67   :  ff09 ; 
+ 68   :  ff06 ; 
+ 69   :  ff03 ; 
+ 6a   :  ff00 ; 
+ 6b   :  fefd ; 
+ 6c   :  fefa ; 
+ 6d   :  fef7 ; 
+ 6e   :  fef4 ; 
+ 6f   :  fef1 ; 
+ 70   :  feef ; 
+ 71   :  feec ; 
+ 72   :  fee9 ; 
+ 73   :  fee6 ; 
+ 74   :  fee3 ; 
+ 75   :  fee0 ; 
+ 76   :  fedd ; 
+ 77   :  feda ; 
+ 78   :  fed8 ; 
+ 79   :  fed5 ; 
+ 7a   :  fed2 ; 
+ 7b   :  fecf ; 
+ 7c   :  fecc ; 
+ 7d   :  fec9 ; 
+ 7e   :  fec7 ; 
+ 7f   :  fec4 ; 
+ 80   :  fec1 ; 
+ 81   :  febe ; 
+ 82   :  febb ; 
+ 83   :  feb9 ; 
+ 84   :  feb6 ; 
+ 85   :  feb3 ; 
+ 86   :  feb0 ; 
+ 87   :  fead ; 
+ 88   :  feab ; 
+ 89   :  fea8 ; 
+ 8a   :  fea5 ; 
+ 8b   :  fea2 ; 
+ 8c   :  fea0 ; 
+ 8d   :  fe9d ; 
+ 8e   :  fe9a ; 
+ 8f   :  fe97 ; 
+ 90   :  fe95 ; 
+ 91   :  fe92 ; 
+ 92   :  fe8f ; 
+ 93   :  fe8d ; 
+ 94   :  fe8a ; 
+ 95   :  fe87 ; 
+ 96   :  fe84 ; 
+ 97   :  fe82 ; 
+ 98   :  fe7f ; 
+ 99   :  fe7c ; 
+ 9a   :  fe7a ; 
+ 9b   :  fe77 ; 
+ 9c   :  fe74 ; 
+ 9d   :  fe72 ; 
+ 9e   :  fe6f ; 
+ 9f   :  fe6c ; 
+ a0   :  fe6a ; 
+ a1   :  fe67 ; 
+ a2   :  fe65 ; 
+ a3   :  fe62 ; 
+ a4   :  fe5f ; 
+ a5   :  fe5d ; 
+ a6   :  fe5a ; 
+ a7   :  fe58 ; 
+ a8   :  fe55 ; 
+ a9   :  fe52 ; 
+ aa   :  fe50 ; 
+ ab   :  fe4d ; 
+ ac   :  fe4b ; 
+ ad   :  fe48 ; 
+ ae   :  fe46 ; 
+ af   :  fe43 ; 
+ b0   :  fe40 ; 
+ b1   :  fe3e ; 
+ b2   :  fe3b ; 
+ b3   :  fe39 ; 
+ b4   :  fe36 ; 
+ b5   :  fe34 ; 
+ b6   :  fe31 ; 
+ b7   :  fe2f ; 
+ b8   :  fe2c ; 
+ b9   :  fe2a ; 
+ ba   :  fe27 ; 
+ bb   :  fe25 ; 
+ bc   :  fe22 ; 
+ bd   :  fe20 ; 
+ be   :  fe1d ; 
+ bf   :  fe1b ; 
+ c0   :  fe19 ; 
+ c1   :  fe16 ; 
+ c2   :  fe14 ; 
+ c3   :  fe11 ; 
+ c4   :  fe0f ; 
+ c5   :  fe0c ; 
+ c6   :  fe0a ; 
+ c7   :  fe08 ; 
+ c8   :  fe05 ; 
+ c9   :  fe03 ; 
+ ca   :  fe00 ; 
+ cb   :  fdfe ; 
+ cc   :  fdfc ; 
+ cd   :  fdf9 ; 
+ ce   :  fdf7 ; 
+ cf   :  fdf5 ; 
+ d0   :  fdf2 ; 
+ d1   :  fdf0 ; 
+ d2   :  fded ; 
+ d3   :  fdeb ; 
+ d4   :  fde9 ; 
+ d5   :  fde7 ; 
+ d6   :  fde4 ; 
+ d7   :  fde2 ; 
+ d8   :  fde0 ; 
+ d9   :  fddd ; 
+ da   :  fddb ; 
+ db   :  fdd9 ; 
+ dc   :  fdd6 ; 
+ dd   :  fdd4 ; 
+ de   :  fdd2 ; 
+ df   :  fdd0 ; 
+ e0   :  fdcd ; 
+ e1   :  fdcb ; 
+ e2   :  fdc9 ; 
+ e3   :  fdc7 ; 
+ e4   :  fdc5 ; 
+ e5   :  fdc2 ; 
+ e6   :  fdc0 ; 
+ e7   :  fdbe ; 
+ e8   :  fdbc ; 
+ e9   :  fdba ; 
+ ea   :  fdb7 ; 
+ eb   :  fdb5 ; 
+ ec   :  fdb3 ; 
+ ed   :  fdb1 ; 
+ ee   :  fdaf ; 
+ ef   :  fdad ; 
+ f0   :  fdaa ; 
+ f1   :  fda8 ; 
+ f2   :  fda6 ; 
+ f3   :  fda4 ; 
+ f4   :  fda2 ; 
+ f5   :  fda0 ; 
+ f6   :  fd9e ; 
+ f7   :  fd9c ; 
+ f8   :  fd9a ; 
+ f9   :  fd97 ; 
+ fa   :  fd95 ; 
+ fb   :  fd93 ; 
+ fc   :  fd91 ; 
+ fd   :  fd8f ; 
+ fe   :  fd8d ; 
+ ff   :  fd8b ; 
+ 100   :  fd89 ; 
+ 101   :  fd87 ; 
+ 102   :  fd85 ; 
+ 103   :  fd83 ; 
+ 104   :  fd81 ; 
+ 105   :  fd7f ; 
+ 106   :  fd7d ; 
+ 107   :  fd7b ; 
+ 108   :  fd79 ; 
+ 109   :  fd77 ; 
+ 10a   :  fd75 ; 
+ 10b   :  fd73 ; 
+ 10c   :  fd71 ; 
+ 10d   :  fd6f ; 
+ 10e   :  fd6d ; 
+ 10f   :  fd6c ; 
+ 110   :  fd6a ; 
+ 111   :  fd68 ; 
+ 112   :  fd66 ; 
+ 113   :  fd64 ; 
+ 114   :  fd62 ; 
+ 115   :  fd60 ; 
+ 116   :  fd5e ; 
+ 117   :  fd5c ; 
+ 118   :  fd5b ; 
+ 119   :  fd59 ; 
+ 11a   :  fd57 ; 
+ 11b   :  fd55 ; 
+ 11c   :  fd53 ; 
+ 11d   :  fd51 ; 
+ 11e   :  fd4f ; 
+ 11f   :  fd4e ; 
+ 120   :  fd4c ; 
+ 121   :  fd4a ; 
+ 122   :  fd48 ; 
+ 123   :  fd47 ; 
+ 124   :  fd45 ; 
+ 125   :  fd43 ; 
+ 126   :  fd41 ; 
+ 127   :  fd3f ; 
+ 128   :  fd3e ; 
+ 129   :  fd3c ; 
+ 12a   :  fd3a ; 
+ 12b   :  fd39 ; 
+ 12c   :  fd37 ; 
+ 12d   :  fd35 ; 
+ 12e   :  fd33 ; 
+ 12f   :  fd32 ; 
+ 130   :  fd30 ; 
+ 131   :  fd2e ; 
+ 132   :  fd2d ; 
+ 133   :  fd2b ; 
+ 134   :  fd29 ; 
+ 135   :  fd28 ; 
+ 136   :  fd26 ; 
+ 137   :  fd24 ; 
+ 138   :  fd23 ; 
+ 139   :  fd21 ; 
+ 13a   :  fd20 ; 
+ 13b   :  fd1e ; 
+ 13c   :  fd1c ; 
+ 13d   :  fd1b ; 
+ 13e   :  fd19 ; 
+ 13f   :  fd18 ; 
+ 140   :  fd16 ; 
+ 141   :  fd14 ; 
+ 142   :  fd13 ; 
+ 143   :  fd11 ; 
+ 144   :  fd10 ; 
+ 145   :  fd0e ; 
+ 146   :  fd0d ; 
+ 147   :  fd0b ; 
+ 148   :  fd0a ; 
+ 149   :  fd08 ; 
+ 14a   :  fd07 ; 
+ 14b   :  fd05 ; 
+ 14c   :  fd04 ; 
+ 14d   :  fd02 ; 
+ 14e   :  fd01 ; 
+ 14f   :  fcff ; 
+ 150   :  fcfe ; 
+ 151   :  fcfc ; 
+ 152   :  fcfb ; 
+ 153   :  fcfa ; 
+ 154   :  fcf8 ; 
+ 155   :  fcf7 ; 
+ 156   :  fcf5 ; 
+ 157   :  fcf4 ; 
+ 158   :  fcf3 ; 
+ 159   :  fcf1 ; 
+ 15a   :  fcf0 ; 
+ 15b   :  fcee ; 
+ 15c   :  fced ; 
+ 15d   :  fcec ; 
+ 15e   :  fcea ; 
+ 15f   :  fce9 ; 
+ 160   :  fce8 ; 
+ 161   :  fce6 ; 
+ 162   :  fce5 ; 
+ 163   :  fce4 ; 
+ 164   :  fce2 ; 
+ 165   :  fce1 ; 
+ 166   :  fce0 ; 
+ 167   :  fcdf ; 
+ 168   :  fcdd ; 
+ 169   :  fcdc ; 
+ 16a   :  fcdb ; 
+ 16b   :  fcda ; 
+ 16c   :  fcd8 ; 
+ 16d   :  fcd7 ; 
+ 16e   :  fcd6 ; 
+ 16f   :  fcd5 ; 
+ 170   :  fcd3 ; 
+ 171   :  fcd2 ; 
+ 172   :  fcd1 ; 
+ 173   :  fcd0 ; 
+ 174   :  fccf ; 
+ 175   :  fcce ; 
+ 176   :  fccc ; 
+ 177   :  fccb ; 
+ 178   :  fcca ; 
+ 179   :  fcc9 ; 
+ 17a   :  fcc8 ; 
+ 17b   :  fcc7 ; 
+ 17c   :  fcc6 ; 
+ 17d   :  fcc4 ; 
+ 17e   :  fcc3 ; 
+ 17f   :  fcc2 ; 
+ 180   :  fcc1 ; 
+ 181   :  fcc0 ; 
+ 182   :  fcbf ; 
+ 183   :  fcbe ; 
+ 184   :  fcbd ; 
+ 185   :  fcbc ; 
+ 186   :  fcbb ; 
+ 187   :  fcba ; 
+ 188   :  fcb9 ; 
+ 189   :  fcb8 ; 
+ 18a   :  fcb7 ; 
+ 18b   :  fcb6 ; 
+ 18c   :  fcb5 ; 
+ 18d   :  fcb4 ; 
+ 18e   :  fcb3 ; 
+ 18f   :  fcb2 ; 
+ 190   :  fcb1 ; 
+ 191   :  fcb0 ; 
+ 192   :  fcaf ; 
+ 193   :  fcae ; 
+ 194   :  fcad ; 
+ 195   :  fcac ; 
+ 196   :  fcab ; 
+ 197   :  fcaa ; 
+ 198   :  fca9 ; 
+ 199   :  fca8 ; 
+ 19a   :  fca8 ; 
+ 19b   :  fca7 ; 
+ 19c   :  fca6 ; 
+ 19d   :  fca5 ; 
+ 19e   :  fca4 ; 
+ 19f   :  fca3 ; 
+ 1a0   :  fca2 ; 
+ 1a1   :  fca2 ; 
+ 1a2   :  fca1 ; 
+ 1a3   :  fca0 ; 
+ 1a4   :  fc9f ; 
+ 1a5   :  fc9e ; 
+ 1a6   :  fc9d ; 
+ 1a7   :  fc9d ; 
+ 1a8   :  fc9c ; 
+ 1a9   :  fc9b ; 
+ 1aa   :  fc9a ; 
+ 1ab   :  fc9a ; 
+ 1ac   :  fc99 ; 
+ 1ad   :  fc98 ; 
+ 1ae   :  fc97 ; 
+ 1af   :  fc97 ; 
+ 1b0   :  fc96 ; 
+ 1b1   :  fc95 ; 
+ 1b2   :  fc94 ; 
+ 1b3   :  fc94 ; 
+ 1b4   :  fc93 ; 
+ 1b5   :  fc92 ; 
+ 1b6   :  fc92 ; 
+ 1b7   :  fc91 ; 
+ 1b8   :  fc90 ; 
+ 1b9   :  fc90 ; 
+ 1ba   :  fc8f ; 
+ 1bb   :  fc8e ; 
+ 1bc   :  fc8e ; 
+ 1bd   :  fc8d ; 
+ 1be   :  fc8d ; 
+ 1bf   :  fc8c ; 
+ 1c0   :  fc8b ; 
+ 1c1   :  fc8b ; 
+ 1c2   :  fc8a ; 
+ 1c3   :  fc8a ; 
+ 1c4   :  fc89 ; 
+ 1c5   :  fc88 ; 
+ 1c6   :  fc88 ; 
+ 1c7   :  fc87 ; 
+ 1c8   :  fc87 ; 
+ 1c9   :  fc86 ; 
+ 1ca   :  fc86 ; 
+ 1cb   :  fc85 ; 
+ 1cc   :  fc85 ; 
+ 1cd   :  fc84 ; 
+ 1ce   :  fc84 ; 
+ 1cf   :  fc83 ; 
+ 1d0   :  fc83 ; 
+ 1d1   :  fc82 ; 
+ 1d2   :  fc82 ; 
+ 1d3   :  fc81 ; 
+ 1d4   :  fc81 ; 
+ 1d5   :  fc80 ; 
+ 1d6   :  fc80 ; 
+ 1d7   :  fc80 ; 
+ 1d8   :  fc7f ; 
+ 1d9   :  fc7f ; 
+ 1da   :  fc7e ; 
+ 1db   :  fc7e ; 
+ 1dc   :  fc7e ; 
+ 1dd   :  fc7d ; 
+ 1de   :  fc7d ; 
+ 1df   :  fc7c ; 
+ 1e0   :  fc7c ; 
+ 1e1   :  fc7c ; 
+ 1e2   :  fc7b ; 
+ 1e3   :  fc7b ; 
+ 1e4   :  fc7b ; 
+ 1e5   :  fc7a ; 
+ 1e6   :  fc7a ; 
+ 1e7   :  fc7a ; 
+ 1e8   :  fc79 ; 
+ 1e9   :  fc79 ; 
+ 1ea   :  fc79 ; 
+ 1eb   :  fc79 ; 
+ 1ec   :  fc78 ; 
+ 1ed   :  fc78 ; 
+ 1ee   :  fc78 ; 
+ 1ef   :  fc78 ; 
+ 1f0   :  fc77 ; 
+ 1f1   :  fc77 ; 
+ 1f2   :  fc77 ; 
+ 1f3   :  fc77 ; 
+ 1f4   :  fc76 ; 
+ 1f5   :  fc76 ; 
+ 1f6   :  fc76 ; 
+ 1f7   :  fc76 ; 
+ 1f8   :  fc76 ; 
+ 1f9   :  fc75 ; 
+ 1fa   :  fc75 ; 
+ 1fb   :  fc75 ; 
+ 1fc   :  fc75 ; 
+ 1fd   :  fc75 ; 
+ 1fe   :  fc75 ; 
+ 1ff   :  fc74 ; 
+ 200   :  fc74 ; 
+ 201   :  fc74 ; 
+ 202   :  fc74 ; 
+ 203   :  fc74 ; 
+ 204   :  fc74 ; 
+ 205   :  fc74 ; 
+ 206   :  fc74 ; 
+ 207   :  fc74 ; 
+ 208   :  fc74 ; 
+ 209   :  fc73 ; 
+ 20a   :  fc73 ; 
+ 20b   :  fc73 ; 
+ 20c   :  fc73 ; 
+ 20d   :  fc73 ; 
+ 20e   :  fc73 ; 
+ 20f   :  fc73 ; 
+ 210   :  fc73 ; 
+ 211   :  fc73 ; 
+ 212   :  fc73 ; 
+ 213   :  fc73 ; 
+ 214   :  fc73 ; 
+ 215   :  fc73 ; 
+ 216   :  fc73 ; 
+ 217   :  fc73 ; 
+ 218   :  fc73 ; 
+ 219   :  fc73 ; 
+ 21a   :  fc73 ; 
+ 21b   :  fc74 ; 
+ 21c   :  fc74 ; 
+ 21d   :  fc74 ; 
+ 21e   :  fc74 ; 
+ 21f   :  fc74 ; 
+ 220   :  fc74 ; 
+ 221   :  fc74 ; 
+ 222   :  fc74 ; 
+ 223   :  fc74 ; 
+ 224   :  fc74 ; 
+ 225   :  fc75 ; 
+ 226   :  fc75 ; 
+ 227   :  fc75 ; 
+ 228   :  fc75 ; 
+ 229   :  fc75 ; 
+ 22a   :  fc75 ; 
+ 22b   :  fc76 ; 
+ 22c   :  fc76 ; 
+ 22d   :  fc76 ; 
+ 22e   :  fc76 ; 
+ 22f   :  fc76 ; 
+ 230   :  fc76 ; 
+ 231   :  fc77 ; 
+ 232   :  fc77 ; 
+ 233   :  fc77 ; 
+ 234   :  fc77 ; 
+ 235   :  fc78 ; 
+ 236   :  fc78 ; 
+ 237   :  fc78 ; 
+ 238   :  fc78 ; 
+ 239   :  fc79 ; 
+ 23a   :  fc79 ; 
+ 23b   :  fc79 ; 
+ 23c   :  fc7a ; 
+ 23d   :  fc7a ; 
+ 23e   :  fc7a ; 
+ 23f   :  fc7a ; 
+ 240   :  fc7b ; 
+ 241   :  fc7b ; 
+ 242   :  fc7b ; 
+ 243   :  fc7c ; 
+ 244   :  fc7c ; 
+ 245   :  fc7c ; 
+ 246   :  fc7d ; 
+ 247   :  fc7d ; 
+ 248   :  fc7e ; 
+ 249   :  fc7e ; 
+ 24a   :  fc7e ; 
+ 24b   :  fc7f ; 
+ 24c   :  fc7f ; 
+ 24d   :  fc7f ; 
+ 24e   :  fc80 ; 
+ 24f   :  fc80 ; 
+ 250   :  fc81 ; 
+ 251   :  fc81 ; 
+ 252   :  fc82 ; 
+ 253   :  fc82 ; 
+ 254   :  fc82 ; 
+ 255   :  fc83 ; 
+ 256   :  fc83 ; 
+ 257   :  fc84 ; 
+ 258   :  fc84 ; 
+ 259   :  fc85 ; 
+ 25a   :  fc85 ; 
+ 25b   :  fc86 ; 
+ 25c   :  fc86 ; 
+ 25d   :  fc87 ; 
+ 25e   :  fc87 ; 
+ 25f   :  fc88 ; 
+ 260   :  fc88 ; 
+ 261   :  fc89 ; 
+ 262   :  fc89 ; 
+ 263   :  fc8a ; 
+ 264   :  fc8a ; 
+ 265   :  fc8b ; 
+ 266   :  fc8c ; 
+ 267   :  fc8c ; 
+ 268   :  fc8d ; 
+ 269   :  fc8d ; 
+ 26a   :  fc8e ; 
+ 26b   :  fc8e ; 
+ 26c   :  fc8f ; 
+ 26d   :  fc90 ; 
+ 26e   :  fc90 ; 
+ 26f   :  fc91 ; 
+ 270   :  fc92 ; 
+ 271   :  fc92 ; 
+ 272   :  fc93 ; 
+ 273   :  fc93 ; 
+ 274   :  fc94 ; 
+ 275   :  fc95 ; 
+ 276   :  fc95 ; 
+ 277   :  fc96 ; 
+ 278   :  fc97 ; 
+ 279   :  fc97 ; 
+ 27a   :  fc98 ; 
+ 27b   :  fc99 ; 
+ 27c   :  fc9a ; 
+ 27d   :  fc9a ; 
+ 27e   :  fc9b ; 
+ 27f   :  fc9c ; 
+ 280   :  fc9c ; 
+ 281   :  fc9d ; 
+ 282   :  fc9e ; 
+ 283   :  fc9f ; 
+ 284   :  fc9f ; 
+ 285   :  fca0 ; 
+ 286   :  fca1 ; 
+ 287   :  fca2 ; 
+ 288   :  fca2 ; 
+ 289   :  fca3 ; 
+ 28a   :  fca4 ; 
+ 28b   :  fca5 ; 
+ 28c   :  fca5 ; 
+ 28d   :  fca6 ; 
+ 28e   :  fca7 ; 
+ 28f   :  fca8 ; 
+ 290   :  fca9 ; 
+ 291   :  fcaa ; 
+ 292   :  fcaa ; 
+ 293   :  fcab ; 
+ 294   :  fcac ; 
+ 295   :  fcad ; 
+ 296   :  fcae ; 
+ 297   :  fcaf ; 
+ 298   :  fcaf ; 
+ 299   :  fcb0 ; 
+ 29a   :  fcb1 ; 
+ 29b   :  fcb2 ; 
+ 29c   :  fcb3 ; 
+ 29d   :  fcb4 ; 
+ 29e   :  fcb5 ; 
+ 29f   :  fcb6 ; 
+ 2a0   :  fcb6 ; 
+ 2a1   :  fcb7 ; 
+ 2a2   :  fcb8 ; 
+ 2a3   :  fcb9 ; 
+ 2a4   :  fcba ; 
+ 2a5   :  fcbb ; 
+ 2a6   :  fcbc ; 
+ 2a7   :  fcbd ; 
+ 2a8   :  fcbe ; 
+ 2a9   :  fcbf ; 
+ 2aa   :  fcc0 ; 
+ 2ab   :  fcc1 ; 
+ 2ac   :  fcc2 ; 
+ 2ad   :  fcc3 ; 
+ 2ae   :  fcc4 ; 
+ 2af   :  fcc5 ; 
+ 2b0   :  fcc6 ; 
+ 2b1   :  fcc7 ; 
+ 2b2   :  fcc8 ; 
+ 2b3   :  fcc9 ; 
+ 2b4   :  fcca ; 
+ 2b5   :  fccb ; 
+ 2b6   :  fccc ; 
+ 2b7   :  fccd ; 
+ 2b8   :  fcce ; 
+ 2b9   :  fccf ; 
+ 2ba   :  fcd0 ; 
+ 2bb   :  fcd1 ; 
+ 2bc   :  fcd2 ; 
+ 2bd   :  fcd3 ; 
+ 2be   :  fcd4 ; 
+ 2bf   :  fcd5 ; 
+ 2c0   :  fcd6 ; 
+ 2c1   :  fcd7 ; 
+ 2c2   :  fcd8 ; 
+ 2c3   :  fcda ; 
+ 2c4   :  fcdb ; 
+ 2c5   :  fcdc ; 
+ 2c6   :  fcdd ; 
+ 2c7   :  fcde ; 
+ 2c8   :  fcdf ; 
+ 2c9   :  fce0 ; 
+ 2ca   :  fce1 ; 
+ 2cb   :  fce2 ; 
+ 2cc   :  fce4 ; 
+ 2cd   :  fce5 ; 
+ 2ce   :  fce6 ; 
+ 2cf   :  fce7 ; 
+ 2d0   :  fce8 ; 
+ 2d1   :  fce9 ; 
+ 2d2   :  fcea ; 
+ 2d3   :  fcec ; 
+ 2d4   :  fced ; 
+ 2d5   :  fcee ; 
+ 2d6   :  fcef ; 
+ 2d7   :  fcf0 ; 
+ 2d8   :  fcf2 ; 
+ 2d9   :  fcf3 ; 
+ 2da   :  fcf4 ; 
+ 2db   :  fcf5 ; 
+ 2dc   :  fcf6 ; 
+ 2dd   :  fcf8 ; 
+ 2de   :  fcf9 ; 
+ 2df   :  fcfa ; 
+ 2e0   :  fcfb ; 
+ 2e1   :  fcfc ; 
+ 2e2   :  fcfe ; 
+ 2e3   :  fcff ; 
+ 2e4   :  fd00 ; 
+ 2e5   :  fd01 ; 
+ 2e6   :  fd03 ; 
+ 2e7   :  fd04 ; 
+ 2e8   :  fd05 ; 
+ 2e9   :  fd07 ; 
+ 2ea   :  fd08 ; 
+ 2eb   :  fd09 ; 
+ 2ec   :  fd0a ; 
+ 2ed   :  fd0c ; 
+ 2ee   :  fd0d ; 
+ 2ef   :  fd0e ; 
+ 2f0   :  fd10 ; 
+ 2f1   :  fd11 ; 
+ 2f2   :  fd12 ; 
+ 2f3   :  fd13 ; 
+ 2f4   :  fd15 ; 
+ 2f5   :  fd16 ; 
+ 2f6   :  fd17 ; 
+ 2f7   :  fd19 ; 
+ 2f8   :  fd1a ; 
+ 2f9   :  fd1b ; 
+ 2fa   :  fd1d ; 
+ 2fb   :  fd1e ; 
+ 2fc   :  fd1f ; 
+ 2fd   :  fd21 ; 
+ 2fe   :  fd22 ; 
+ 2ff   :  fd24 ; 
+ 300   :  fd25 ; 
+ 301   :  fd26 ; 
+ 302   :  fd28 ; 
+ 303   :  fd29 ; 
+ 304   :  fd2a ; 
+ 305   :  fd2c ; 
+ 306   :  fd2d ; 
+ 307   :  fd2f ; 
+ 308   :  fd30 ; 
+ 309   :  fd31 ; 
+ 30a   :  fd33 ; 
+ 30b   :  fd34 ; 
+ 30c   :  fd36 ; 
+ 30d   :  fd37 ; 
+ 30e   :  fd39 ; 
+ 30f   :  fd3a ; 
+ 310   :  fd3b ; 
+ 311   :  fd3d ; 
+ 312   :  fd3e ; 
+ 313   :  fd40 ; 
+ 314   :  fd41 ; 
+ 315   :  fd43 ; 
+ 316   :  fd44 ; 
+ 317   :  fd46 ; 
+ 318   :  fd47 ; 
+ 319   :  fd48 ; 
+ 31a   :  fd4a ; 
+ 31b   :  fd4b ; 
+ 31c   :  fd4d ; 
+ 31d   :  fd4e ; 
+ 31e   :  fd50 ; 
+ 31f   :  fd51 ; 
+ 320   :  fd53 ; 
+ 321   :  fd54 ; 
+ 322   :  fd56 ; 
+ 323   :  fd57 ; 
+ 324   :  fd59 ; 
+ 325   :  fd5a ; 
+ 326   :  fd5c ; 
+ 327   :  fd5d ; 
+ 328   :  fd5f ; 
+ 329   :  fd60 ; 
+ 32a   :  fd62 ; 
+ 32b   :  fd63 ; 
+ 32c   :  fd65 ; 
+ 32d   :  fd67 ; 
+ 32e   :  fd68 ; 
+ 32f   :  fd6a ; 
+ 330   :  fd6b ; 
+ 331   :  fd6d ; 
+ 332   :  fd6e ; 
+ 333   :  fd70 ; 
+ 334   :  fd71 ; 
+ 335   :  fd73 ; 
+ 336   :  fd75 ; 
+ 337   :  fd76 ; 
+ 338   :  fd78 ; 
+ 339   :  fd79 ; 
+ 33a   :  fd7b ; 
+ 33b   :  fd7c ; 
+ 33c   :  fd7e ; 
+ 33d   :  fd80 ; 
+ 33e   :  fd81 ; 
+ 33f   :  fd83 ; 
+ 340   :  fd84 ; 
+ 341   :  fd86 ; 
+ 342   :  fd88 ; 
+ 343   :  fd89 ; 
+ 344   :  fd8b ; 
+ 345   :  fd8c ; 
+ 346   :  fd8e ; 
+ 347   :  fd90 ; 
+ 348   :  fd91 ; 
+ 349   :  fd93 ; 
+ 34a   :  fd95 ; 
+ 34b   :  fd96 ; 
+ 34c   :  fd98 ; 
+ 34d   :  fd99 ; 
+ 34e   :  fd9b ; 
+ 34f   :  fd9d ; 
+ 350   :  fd9e ; 
+ 351   :  fda0 ; 
+ 352   :  fda2 ; 
+ 353   :  fda3 ; 
+ 354   :  fda5 ; 
+ 355   :  fda7 ; 
+ 356   :  fda8 ; 
+ 357   :  fdaa ; 
+ 358   :  fdac ; 
+ 359   :  fdad ; 
+ 35a   :  fdaf ; 
+ 35b   :  fdb1 ; 
+ 35c   :  fdb2 ; 
+ 35d   :  fdb4 ; 
+ 35e   :  fdb6 ; 
+ 35f   :  fdb7 ; 
+ 360   :  fdb9 ; 
+ 361   :  fdbb ; 
+ 362   :  fdbd ; 
+ 363   :  fdbe ; 
+ 364   :  fdc0 ; 
+ 365   :  fdc2 ; 
+ 366   :  fdc3 ; 
+ 367   :  fdc5 ; 
+ 368   :  fdc7 ; 
+ 369   :  fdc8 ; 
+ 36a   :  fdca ; 
+ 36b   :  fdcc ; 
+ 36c   :  fdce ; 
+ 36d   :  fdcf ; 
+ 36e   :  fdd1 ; 
+ 36f   :  fdd3 ; 
+ 370   :  fdd5 ; 
+ 371   :  fdd6 ; 
+ 372   :  fdd8 ; 
+ 373   :  fdda ; 
+ 374   :  fddb ; 
+ 375   :  fddd ; 
+ 376   :  fddf ; 
+ 377   :  fde1 ; 
+ 378   :  fde2 ; 
+ 379   :  fde4 ; 
+ 37a   :  fde6 ; 
+ 37b   :  fde8 ; 
+ 37c   :  fde9 ; 
+ 37d   :  fdeb ; 
+ 37e   :  fded ; 
+ 37f   :  fdef ; 
+ 380   :  fdf0 ; 
+ 381   :  fdf2 ; 
+ 382   :  fdf4 ; 
+ 383   :  fdf6 ; 
+ 384   :  fdf8 ; 
+ 385   :  fdf9 ; 
+ 386   :  fdfb ; 
+ 387   :  fdfd ; 
+ 388   :  fdff ; 
+ 389   :  fe00 ; 
+ 38a   :  fe02 ; 
+ 38b   :  fe04 ; 
+ 38c   :  fe06 ; 
+ 38d   :  fe08 ; 
+ 38e   :  fe09 ; 
+ 38f   :  fe0b ; 
+ 390   :  fe0d ; 
+ 391   :  fe0f ; 
+ 392   :  fe11 ; 
+ 393   :  fe12 ; 
+ 394   :  fe14 ; 
+ 395   :  fe16 ; 
+ 396   :  fe18 ; 
+ 397   :  fe1a ; 
+ 398   :  fe1b ; 
+ 399   :  fe1d ; 
+ 39a   :  fe1f ; 
+ 39b   :  fe21 ; 
+ 39c   :  fe23 ; 
+ 39d   :  fe25 ; 
+ 39e   :  fe26 ; 
+ 39f   :  fe28 ; 
+ 3a0   :  fe2a ; 
+ 3a1   :  fe2c ; 
+ 3a2   :  fe2e ; 
+ 3a3   :  fe2f ; 
+ 3a4   :  fe31 ; 
+ 3a5   :  fe33 ; 
+ 3a6   :  fe35 ; 
+ 3a7   :  fe37 ; 
+ 3a8   :  fe39 ; 
+ 3a9   :  fe3a ; 
+ 3aa   :  fe3c ; 
+ 3ab   :  fe3e ; 
+ 3ac   :  fe40 ; 
+ 3ad   :  fe42 ; 
+ 3ae   :  fe44 ; 
+ 3af   :  fe45 ; 
+ 3b0   :  fe47 ; 
+ 3b1   :  fe49 ; 
+ 3b2   :  fe4b ; 
+ 3b3   :  fe4d ; 
+ 3b4   :  fe4f ; 
+ 3b5   :  fe51 ; 
+ 3b6   :  fe52 ; 
+ 3b7   :  fe54 ; 
+ 3b8   :  fe56 ; 
+ 3b9   :  fe58 ; 
+ 3ba   :  fe5a ; 
+ 3bb   :  fe5c ; 
+ 3bc   :  fe5e ; 
+ 3bd   :  fe5f ; 
+ 3be   :  fe61 ; 
+ 3bf   :  fe63 ; 
+ 3c0   :  fe65 ; 
+ 3c1   :  fe67 ; 
+ 3c2   :  fe69 ; 
+ 3c3   :  fe6b ; 
+ 3c4   :  fe6c ; 
+ 3c5   :  fe6e ; 
+ 3c6   :  fe70 ; 
+ 3c7   :  fe72 ; 
+ 3c8   :  fe74 ; 
+ 3c9   :  fe76 ; 
+ 3ca   :  fe78 ; 
+ 3cb   :  fe7a ; 
+ 3cc   :  fe7b ; 
+ 3cd   :  fe7d ; 
+ 3ce   :  fe7f ; 
+ 3cf   :  fe81 ; 
+ 3d0   :  fe83 ; 
+ 3d1   :  fe85 ; 
+ 3d2   :  fe87 ; 
+ 3d3   :  fe89 ; 
+ 3d4   :  fe8b ; 
+ 3d5   :  fe8c ; 
+ 3d6   :  fe8e ; 
+ 3d7   :  fe90 ; 
+ 3d8   :  fe92 ; 
+ 3d9   :  fe94 ; 
+ 3da   :  fe96 ; 
+ 3db   :  fe98 ; 
+ 3dc   :  fe9a ; 
+ 3dd   :  fe9b ; 
+ 3de   :  fe9d ; 
+ 3df   :  fe9f ; 
+ 3e0   :  fea1 ; 
+ 3e1   :  fea3 ; 
+ 3e2   :  fea5 ; 
+ 3e3   :  fea7 ; 
+ 3e4   :  fea9 ; 
+ 3e5   :  feab ; 
+ 3e6   :  feac ; 
+ 3e7   :  feae ; 
+ 3e8   :  feb0 ; 
+ 3e9   :  feb2 ; 
+ 3ea   :  feb4 ; 
+ 3eb   :  feb6 ; 
+ 3ec   :  feb8 ; 
+ 3ed   :  feba ; 
+ 3ee   :  febc ; 
+ 3ef   :  febe ; 
+ 3f0   :  febf ; 
+ 3f1   :  fec1 ; 
+ 3f2   :  fec3 ; 
+ 3f3   :  fec5 ; 
+ 3f4   :  fec7 ; 
+ 3f5   :  fec9 ; 
+ 3f6   :  fecb ; 
+ 3f7   :  fecd ; 
+ 3f8   :  fecf ; 
+ 3f9   :  fed1 ; 
+ 3fa   :  fed2 ; 
+ 3fb   :  fed4 ; 
+ 3fc   :  fed6 ; 
+ 3fd   :  fed8 ; 
+ 3fe   :  feda ; 
+ 3ff   :  fedc ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_3.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_3.mif
new file mode 100644
index 0000000000000000000000000000000000000000..6c0853418cc02ac4e8580b8af77400814ca714b7
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_3.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  5a ; 
+ 1   :  5f ; 
+ 2   :  64 ; 
+ 3   :  69 ; 
+ 4   :  6e ; 
+ 5   :  74 ; 
+ 6   :  79 ; 
+ 7   :  7e ; 
+ 8   :  83 ; 
+ 9   :  88 ; 
+ a   :  8d ; 
+ b   :  92 ; 
+ c   :  97 ; 
+ d   :  9c ; 
+ e   :  a1 ; 
+ f   :  a6 ; 
+ 10   :  ab ; 
+ 11   :  b0 ; 
+ 12   :  b5 ; 
+ 13   :  ba ; 
+ 14   :  bf ; 
+ 15   :  c4 ; 
+ 16   :  c9 ; 
+ 17   :  ce ; 
+ 18   :  d3 ; 
+ 19   :  d8 ; 
+ 1a   :  dd ; 
+ 1b   :  e2 ; 
+ 1c   :  e7 ; 
+ 1d   :  ec ; 
+ 1e   :  f1 ; 
+ 1f   :  f6 ; 
+ 20   :  fb ; 
+ 21   :  100 ; 
+ 22   :  105 ; 
+ 23   :  10a ; 
+ 24   :  10f ; 
+ 25   :  114 ; 
+ 26   :  119 ; 
+ 27   :  11e ; 
+ 28   :  123 ; 
+ 29   :  127 ; 
+ 2a   :  12c ; 
+ 2b   :  131 ; 
+ 2c   :  136 ; 
+ 2d   :  13b ; 
+ 2e   :  140 ; 
+ 2f   :  145 ; 
+ 30   :  149 ; 
+ 31   :  14e ; 
+ 32   :  153 ; 
+ 33   :  158 ; 
+ 34   :  15d ; 
+ 35   :  161 ; 
+ 36   :  166 ; 
+ 37   :  16b ; 
+ 38   :  170 ; 
+ 39   :  175 ; 
+ 3a   :  179 ; 
+ 3b   :  17e ; 
+ 3c   :  183 ; 
+ 3d   :  188 ; 
+ 3e   :  18c ; 
+ 3f   :  191 ; 
+ 40   :  196 ; 
+ 41   :  19a ; 
+ 42   :  19f ; 
+ 43   :  1a4 ; 
+ 44   :  1a8 ; 
+ 45   :  1ad ; 
+ 46   :  1b2 ; 
+ 47   :  1b6 ; 
+ 48   :  1bb ; 
+ 49   :  1c0 ; 
+ 4a   :  1c4 ; 
+ 4b   :  1c9 ; 
+ 4c   :  1ce ; 
+ 4d   :  1d2 ; 
+ 4e   :  1d7 ; 
+ 4f   :  1db ; 
+ 50   :  1e0 ; 
+ 51   :  1e5 ; 
+ 52   :  1e9 ; 
+ 53   :  1ee ; 
+ 54   :  1f2 ; 
+ 55   :  1f7 ; 
+ 56   :  1fb ; 
+ 57   :  200 ; 
+ 58   :  204 ; 
+ 59   :  209 ; 
+ 5a   :  20d ; 
+ 5b   :  212 ; 
+ 5c   :  216 ; 
+ 5d   :  21b ; 
+ 5e   :  21f ; 
+ 5f   :  224 ; 
+ 60   :  228 ; 
+ 61   :  22d ; 
+ 62   :  231 ; 
+ 63   :  236 ; 
+ 64   :  23a ; 
+ 65   :  23e ; 
+ 66   :  243 ; 
+ 67   :  247 ; 
+ 68   :  24c ; 
+ 69   :  250 ; 
+ 6a   :  254 ; 
+ 6b   :  259 ; 
+ 6c   :  25d ; 
+ 6d   :  261 ; 
+ 6e   :  266 ; 
+ 6f   :  26a ; 
+ 70   :  26e ; 
+ 71   :  272 ; 
+ 72   :  277 ; 
+ 73   :  27b ; 
+ 74   :  27f ; 
+ 75   :  284 ; 
+ 76   :  288 ; 
+ 77   :  28c ; 
+ 78   :  290 ; 
+ 79   :  294 ; 
+ 7a   :  299 ; 
+ 7b   :  29d ; 
+ 7c   :  2a1 ; 
+ 7d   :  2a5 ; 
+ 7e   :  2a9 ; 
+ 7f   :  2ae ; 
+ 80   :  2b2 ; 
+ 81   :  2b6 ; 
+ 82   :  2ba ; 
+ 83   :  2be ; 
+ 84   :  2c2 ; 
+ 85   :  2c6 ; 
+ 86   :  2ca ; 
+ 87   :  2ce ; 
+ 88   :  2d3 ; 
+ 89   :  2d7 ; 
+ 8a   :  2db ; 
+ 8b   :  2df ; 
+ 8c   :  2e3 ; 
+ 8d   :  2e7 ; 
+ 8e   :  2eb ; 
+ 8f   :  2ef ; 
+ 90   :  2f3 ; 
+ 91   :  2f7 ; 
+ 92   :  2fb ; 
+ 93   :  2ff ; 
+ 94   :  303 ; 
+ 95   :  306 ; 
+ 96   :  30a ; 
+ 97   :  30e ; 
+ 98   :  312 ; 
+ 99   :  316 ; 
+ 9a   :  31a ; 
+ 9b   :  31e ; 
+ 9c   :  322 ; 
+ 9d   :  326 ; 
+ 9e   :  329 ; 
+ 9f   :  32d ; 
+ a0   :  331 ; 
+ a1   :  335 ; 
+ a2   :  339 ; 
+ a3   :  33c ; 
+ a4   :  340 ; 
+ a5   :  344 ; 
+ a6   :  348 ; 
+ a7   :  34b ; 
+ a8   :  34f ; 
+ a9   :  353 ; 
+ aa   :  357 ; 
+ ab   :  35a ; 
+ ac   :  35e ; 
+ ad   :  362 ; 
+ ae   :  365 ; 
+ af   :  369 ; 
+ b0   :  36d ; 
+ b1   :  370 ; 
+ b2   :  374 ; 
+ b3   :  377 ; 
+ b4   :  37b ; 
+ b5   :  37f ; 
+ b6   :  382 ; 
+ b7   :  386 ; 
+ b8   :  389 ; 
+ b9   :  38d ; 
+ ba   :  390 ; 
+ bb   :  394 ; 
+ bc   :  397 ; 
+ bd   :  39b ; 
+ be   :  39e ; 
+ bf   :  3a2 ; 
+ c0   :  3a5 ; 
+ c1   :  3a9 ; 
+ c2   :  3ac ; 
+ c3   :  3b0 ; 
+ c4   :  3b3 ; 
+ c5   :  3b7 ; 
+ c6   :  3ba ; 
+ c7   :  3bd ; 
+ c8   :  3c1 ; 
+ c9   :  3c4 ; 
+ ca   :  3c7 ; 
+ cb   :  3cb ; 
+ cc   :  3ce ; 
+ cd   :  3d1 ; 
+ ce   :  3d5 ; 
+ cf   :  3d8 ; 
+ d0   :  3db ; 
+ d1   :  3de ; 
+ d2   :  3e2 ; 
+ d3   :  3e5 ; 
+ d4   :  3e8 ; 
+ d5   :  3eb ; 
+ d6   :  3ef ; 
+ d7   :  3f2 ; 
+ d8   :  3f5 ; 
+ d9   :  3f8 ; 
+ da   :  3fb ; 
+ db   :  3fe ; 
+ dc   :  402 ; 
+ dd   :  405 ; 
+ de   :  408 ; 
+ df   :  40b ; 
+ e0   :  40e ; 
+ e1   :  411 ; 
+ e2   :  414 ; 
+ e3   :  417 ; 
+ e4   :  41a ; 
+ e5   :  41d ; 
+ e6   :  420 ; 
+ e7   :  423 ; 
+ e8   :  426 ; 
+ e9   :  429 ; 
+ ea   :  42c ; 
+ eb   :  42f ; 
+ ec   :  432 ; 
+ ed   :  435 ; 
+ ee   :  438 ; 
+ ef   :  43b ; 
+ f0   :  43e ; 
+ f1   :  441 ; 
+ f2   :  443 ; 
+ f3   :  446 ; 
+ f4   :  449 ; 
+ f5   :  44c ; 
+ f6   :  44f ; 
+ f7   :  452 ; 
+ f8   :  454 ; 
+ f9   :  457 ; 
+ fa   :  45a ; 
+ fb   :  45d ; 
+ fc   :  45f ; 
+ fd   :  462 ; 
+ fe   :  465 ; 
+ ff   :  468 ; 
+ 100   :  46a ; 
+ 101   :  46d ; 
+ 102   :  470 ; 
+ 103   :  472 ; 
+ 104   :  475 ; 
+ 105   :  478 ; 
+ 106   :  47a ; 
+ 107   :  47d ; 
+ 108   :  47f ; 
+ 109   :  482 ; 
+ 10a   :  484 ; 
+ 10b   :  487 ; 
+ 10c   :  48a ; 
+ 10d   :  48c ; 
+ 10e   :  48f ; 
+ 10f   :  491 ; 
+ 110   :  494 ; 
+ 111   :  496 ; 
+ 112   :  499 ; 
+ 113   :  49b ; 
+ 114   :  49d ; 
+ 115   :  4a0 ; 
+ 116   :  4a2 ; 
+ 117   :  4a5 ; 
+ 118   :  4a7 ; 
+ 119   :  4a9 ; 
+ 11a   :  4ac ; 
+ 11b   :  4ae ; 
+ 11c   :  4b0 ; 
+ 11d   :  4b3 ; 
+ 11e   :  4b5 ; 
+ 11f   :  4b7 ; 
+ 120   :  4ba ; 
+ 121   :  4bc ; 
+ 122   :  4be ; 
+ 123   :  4c0 ; 
+ 124   :  4c3 ; 
+ 125   :  4c5 ; 
+ 126   :  4c7 ; 
+ 127   :  4c9 ; 
+ 128   :  4cb ; 
+ 129   :  4ce ; 
+ 12a   :  4d0 ; 
+ 12b   :  4d2 ; 
+ 12c   :  4d4 ; 
+ 12d   :  4d6 ; 
+ 12e   :  4d8 ; 
+ 12f   :  4da ; 
+ 130   :  4dc ; 
+ 131   :  4df ; 
+ 132   :  4e1 ; 
+ 133   :  4e3 ; 
+ 134   :  4e5 ; 
+ 135   :  4e7 ; 
+ 136   :  4e9 ; 
+ 137   :  4eb ; 
+ 138   :  4ed ; 
+ 139   :  4ef ; 
+ 13a   :  4f0 ; 
+ 13b   :  4f2 ; 
+ 13c   :  4f4 ; 
+ 13d   :  4f6 ; 
+ 13e   :  4f8 ; 
+ 13f   :  4fa ; 
+ 140   :  4fc ; 
+ 141   :  4fe ; 
+ 142   :  500 ; 
+ 143   :  501 ; 
+ 144   :  503 ; 
+ 145   :  505 ; 
+ 146   :  507 ; 
+ 147   :  509 ; 
+ 148   :  50a ; 
+ 149   :  50c ; 
+ 14a   :  50e ; 
+ 14b   :  510 ; 
+ 14c   :  511 ; 
+ 14d   :  513 ; 
+ 14e   :  515 ; 
+ 14f   :  516 ; 
+ 150   :  518 ; 
+ 151   :  51a ; 
+ 152   :  51b ; 
+ 153   :  51d ; 
+ 154   :  51e ; 
+ 155   :  520 ; 
+ 156   :  522 ; 
+ 157   :  523 ; 
+ 158   :  525 ; 
+ 159   :  526 ; 
+ 15a   :  528 ; 
+ 15b   :  529 ; 
+ 15c   :  52b ; 
+ 15d   :  52c ; 
+ 15e   :  52e ; 
+ 15f   :  52f ; 
+ 160   :  531 ; 
+ 161   :  532 ; 
+ 162   :  534 ; 
+ 163   :  535 ; 
+ 164   :  536 ; 
+ 165   :  538 ; 
+ 166   :  539 ; 
+ 167   :  53a ; 
+ 168   :  53c ; 
+ 169   :  53d ; 
+ 16a   :  53e ; 
+ 16b   :  540 ; 
+ 16c   :  541 ; 
+ 16d   :  542 ; 
+ 16e   :  544 ; 
+ 16f   :  545 ; 
+ 170   :  546 ; 
+ 171   :  547 ; 
+ 172   :  548 ; 
+ 173   :  54a ; 
+ 174   :  54b ; 
+ 175   :  54c ; 
+ 176   :  54d ; 
+ 177   :  54e ; 
+ 178   :  54f ; 
+ 179   :  551 ; 
+ 17a   :  552 ; 
+ 17b   :  553 ; 
+ 17c   :  554 ; 
+ 17d   :  555 ; 
+ 17e   :  556 ; 
+ 17f   :  557 ; 
+ 180   :  558 ; 
+ 181   :  559 ; 
+ 182   :  55a ; 
+ 183   :  55b ; 
+ 184   :  55c ; 
+ 185   :  55d ; 
+ 186   :  55e ; 
+ 187   :  55f ; 
+ 188   :  560 ; 
+ 189   :  561 ; 
+ 18a   :  561 ; 
+ 18b   :  562 ; 
+ 18c   :  563 ; 
+ 18d   :  564 ; 
+ 18e   :  565 ; 
+ 18f   :  566 ; 
+ 190   :  567 ; 
+ 191   :  567 ; 
+ 192   :  568 ; 
+ 193   :  569 ; 
+ 194   :  56a ; 
+ 195   :  56a ; 
+ 196   :  56b ; 
+ 197   :  56c ; 
+ 198   :  56d ; 
+ 199   :  56d ; 
+ 19a   :  56e ; 
+ 19b   :  56f ; 
+ 19c   :  56f ; 
+ 19d   :  570 ; 
+ 19e   :  571 ; 
+ 19f   :  571 ; 
+ 1a0   :  572 ; 
+ 1a1   :  572 ; 
+ 1a2   :  573 ; 
+ 1a3   :  573 ; 
+ 1a4   :  574 ; 
+ 1a5   :  575 ; 
+ 1a6   :  575 ; 
+ 1a7   :  576 ; 
+ 1a8   :  576 ; 
+ 1a9   :  577 ; 
+ 1aa   :  577 ; 
+ 1ab   :  578 ; 
+ 1ac   :  578 ; 
+ 1ad   :  578 ; 
+ 1ae   :  579 ; 
+ 1af   :  579 ; 
+ 1b0   :  57a ; 
+ 1b1   :  57a ; 
+ 1b2   :  57a ; 
+ 1b3   :  57b ; 
+ 1b4   :  57b ; 
+ 1b5   :  57b ; 
+ 1b6   :  57c ; 
+ 1b7   :  57c ; 
+ 1b8   :  57c ; 
+ 1b9   :  57d ; 
+ 1ba   :  57d ; 
+ 1bb   :  57d ; 
+ 1bc   :  57d ; 
+ 1bd   :  57e ; 
+ 1be   :  57e ; 
+ 1bf   :  57e ; 
+ 1c0   :  57e ; 
+ 1c1   :  57e ; 
+ 1c2   :  57f ; 
+ 1c3   :  57f ; 
+ 1c4   :  57f ; 
+ 1c5   :  57f ; 
+ 1c6   :  57f ; 
+ 1c7   :  57f ; 
+ 1c8   :  57f ; 
+ 1c9   :  57f ; 
+ 1ca   :  57f ; 
+ 1cb   :  57f ; 
+ 1cc   :  580 ; 
+ 1cd   :  580 ; 
+ 1ce   :  580 ; 
+ 1cf   :  580 ; 
+ 1d0   :  580 ; 
+ 1d1   :  580 ; 
+ 1d2   :  57f ; 
+ 1d3   :  57f ; 
+ 1d4   :  57f ; 
+ 1d5   :  57f ; 
+ 1d6   :  57f ; 
+ 1d7   :  57f ; 
+ 1d8   :  57f ; 
+ 1d9   :  57f ; 
+ 1da   :  57f ; 
+ 1db   :  57f ; 
+ 1dc   :  57e ; 
+ 1dd   :  57e ; 
+ 1de   :  57e ; 
+ 1df   :  57e ; 
+ 1e0   :  57e ; 
+ 1e1   :  57d ; 
+ 1e2   :  57d ; 
+ 1e3   :  57d ; 
+ 1e4   :  57d ; 
+ 1e5   :  57c ; 
+ 1e6   :  57c ; 
+ 1e7   :  57c ; 
+ 1e8   :  57c ; 
+ 1e9   :  57b ; 
+ 1ea   :  57b ; 
+ 1eb   :  57b ; 
+ 1ec   :  57a ; 
+ 1ed   :  57a ; 
+ 1ee   :  579 ; 
+ 1ef   :  579 ; 
+ 1f0   :  579 ; 
+ 1f1   :  578 ; 
+ 1f2   :  578 ; 
+ 1f3   :  577 ; 
+ 1f4   :  577 ; 
+ 1f5   :  576 ; 
+ 1f6   :  576 ; 
+ 1f7   :  575 ; 
+ 1f8   :  575 ; 
+ 1f9   :  574 ; 
+ 1fa   :  574 ; 
+ 1fb   :  573 ; 
+ 1fc   :  573 ; 
+ 1fd   :  572 ; 
+ 1fe   :  572 ; 
+ 1ff   :  571 ; 
+ 200   :  570 ; 
+ 201   :  570 ; 
+ 202   :  56f ; 
+ 203   :  56f ; 
+ 204   :  56e ; 
+ 205   :  56d ; 
+ 206   :  56d ; 
+ 207   :  56c ; 
+ 208   :  56b ; 
+ 209   :  56b ; 
+ 20a   :  56a ; 
+ 20b   :  569 ; 
+ 20c   :  568 ; 
+ 20d   :  568 ; 
+ 20e   :  567 ; 
+ 20f   :  566 ; 
+ 210   :  565 ; 
+ 211   :  564 ; 
+ 212   :  564 ; 
+ 213   :  563 ; 
+ 214   :  562 ; 
+ 215   :  561 ; 
+ 216   :  560 ; 
+ 217   :  55f ; 
+ 218   :  55f ; 
+ 219   :  55e ; 
+ 21a   :  55d ; 
+ 21b   :  55c ; 
+ 21c   :  55b ; 
+ 21d   :  55a ; 
+ 21e   :  559 ; 
+ 21f   :  558 ; 
+ 220   :  557 ; 
+ 221   :  556 ; 
+ 222   :  555 ; 
+ 223   :  554 ; 
+ 224   :  553 ; 
+ 225   :  552 ; 
+ 226   :  551 ; 
+ 227   :  550 ; 
+ 228   :  54f ; 
+ 229   :  54e ; 
+ 22a   :  54d ; 
+ 22b   :  54c ; 
+ 22c   :  54b ; 
+ 22d   :  549 ; 
+ 22e   :  548 ; 
+ 22f   :  547 ; 
+ 230   :  546 ; 
+ 231   :  545 ; 
+ 232   :  544 ; 
+ 233   :  542 ; 
+ 234   :  541 ; 
+ 235   :  540 ; 
+ 236   :  53f ; 
+ 237   :  53e ; 
+ 238   :  53c ; 
+ 239   :  53b ; 
+ 23a   :  53a ; 
+ 23b   :  539 ; 
+ 23c   :  537 ; 
+ 23d   :  536 ; 
+ 23e   :  535 ; 
+ 23f   :  533 ; 
+ 240   :  532 ; 
+ 241   :  531 ; 
+ 242   :  52f ; 
+ 243   :  52e ; 
+ 244   :  52d ; 
+ 245   :  52b ; 
+ 246   :  52a ; 
+ 247   :  529 ; 
+ 248   :  527 ; 
+ 249   :  526 ; 
+ 24a   :  524 ; 
+ 24b   :  523 ; 
+ 24c   :  521 ; 
+ 24d   :  520 ; 
+ 24e   :  51f ; 
+ 24f   :  51d ; 
+ 250   :  51c ; 
+ 251   :  51a ; 
+ 252   :  519 ; 
+ 253   :  517 ; 
+ 254   :  515 ; 
+ 255   :  514 ; 
+ 256   :  512 ; 
+ 257   :  511 ; 
+ 258   :  50f ; 
+ 259   :  50e ; 
+ 25a   :  50c ; 
+ 25b   :  50a ; 
+ 25c   :  509 ; 
+ 25d   :  507 ; 
+ 25e   :  506 ; 
+ 25f   :  504 ; 
+ 260   :  502 ; 
+ 261   :  501 ; 
+ 262   :  4ff ; 
+ 263   :  4fd ; 
+ 264   :  4fc ; 
+ 265   :  4fa ; 
+ 266   :  4f8 ; 
+ 267   :  4f6 ; 
+ 268   :  4f5 ; 
+ 269   :  4f3 ; 
+ 26a   :  4f1 ; 
+ 26b   :  4ef ; 
+ 26c   :  4ee ; 
+ 26d   :  4ec ; 
+ 26e   :  4ea ; 
+ 26f   :  4e8 ; 
+ 270   :  4e7 ; 
+ 271   :  4e5 ; 
+ 272   :  4e3 ; 
+ 273   :  4e1 ; 
+ 274   :  4df ; 
+ 275   :  4dd ; 
+ 276   :  4db ; 
+ 277   :  4da ; 
+ 278   :  4d8 ; 
+ 279   :  4d6 ; 
+ 27a   :  4d4 ; 
+ 27b   :  4d2 ; 
+ 27c   :  4d0 ; 
+ 27d   :  4ce ; 
+ 27e   :  4cc ; 
+ 27f   :  4ca ; 
+ 280   :  4c8 ; 
+ 281   :  4c6 ; 
+ 282   :  4c4 ; 
+ 283   :  4c2 ; 
+ 284   :  4c0 ; 
+ 285   :  4be ; 
+ 286   :  4bc ; 
+ 287   :  4ba ; 
+ 288   :  4b8 ; 
+ 289   :  4b6 ; 
+ 28a   :  4b4 ; 
+ 28b   :  4b2 ; 
+ 28c   :  4b0 ; 
+ 28d   :  4ae ; 
+ 28e   :  4ac ; 
+ 28f   :  4aa ; 
+ 290   :  4a8 ; 
+ 291   :  4a6 ; 
+ 292   :  4a4 ; 
+ 293   :  4a1 ; 
+ 294   :  49f ; 
+ 295   :  49d ; 
+ 296   :  49b ; 
+ 297   :  499 ; 
+ 298   :  497 ; 
+ 299   :  495 ; 
+ 29a   :  492 ; 
+ 29b   :  490 ; 
+ 29c   :  48e ; 
+ 29d   :  48c ; 
+ 29e   :  489 ; 
+ 29f   :  487 ; 
+ 2a0   :  485 ; 
+ 2a1   :  483 ; 
+ 2a2   :  481 ; 
+ 2a3   :  47e ; 
+ 2a4   :  47c ; 
+ 2a5   :  47a ; 
+ 2a6   :  477 ; 
+ 2a7   :  475 ; 
+ 2a8   :  473 ; 
+ 2a9   :  471 ; 
+ 2aa   :  46e ; 
+ 2ab   :  46c ; 
+ 2ac   :  46a ; 
+ 2ad   :  467 ; 
+ 2ae   :  465 ; 
+ 2af   :  463 ; 
+ 2b0   :  460 ; 
+ 2b1   :  45e ; 
+ 2b2   :  45b ; 
+ 2b3   :  459 ; 
+ 2b4   :  457 ; 
+ 2b5   :  454 ; 
+ 2b6   :  452 ; 
+ 2b7   :  44f ; 
+ 2b8   :  44d ; 
+ 2b9   :  44b ; 
+ 2ba   :  448 ; 
+ 2bb   :  446 ; 
+ 2bc   :  443 ; 
+ 2bd   :  441 ; 
+ 2be   :  43e ; 
+ 2bf   :  43c ; 
+ 2c0   :  439 ; 
+ 2c1   :  437 ; 
+ 2c2   :  434 ; 
+ 2c3   :  432 ; 
+ 2c4   :  42f ; 
+ 2c5   :  42d ; 
+ 2c6   :  42a ; 
+ 2c7   :  428 ; 
+ 2c8   :  425 ; 
+ 2c9   :  423 ; 
+ 2ca   :  420 ; 
+ 2cb   :  41d ; 
+ 2cc   :  41b ; 
+ 2cd   :  418 ; 
+ 2ce   :  416 ; 
+ 2cf   :  413 ; 
+ 2d0   :  411 ; 
+ 2d1   :  40e ; 
+ 2d2   :  40b ; 
+ 2d3   :  409 ; 
+ 2d4   :  406 ; 
+ 2d5   :  403 ; 
+ 2d6   :  401 ; 
+ 2d7   :  3fe ; 
+ 2d8   :  3fc ; 
+ 2d9   :  3f9 ; 
+ 2da   :  3f6 ; 
+ 2db   :  3f4 ; 
+ 2dc   :  3f1 ; 
+ 2dd   :  3ee ; 
+ 2de   :  3eb ; 
+ 2df   :  3e9 ; 
+ 2e0   :  3e6 ; 
+ 2e1   :  3e3 ; 
+ 2e2   :  3e1 ; 
+ 2e3   :  3de ; 
+ 2e4   :  3db ; 
+ 2e5   :  3d8 ; 
+ 2e6   :  3d6 ; 
+ 2e7   :  3d3 ; 
+ 2e8   :  3d0 ; 
+ 2e9   :  3cd ; 
+ 2ea   :  3cb ; 
+ 2eb   :  3c8 ; 
+ 2ec   :  3c5 ; 
+ 2ed   :  3c2 ; 
+ 2ee   :  3c0 ; 
+ 2ef   :  3bd ; 
+ 2f0   :  3ba ; 
+ 2f1   :  3b7 ; 
+ 2f2   :  3b4 ; 
+ 2f3   :  3b1 ; 
+ 2f4   :  3af ; 
+ 2f5   :  3ac ; 
+ 2f6   :  3a9 ; 
+ 2f7   :  3a6 ; 
+ 2f8   :  3a3 ; 
+ 2f9   :  3a0 ; 
+ 2fa   :  39e ; 
+ 2fb   :  39b ; 
+ 2fc   :  398 ; 
+ 2fd   :  395 ; 
+ 2fe   :  392 ; 
+ 2ff   :  38f ; 
+ 300   :  38c ; 
+ 301   :  389 ; 
+ 302   :  386 ; 
+ 303   :  384 ; 
+ 304   :  381 ; 
+ 305   :  37e ; 
+ 306   :  37b ; 
+ 307   :  378 ; 
+ 308   :  375 ; 
+ 309   :  372 ; 
+ 30a   :  36f ; 
+ 30b   :  36c ; 
+ 30c   :  369 ; 
+ 30d   :  366 ; 
+ 30e   :  363 ; 
+ 30f   :  360 ; 
+ 310   :  35d ; 
+ 311   :  35a ; 
+ 312   :  357 ; 
+ 313   :  354 ; 
+ 314   :  351 ; 
+ 315   :  34e ; 
+ 316   :  34b ; 
+ 317   :  348 ; 
+ 318   :  345 ; 
+ 319   :  342 ; 
+ 31a   :  33f ; 
+ 31b   :  33c ; 
+ 31c   :  339 ; 
+ 31d   :  336 ; 
+ 31e   :  333 ; 
+ 31f   :  330 ; 
+ 320   :  32d ; 
+ 321   :  32a ; 
+ 322   :  327 ; 
+ 323   :  324 ; 
+ 324   :  321 ; 
+ 325   :  31e ; 
+ 326   :  31b ; 
+ 327   :  317 ; 
+ 328   :  314 ; 
+ 329   :  311 ; 
+ 32a   :  30e ; 
+ 32b   :  30b ; 
+ 32c   :  308 ; 
+ 32d   :  305 ; 
+ 32e   :  302 ; 
+ 32f   :  2ff ; 
+ 330   :  2fb ; 
+ 331   :  2f8 ; 
+ 332   :  2f5 ; 
+ 333   :  2f2 ; 
+ 334   :  2ef ; 
+ 335   :  2ec ; 
+ 336   :  2e9 ; 
+ 337   :  2e6 ; 
+ 338   :  2e2 ; 
+ 339   :  2df ; 
+ 33a   :  2dc ; 
+ 33b   :  2d9 ; 
+ 33c   :  2d6 ; 
+ 33d   :  2d3 ; 
+ 33e   :  2cf ; 
+ 33f   :  2cc ; 
+ 340   :  2c9 ; 
+ 341   :  2c6 ; 
+ 342   :  2c3 ; 
+ 343   :  2bf ; 
+ 344   :  2bc ; 
+ 345   :  2b9 ; 
+ 346   :  2b6 ; 
+ 347   :  2b3 ; 
+ 348   :  2af ; 
+ 349   :  2ac ; 
+ 34a   :  2a9 ; 
+ 34b   :  2a6 ; 
+ 34c   :  2a3 ; 
+ 34d   :  29f ; 
+ 34e   :  29c ; 
+ 34f   :  299 ; 
+ 350   :  296 ; 
+ 351   :  292 ; 
+ 352   :  28f ; 
+ 353   :  28c ; 
+ 354   :  289 ; 
+ 355   :  286 ; 
+ 356   :  282 ; 
+ 357   :  27f ; 
+ 358   :  27c ; 
+ 359   :  278 ; 
+ 35a   :  275 ; 
+ 35b   :  272 ; 
+ 35c   :  26f ; 
+ 35d   :  26b ; 
+ 35e   :  268 ; 
+ 35f   :  265 ; 
+ 360   :  262 ; 
+ 361   :  25e ; 
+ 362   :  25b ; 
+ 363   :  258 ; 
+ 364   :  255 ; 
+ 365   :  251 ; 
+ 366   :  24e ; 
+ 367   :  24b ; 
+ 368   :  247 ; 
+ 369   :  244 ; 
+ 36a   :  241 ; 
+ 36b   :  23d ; 
+ 36c   :  23a ; 
+ 36d   :  237 ; 
+ 36e   :  234 ; 
+ 36f   :  230 ; 
+ 370   :  22d ; 
+ 371   :  22a ; 
+ 372   :  226 ; 
+ 373   :  223 ; 
+ 374   :  220 ; 
+ 375   :  21c ; 
+ 376   :  219 ; 
+ 377   :  216 ; 
+ 378   :  212 ; 
+ 379   :  20f ; 
+ 37a   :  20c ; 
+ 37b   :  208 ; 
+ 37c   :  205 ; 
+ 37d   :  202 ; 
+ 37e   :  1fe ; 
+ 37f   :  1fb ; 
+ 380   :  1f8 ; 
+ 381   :  1f4 ; 
+ 382   :  1f1 ; 
+ 383   :  1ee ; 
+ 384   :  1ea ; 
+ 385   :  1e7 ; 
+ 386   :  1e4 ; 
+ 387   :  1e0 ; 
+ 388   :  1dd ; 
+ 389   :  1da ; 
+ 38a   :  1d6 ; 
+ 38b   :  1d3 ; 
+ 38c   :  1d0 ; 
+ 38d   :  1cc ; 
+ 38e   :  1c9 ; 
+ 38f   :  1c6 ; 
+ 390   :  1c2 ; 
+ 391   :  1bf ; 
+ 392   :  1bb ; 
+ 393   :  1b8 ; 
+ 394   :  1b5 ; 
+ 395   :  1b1 ; 
+ 396   :  1ae ; 
+ 397   :  1ab ; 
+ 398   :  1a7 ; 
+ 399   :  1a4 ; 
+ 39a   :  1a1 ; 
+ 39b   :  19d ; 
+ 39c   :  19a ; 
+ 39d   :  196 ; 
+ 39e   :  193 ; 
+ 39f   :  190 ; 
+ 3a0   :  18c ; 
+ 3a1   :  189 ; 
+ 3a2   :  186 ; 
+ 3a3   :  182 ; 
+ 3a4   :  17f ; 
+ 3a5   :  17b ; 
+ 3a6   :  178 ; 
+ 3a7   :  175 ; 
+ 3a8   :  171 ; 
+ 3a9   :  16e ; 
+ 3aa   :  16b ; 
+ 3ab   :  167 ; 
+ 3ac   :  164 ; 
+ 3ad   :  160 ; 
+ 3ae   :  15d ; 
+ 3af   :  15a ; 
+ 3b0   :  156 ; 
+ 3b1   :  153 ; 
+ 3b2   :  150 ; 
+ 3b3   :  14c ; 
+ 3b4   :  149 ; 
+ 3b5   :  146 ; 
+ 3b6   :  142 ; 
+ 3b7   :  13f ; 
+ 3b8   :  13b ; 
+ 3b9   :  138 ; 
+ 3ba   :  135 ; 
+ 3bb   :  131 ; 
+ 3bc   :  12e ; 
+ 3bd   :  12b ; 
+ 3be   :  127 ; 
+ 3bf   :  124 ; 
+ 3c0   :  120 ; 
+ 3c1   :  11d ; 
+ 3c2   :  11a ; 
+ 3c3   :  116 ; 
+ 3c4   :  113 ; 
+ 3c5   :  110 ; 
+ 3c6   :  10c ; 
+ 3c7   :  109 ; 
+ 3c8   :  105 ; 
+ 3c9   :  102 ; 
+ 3ca   :  ff ; 
+ 3cb   :  fb ; 
+ 3cc   :  f8 ; 
+ 3cd   :  f5 ; 
+ 3ce   :  f1 ; 
+ 3cf   :  ee ; 
+ 3d0   :  eb ; 
+ 3d1   :  e7 ; 
+ 3d2   :  e4 ; 
+ 3d3   :  e0 ; 
+ 3d4   :  dd ; 
+ 3d5   :  da ; 
+ 3d6   :  d6 ; 
+ 3d7   :  d3 ; 
+ 3d8   :  d0 ; 
+ 3d9   :  cc ; 
+ 3da   :  c9 ; 
+ 3db   :  c6 ; 
+ 3dc   :  c2 ; 
+ 3dd   :  bf ; 
+ 3de   :  bc ; 
+ 3df   :  b8 ; 
+ 3e0   :  b5 ; 
+ 3e1   :  b2 ; 
+ 3e2   :  ae ; 
+ 3e3   :  ab ; 
+ 3e4   :  a8 ; 
+ 3e5   :  a4 ; 
+ 3e6   :  a1 ; 
+ 3e7   :  9e ; 
+ 3e8   :  9a ; 
+ 3e9   :  97 ; 
+ 3ea   :  94 ; 
+ 3eb   :  90 ; 
+ 3ec   :  8d ; 
+ 3ed   :  8a ; 
+ 3ee   :  86 ; 
+ 3ef   :  83 ; 
+ 3f0   :  80 ; 
+ 3f1   :  7c ; 
+ 3f2   :  79 ; 
+ 3f3   :  76 ; 
+ 3f4   :  72 ; 
+ 3f5   :  6f ; 
+ 3f6   :  6c ; 
+ 3f7   :  69 ; 
+ 3f8   :  65 ; 
+ 3f9   :  62 ; 
+ 3fa   :  5f ; 
+ 3fb   :  5b ; 
+ 3fc   :  58 ; 
+ 3fd   :  55 ; 
+ 3fe   :  51 ; 
+ 3ff   :  4e ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_4.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_4.mif
new file mode 100644
index 0000000000000000000000000000000000000000..7f6f8492266126284cb7a575c741f920c16ea8d5
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_4.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  ff34 ; 
+ 1   :  ff2c ; 
+ 2   :  ff24 ; 
+ 3   :  ff1c ; 
+ 4   :  ff13 ; 
+ 5   :  ff0b ; 
+ 6   :  ff03 ; 
+ 7   :  fefb ; 
+ 8   :  fef3 ; 
+ 9   :  feeb ; 
+ a   :  fee3 ; 
+ b   :  fedb ; 
+ c   :  fed3 ; 
+ d   :  fecb ; 
+ e   :  fec3 ; 
+ f   :  febb ; 
+ 10   :  feb3 ; 
+ 11   :  feab ; 
+ 12   :  fea3 ; 
+ 13   :  fe9b ; 
+ 14   :  fe93 ; 
+ 15   :  fe8b ; 
+ 16   :  fe83 ; 
+ 17   :  fe7b ; 
+ 18   :  fe73 ; 
+ 19   :  fe6b ; 
+ 1a   :  fe63 ; 
+ 1b   :  fe5b ; 
+ 1c   :  fe53 ; 
+ 1d   :  fe4b ; 
+ 1e   :  fe44 ; 
+ 1f   :  fe3c ; 
+ 20   :  fe34 ; 
+ 21   :  fe2c ; 
+ 22   :  fe24 ; 
+ 23   :  fe1c ; 
+ 24   :  fe15 ; 
+ 25   :  fe0d ; 
+ 26   :  fe05 ; 
+ 27   :  fdfd ; 
+ 28   :  fdf6 ; 
+ 29   :  fdee ; 
+ 2a   :  fde6 ; 
+ 2b   :  fddf ; 
+ 2c   :  fdd7 ; 
+ 2d   :  fdcf ; 
+ 2e   :  fdc8 ; 
+ 2f   :  fdc0 ; 
+ 30   :  fdb8 ; 
+ 31   :  fdb1 ; 
+ 32   :  fda9 ; 
+ 33   :  fda1 ; 
+ 34   :  fd9a ; 
+ 35   :  fd92 ; 
+ 36   :  fd8b ; 
+ 37   :  fd83 ; 
+ 38   :  fd7c ; 
+ 39   :  fd74 ; 
+ 3a   :  fd6d ; 
+ 3b   :  fd65 ; 
+ 3c   :  fd5e ; 
+ 3d   :  fd56 ; 
+ 3e   :  fd4f ; 
+ 3f   :  fd47 ; 
+ 40   :  fd40 ; 
+ 41   :  fd38 ; 
+ 42   :  fd31 ; 
+ 43   :  fd2a ; 
+ 44   :  fd22 ; 
+ 45   :  fd1b ; 
+ 46   :  fd14 ; 
+ 47   :  fd0c ; 
+ 48   :  fd05 ; 
+ 49   :  fcfe ; 
+ 4a   :  fcf6 ; 
+ 4b   :  fcef ; 
+ 4c   :  fce8 ; 
+ 4d   :  fce1 ; 
+ 4e   :  fcd9 ; 
+ 4f   :  fcd2 ; 
+ 50   :  fccb ; 
+ 51   :  fcc4 ; 
+ 52   :  fcbd ; 
+ 53   :  fcb6 ; 
+ 54   :  fcae ; 
+ 55   :  fca7 ; 
+ 56   :  fca0 ; 
+ 57   :  fc99 ; 
+ 58   :  fc92 ; 
+ 59   :  fc8b ; 
+ 5a   :  fc84 ; 
+ 5b   :  fc7d ; 
+ 5c   :  fc76 ; 
+ 5d   :  fc6f ; 
+ 5e   :  fc68 ; 
+ 5f   :  fc61 ; 
+ 60   :  fc5a ; 
+ 61   :  fc53 ; 
+ 62   :  fc4c ; 
+ 63   :  fc45 ; 
+ 64   :  fc3e ; 
+ 65   :  fc37 ; 
+ 66   :  fc31 ; 
+ 67   :  fc2a ; 
+ 68   :  fc23 ; 
+ 69   :  fc1c ; 
+ 6a   :  fc15 ; 
+ 6b   :  fc0e ; 
+ 6c   :  fc08 ; 
+ 6d   :  fc01 ; 
+ 6e   :  fbfa ; 
+ 6f   :  fbf3 ; 
+ 70   :  fbed ; 
+ 71   :  fbe6 ; 
+ 72   :  fbdf ; 
+ 73   :  fbd9 ; 
+ 74   :  fbd2 ; 
+ 75   :  fbcc ; 
+ 76   :  fbc5 ; 
+ 77   :  fbbe ; 
+ 78   :  fbb8 ; 
+ 79   :  fbb1 ; 
+ 7a   :  fbab ; 
+ 7b   :  fba4 ; 
+ 7c   :  fb9e ; 
+ 7d   :  fb97 ; 
+ 7e   :  fb91 ; 
+ 7f   :  fb8a ; 
+ 80   :  fb84 ; 
+ 81   :  fb7d ; 
+ 82   :  fb77 ; 
+ 83   :  fb71 ; 
+ 84   :  fb6a ; 
+ 85   :  fb64 ; 
+ 86   :  fb5e ; 
+ 87   :  fb57 ; 
+ 88   :  fb51 ; 
+ 89   :  fb4b ; 
+ 8a   :  fb44 ; 
+ 8b   :  fb3e ; 
+ 8c   :  fb38 ; 
+ 8d   :  fb32 ; 
+ 8e   :  fb2b ; 
+ 8f   :  fb25 ; 
+ 90   :  fb1f ; 
+ 91   :  fb19 ; 
+ 92   :  fb13 ; 
+ 93   :  fb0d ; 
+ 94   :  fb07 ; 
+ 95   :  fb01 ; 
+ 96   :  fafb ; 
+ 97   :  faf5 ; 
+ 98   :  faee ; 
+ 99   :  fae8 ; 
+ 9a   :  fae3 ; 
+ 9b   :  fadd ; 
+ 9c   :  fad7 ; 
+ 9d   :  fad1 ; 
+ 9e   :  facb ; 
+ 9f   :  fac5 ; 
+ a0   :  fabf ; 
+ a1   :  fab9 ; 
+ a2   :  fab3 ; 
+ a3   :  faae ; 
+ a4   :  faa8 ; 
+ a5   :  faa2 ; 
+ a6   :  fa9c ; 
+ a7   :  fa96 ; 
+ a8   :  fa91 ; 
+ a9   :  fa8b ; 
+ aa   :  fa85 ; 
+ ab   :  fa80 ; 
+ ac   :  fa7a ; 
+ ad   :  fa74 ; 
+ ae   :  fa6f ; 
+ af   :  fa69 ; 
+ b0   :  fa64 ; 
+ b1   :  fa5e ; 
+ b2   :  fa58 ; 
+ b3   :  fa53 ; 
+ b4   :  fa4d ; 
+ b5   :  fa48 ; 
+ b6   :  fa43 ; 
+ b7   :  fa3d ; 
+ b8   :  fa38 ; 
+ b9   :  fa32 ; 
+ ba   :  fa2d ; 
+ bb   :  fa28 ; 
+ bc   :  fa22 ; 
+ bd   :  fa1d ; 
+ be   :  fa18 ; 
+ bf   :  fa12 ; 
+ c0   :  fa0d ; 
+ c1   :  fa08 ; 
+ c2   :  fa02 ; 
+ c3   :  f9fd ; 
+ c4   :  f9f8 ; 
+ c5   :  f9f3 ; 
+ c6   :  f9ee ; 
+ c7   :  f9e9 ; 
+ c8   :  f9e4 ; 
+ c9   :  f9de ; 
+ ca   :  f9d9 ; 
+ cb   :  f9d4 ; 
+ cc   :  f9cf ; 
+ cd   :  f9ca ; 
+ ce   :  f9c5 ; 
+ cf   :  f9c0 ; 
+ d0   :  f9bb ; 
+ d1   :  f9b6 ; 
+ d2   :  f9b1 ; 
+ d3   :  f9ad ; 
+ d4   :  f9a8 ; 
+ d5   :  f9a3 ; 
+ d6   :  f99e ; 
+ d7   :  f999 ; 
+ d8   :  f994 ; 
+ d9   :  f990 ; 
+ da   :  f98b ; 
+ db   :  f986 ; 
+ dc   :  f981 ; 
+ dd   :  f97d ; 
+ de   :  f978 ; 
+ df   :  f973 ; 
+ e0   :  f96f ; 
+ e1   :  f96a ; 
+ e2   :  f966 ; 
+ e3   :  f961 ; 
+ e4   :  f95c ; 
+ e5   :  f958 ; 
+ e6   :  f953 ; 
+ e7   :  f94f ; 
+ e8   :  f94a ; 
+ e9   :  f946 ; 
+ ea   :  f942 ; 
+ eb   :  f93d ; 
+ ec   :  f939 ; 
+ ed   :  f934 ; 
+ ee   :  f930 ; 
+ ef   :  f92c ; 
+ f0   :  f927 ; 
+ f1   :  f923 ; 
+ f2   :  f91f ; 
+ f3   :  f91b ; 
+ f4   :  f916 ; 
+ f5   :  f912 ; 
+ f6   :  f90e ; 
+ f7   :  f90a ; 
+ f8   :  f906 ; 
+ f9   :  f902 ; 
+ fa   :  f8fe ; 
+ fb   :  f8f9 ; 
+ fc   :  f8f5 ; 
+ fd   :  f8f1 ; 
+ fe   :  f8ed ; 
+ ff   :  f8e9 ; 
+ 100   :  f8e5 ; 
+ 101   :  f8e1 ; 
+ 102   :  f8dd ; 
+ 103   :  f8da ; 
+ 104   :  f8d6 ; 
+ 105   :  f8d2 ; 
+ 106   :  f8ce ; 
+ 107   :  f8ca ; 
+ 108   :  f8c6 ; 
+ 109   :  f8c3 ; 
+ 10a   :  f8bf ; 
+ 10b   :  f8bb ; 
+ 10c   :  f8b7 ; 
+ 10d   :  f8b4 ; 
+ 10e   :  f8b0 ; 
+ 10f   :  f8ac ; 
+ 110   :  f8a9 ; 
+ 111   :  f8a5 ; 
+ 112   :  f8a1 ; 
+ 113   :  f89e ; 
+ 114   :  f89a ; 
+ 115   :  f897 ; 
+ 116   :  f893 ; 
+ 117   :  f890 ; 
+ 118   :  f88c ; 
+ 119   :  f889 ; 
+ 11a   :  f885 ; 
+ 11b   :  f882 ; 
+ 11c   :  f87f ; 
+ 11d   :  f87b ; 
+ 11e   :  f878 ; 
+ 11f   :  f875 ; 
+ 120   :  f871 ; 
+ 121   :  f86e ; 
+ 122   :  f86b ; 
+ 123   :  f868 ; 
+ 124   :  f864 ; 
+ 125   :  f861 ; 
+ 126   :  f85e ; 
+ 127   :  f85b ; 
+ 128   :  f858 ; 
+ 129   :  f855 ; 
+ 12a   :  f852 ; 
+ 12b   :  f84f ; 
+ 12c   :  f84c ; 
+ 12d   :  f849 ; 
+ 12e   :  f846 ; 
+ 12f   :  f843 ; 
+ 130   :  f840 ; 
+ 131   :  f83d ; 
+ 132   :  f83a ; 
+ 133   :  f837 ; 
+ 134   :  f834 ; 
+ 135   :  f831 ; 
+ 136   :  f82e ; 
+ 137   :  f82c ; 
+ 138   :  f829 ; 
+ 139   :  f826 ; 
+ 13a   :  f823 ; 
+ 13b   :  f821 ; 
+ 13c   :  f81e ; 
+ 13d   :  f81b ; 
+ 13e   :  f819 ; 
+ 13f   :  f816 ; 
+ 140   :  f813 ; 
+ 141   :  f811 ; 
+ 142   :  f80e ; 
+ 143   :  f80c ; 
+ 144   :  f809 ; 
+ 145   :  f807 ; 
+ 146   :  f804 ; 
+ 147   :  f802 ; 
+ 148   :  f7ff ; 
+ 149   :  f7fd ; 
+ 14a   :  f7fa ; 
+ 14b   :  f7f8 ; 
+ 14c   :  f7f6 ; 
+ 14d   :  f7f3 ; 
+ 14e   :  f7f1 ; 
+ 14f   :  f7ef ; 
+ 150   :  f7ed ; 
+ 151   :  f7ea ; 
+ 152   :  f7e8 ; 
+ 153   :  f7e6 ; 
+ 154   :  f7e4 ; 
+ 155   :  f7e2 ; 
+ 156   :  f7df ; 
+ 157   :  f7dd ; 
+ 158   :  f7db ; 
+ 159   :  f7d9 ; 
+ 15a   :  f7d7 ; 
+ 15b   :  f7d5 ; 
+ 15c   :  f7d3 ; 
+ 15d   :  f7d1 ; 
+ 15e   :  f7cf ; 
+ 15f   :  f7cd ; 
+ 160   :  f7cb ; 
+ 161   :  f7ca ; 
+ 162   :  f7c8 ; 
+ 163   :  f7c6 ; 
+ 164   :  f7c4 ; 
+ 165   :  f7c2 ; 
+ 166   :  f7c0 ; 
+ 167   :  f7bf ; 
+ 168   :  f7bd ; 
+ 169   :  f7bb ; 
+ 16a   :  f7b9 ; 
+ 16b   :  f7b8 ; 
+ 16c   :  f7b6 ; 
+ 16d   :  f7b5 ; 
+ 16e   :  f7b3 ; 
+ 16f   :  f7b1 ; 
+ 170   :  f7b0 ; 
+ 171   :  f7ae ; 
+ 172   :  f7ad ; 
+ 173   :  f7ab ; 
+ 174   :  f7aa ; 
+ 175   :  f7a8 ; 
+ 176   :  f7a7 ; 
+ 177   :  f7a5 ; 
+ 178   :  f7a4 ; 
+ 179   :  f7a3 ; 
+ 17a   :  f7a1 ; 
+ 17b   :  f7a0 ; 
+ 17c   :  f79f ; 
+ 17d   :  f79d ; 
+ 17e   :  f79c ; 
+ 17f   :  f79b ; 
+ 180   :  f79a ; 
+ 181   :  f798 ; 
+ 182   :  f797 ; 
+ 183   :  f796 ; 
+ 184   :  f795 ; 
+ 185   :  f794 ; 
+ 186   :  f793 ; 
+ 187   :  f792 ; 
+ 188   :  f791 ; 
+ 189   :  f790 ; 
+ 18a   :  f78f ; 
+ 18b   :  f78e ; 
+ 18c   :  f78d ; 
+ 18d   :  f78c ; 
+ 18e   :  f78b ; 
+ 18f   :  f78a ; 
+ 190   :  f789 ; 
+ 191   :  f788 ; 
+ 192   :  f787 ; 
+ 193   :  f787 ; 
+ 194   :  f786 ; 
+ 195   :  f785 ; 
+ 196   :  f784 ; 
+ 197   :  f783 ; 
+ 198   :  f783 ; 
+ 199   :  f782 ; 
+ 19a   :  f781 ; 
+ 19b   :  f781 ; 
+ 19c   :  f780 ; 
+ 19d   :  f780 ; 
+ 19e   :  f77f ; 
+ 19f   :  f77e ; 
+ 1a0   :  f77e ; 
+ 1a1   :  f77d ; 
+ 1a2   :  f77d ; 
+ 1a3   :  f77c ; 
+ 1a4   :  f77c ; 
+ 1a5   :  f77c ; 
+ 1a6   :  f77b ; 
+ 1a7   :  f77b ; 
+ 1a8   :  f77a ; 
+ 1a9   :  f77a ; 
+ 1aa   :  f77a ; 
+ 1ab   :  f779 ; 
+ 1ac   :  f779 ; 
+ 1ad   :  f779 ; 
+ 1ae   :  f779 ; 
+ 1af   :  f778 ; 
+ 1b0   :  f778 ; 
+ 1b1   :  f778 ; 
+ 1b2   :  f778 ; 
+ 1b3   :  f778 ; 
+ 1b4   :  f778 ; 
+ 1b5   :  f777 ; 
+ 1b6   :  f777 ; 
+ 1b7   :  f777 ; 
+ 1b8   :  f777 ; 
+ 1b9   :  f777 ; 
+ 1ba   :  f777 ; 
+ 1bb   :  f777 ; 
+ 1bc   :  f777 ; 
+ 1bd   :  f777 ; 
+ 1be   :  f777 ; 
+ 1bf   :  f778 ; 
+ 1c0   :  f778 ; 
+ 1c1   :  f778 ; 
+ 1c2   :  f778 ; 
+ 1c3   :  f778 ; 
+ 1c4   :  f778 ; 
+ 1c5   :  f779 ; 
+ 1c6   :  f779 ; 
+ 1c7   :  f779 ; 
+ 1c8   :  f779 ; 
+ 1c9   :  f77a ; 
+ 1ca   :  f77a ; 
+ 1cb   :  f77a ; 
+ 1cc   :  f77b ; 
+ 1cd   :  f77b ; 
+ 1ce   :  f77c ; 
+ 1cf   :  f77c ; 
+ 1d0   :  f77d ; 
+ 1d1   :  f77d ; 
+ 1d2   :  f77e ; 
+ 1d3   :  f77e ; 
+ 1d4   :  f77f ; 
+ 1d5   :  f77f ; 
+ 1d6   :  f780 ; 
+ 1d7   :  f780 ; 
+ 1d8   :  f781 ; 
+ 1d9   :  f782 ; 
+ 1da   :  f782 ; 
+ 1db   :  f783 ; 
+ 1dc   :  f784 ; 
+ 1dd   :  f784 ; 
+ 1de   :  f785 ; 
+ 1df   :  f786 ; 
+ 1e0   :  f787 ; 
+ 1e1   :  f787 ; 
+ 1e2   :  f788 ; 
+ 1e3   :  f789 ; 
+ 1e4   :  f78a ; 
+ 1e5   :  f78b ; 
+ 1e6   :  f78c ; 
+ 1e7   :  f78d ; 
+ 1e8   :  f78d ; 
+ 1e9   :  f78e ; 
+ 1ea   :  f78f ; 
+ 1eb   :  f790 ; 
+ 1ec   :  f791 ; 
+ 1ed   :  f792 ; 
+ 1ee   :  f793 ; 
+ 1ef   :  f795 ; 
+ 1f0   :  f796 ; 
+ 1f1   :  f797 ; 
+ 1f2   :  f798 ; 
+ 1f3   :  f799 ; 
+ 1f4   :  f79a ; 
+ 1f5   :  f79b ; 
+ 1f6   :  f79d ; 
+ 1f7   :  f79e ; 
+ 1f8   :  f79f ; 
+ 1f9   :  f7a0 ; 
+ 1fa   :  f7a2 ; 
+ 1fb   :  f7a3 ; 
+ 1fc   :  f7a4 ; 
+ 1fd   :  f7a6 ; 
+ 1fe   :  f7a7 ; 
+ 1ff   :  f7a8 ; 
+ 200   :  f7aa ; 
+ 201   :  f7ab ; 
+ 202   :  f7ad ; 
+ 203   :  f7ae ; 
+ 204   :  f7af ; 
+ 205   :  f7b1 ; 
+ 206   :  f7b2 ; 
+ 207   :  f7b4 ; 
+ 208   :  f7b5 ; 
+ 209   :  f7b7 ; 
+ 20a   :  f7b9 ; 
+ 20b   :  f7ba ; 
+ 20c   :  f7bc ; 
+ 20d   :  f7bd ; 
+ 20e   :  f7bf ; 
+ 20f   :  f7c1 ; 
+ 210   :  f7c3 ; 
+ 211   :  f7c4 ; 
+ 212   :  f7c6 ; 
+ 213   :  f7c8 ; 
+ 214   :  f7c9 ; 
+ 215   :  f7cb ; 
+ 216   :  f7cd ; 
+ 217   :  f7cf ; 
+ 218   :  f7d1 ; 
+ 219   :  f7d3 ; 
+ 21a   :  f7d4 ; 
+ 21b   :  f7d6 ; 
+ 21c   :  f7d8 ; 
+ 21d   :  f7da ; 
+ 21e   :  f7dc ; 
+ 21f   :  f7de ; 
+ 220   :  f7e0 ; 
+ 221   :  f7e2 ; 
+ 222   :  f7e4 ; 
+ 223   :  f7e6 ; 
+ 224   :  f7e8 ; 
+ 225   :  f7ea ; 
+ 226   :  f7ec ; 
+ 227   :  f7ee ; 
+ 228   :  f7f1 ; 
+ 229   :  f7f3 ; 
+ 22a   :  f7f5 ; 
+ 22b   :  f7f7 ; 
+ 22c   :  f7f9 ; 
+ 22d   :  f7fb ; 
+ 22e   :  f7fe ; 
+ 22f   :  f800 ; 
+ 230   :  f802 ; 
+ 231   :  f804 ; 
+ 232   :  f807 ; 
+ 233   :  f809 ; 
+ 234   :  f80b ; 
+ 235   :  f80e ; 
+ 236   :  f810 ; 
+ 237   :  f813 ; 
+ 238   :  f815 ; 
+ 239   :  f817 ; 
+ 23a   :  f81a ; 
+ 23b   :  f81c ; 
+ 23c   :  f81f ; 
+ 23d   :  f821 ; 
+ 23e   :  f824 ; 
+ 23f   :  f826 ; 
+ 240   :  f829 ; 
+ 241   :  f82b ; 
+ 242   :  f82e ; 
+ 243   :  f830 ; 
+ 244   :  f833 ; 
+ 245   :  f836 ; 
+ 246   :  f838 ; 
+ 247   :  f83b ; 
+ 248   :  f83e ; 
+ 249   :  f840 ; 
+ 24a   :  f843 ; 
+ 24b   :  f846 ; 
+ 24c   :  f848 ; 
+ 24d   :  f84b ; 
+ 24e   :  f84e ; 
+ 24f   :  f851 ; 
+ 250   :  f854 ; 
+ 251   :  f856 ; 
+ 252   :  f859 ; 
+ 253   :  f85c ; 
+ 254   :  f85f ; 
+ 255   :  f862 ; 
+ 256   :  f865 ; 
+ 257   :  f868 ; 
+ 258   :  f86a ; 
+ 259   :  f86d ; 
+ 25a   :  f870 ; 
+ 25b   :  f873 ; 
+ 25c   :  f876 ; 
+ 25d   :  f879 ; 
+ 25e   :  f87c ; 
+ 25f   :  f87f ; 
+ 260   :  f882 ; 
+ 261   :  f885 ; 
+ 262   :  f889 ; 
+ 263   :  f88c ; 
+ 264   :  f88f ; 
+ 265   :  f892 ; 
+ 266   :  f895 ; 
+ 267   :  f898 ; 
+ 268   :  f89b ; 
+ 269   :  f89f ; 
+ 26a   :  f8a2 ; 
+ 26b   :  f8a5 ; 
+ 26c   :  f8a8 ; 
+ 26d   :  f8ab ; 
+ 26e   :  f8af ; 
+ 26f   :  f8b2 ; 
+ 270   :  f8b5 ; 
+ 271   :  f8b9 ; 
+ 272   :  f8bc ; 
+ 273   :  f8bf ; 
+ 274   :  f8c3 ; 
+ 275   :  f8c6 ; 
+ 276   :  f8c9 ; 
+ 277   :  f8cd ; 
+ 278   :  f8d0 ; 
+ 279   :  f8d3 ; 
+ 27a   :  f8d7 ; 
+ 27b   :  f8da ; 
+ 27c   :  f8de ; 
+ 27d   :  f8e1 ; 
+ 27e   :  f8e5 ; 
+ 27f   :  f8e8 ; 
+ 280   :  f8ec ; 
+ 281   :  f8ef ; 
+ 282   :  f8f3 ; 
+ 283   :  f8f6 ; 
+ 284   :  f8fa ; 
+ 285   :  f8fe ; 
+ 286   :  f901 ; 
+ 287   :  f905 ; 
+ 288   :  f908 ; 
+ 289   :  f90c ; 
+ 28a   :  f910 ; 
+ 28b   :  f913 ; 
+ 28c   :  f917 ; 
+ 28d   :  f91b ; 
+ 28e   :  f91e ; 
+ 28f   :  f922 ; 
+ 290   :  f926 ; 
+ 291   :  f92a ; 
+ 292   :  f92d ; 
+ 293   :  f931 ; 
+ 294   :  f935 ; 
+ 295   :  f939 ; 
+ 296   :  f93d ; 
+ 297   :  f940 ; 
+ 298   :  f944 ; 
+ 299   :  f948 ; 
+ 29a   :  f94c ; 
+ 29b   :  f950 ; 
+ 29c   :  f954 ; 
+ 29d   :  f958 ; 
+ 29e   :  f95b ; 
+ 29f   :  f95f ; 
+ 2a0   :  f963 ; 
+ 2a1   :  f967 ; 
+ 2a2   :  f96b ; 
+ 2a3   :  f96f ; 
+ 2a4   :  f973 ; 
+ 2a5   :  f977 ; 
+ 2a6   :  f97b ; 
+ 2a7   :  f97f ; 
+ 2a8   :  f983 ; 
+ 2a9   :  f987 ; 
+ 2aa   :  f98b ; 
+ 2ab   :  f98f ; 
+ 2ac   :  f994 ; 
+ 2ad   :  f998 ; 
+ 2ae   :  f99c ; 
+ 2af   :  f9a0 ; 
+ 2b0   :  f9a4 ; 
+ 2b1   :  f9a8 ; 
+ 2b2   :  f9ac ; 
+ 2b3   :  f9b0 ; 
+ 2b4   :  f9b5 ; 
+ 2b5   :  f9b9 ; 
+ 2b6   :  f9bd ; 
+ 2b7   :  f9c1 ; 
+ 2b8   :  f9c5 ; 
+ 2b9   :  f9ca ; 
+ 2ba   :  f9ce ; 
+ 2bb   :  f9d2 ; 
+ 2bc   :  f9d6 ; 
+ 2bd   :  f9db ; 
+ 2be   :  f9df ; 
+ 2bf   :  f9e3 ; 
+ 2c0   :  f9e8 ; 
+ 2c1   :  f9ec ; 
+ 2c2   :  f9f0 ; 
+ 2c3   :  f9f5 ; 
+ 2c4   :  f9f9 ; 
+ 2c5   :  f9fd ; 
+ 2c6   :  fa02 ; 
+ 2c7   :  fa06 ; 
+ 2c8   :  fa0a ; 
+ 2c9   :  fa0f ; 
+ 2ca   :  fa13 ; 
+ 2cb   :  fa18 ; 
+ 2cc   :  fa1c ; 
+ 2cd   :  fa21 ; 
+ 2ce   :  fa25 ; 
+ 2cf   :  fa2a ; 
+ 2d0   :  fa2e ; 
+ 2d1   :  fa32 ; 
+ 2d2   :  fa37 ; 
+ 2d3   :  fa3b ; 
+ 2d4   :  fa40 ; 
+ 2d5   :  fa45 ; 
+ 2d6   :  fa49 ; 
+ 2d7   :  fa4e ; 
+ 2d8   :  fa52 ; 
+ 2d9   :  fa57 ; 
+ 2da   :  fa5b ; 
+ 2db   :  fa60 ; 
+ 2dc   :  fa64 ; 
+ 2dd   :  fa69 ; 
+ 2de   :  fa6e ; 
+ 2df   :  fa72 ; 
+ 2e0   :  fa77 ; 
+ 2e1   :  fa7c ; 
+ 2e2   :  fa80 ; 
+ 2e3   :  fa85 ; 
+ 2e4   :  fa8a ; 
+ 2e5   :  fa8e ; 
+ 2e6   :  fa93 ; 
+ 2e7   :  fa98 ; 
+ 2e8   :  fa9c ; 
+ 2e9   :  faa1 ; 
+ 2ea   :  faa6 ; 
+ 2eb   :  faaa ; 
+ 2ec   :  faaf ; 
+ 2ed   :  fab4 ; 
+ 2ee   :  fab9 ; 
+ 2ef   :  fabd ; 
+ 2f0   :  fac2 ; 
+ 2f1   :  fac7 ; 
+ 2f2   :  facc ; 
+ 2f3   :  fad1 ; 
+ 2f4   :  fad5 ; 
+ 2f5   :  fada ; 
+ 2f6   :  fadf ; 
+ 2f7   :  fae4 ; 
+ 2f8   :  fae9 ; 
+ 2f9   :  faed ; 
+ 2fa   :  faf2 ; 
+ 2fb   :  faf7 ; 
+ 2fc   :  fafc ; 
+ 2fd   :  fb01 ; 
+ 2fe   :  fb06 ; 
+ 2ff   :  fb0b ; 
+ 300   :  fb10 ; 
+ 301   :  fb15 ; 
+ 302   :  fb19 ; 
+ 303   :  fb1e ; 
+ 304   :  fb23 ; 
+ 305   :  fb28 ; 
+ 306   :  fb2d ; 
+ 307   :  fb32 ; 
+ 308   :  fb37 ; 
+ 309   :  fb3c ; 
+ 30a   :  fb41 ; 
+ 30b   :  fb46 ; 
+ 30c   :  fb4b ; 
+ 30d   :  fb50 ; 
+ 30e   :  fb55 ; 
+ 30f   :  fb5a ; 
+ 310   :  fb5f ; 
+ 311   :  fb64 ; 
+ 312   :  fb69 ; 
+ 313   :  fb6e ; 
+ 314   :  fb73 ; 
+ 315   :  fb78 ; 
+ 316   :  fb7d ; 
+ 317   :  fb82 ; 
+ 318   :  fb87 ; 
+ 319   :  fb8c ; 
+ 31a   :  fb91 ; 
+ 31b   :  fb96 ; 
+ 31c   :  fb9c ; 
+ 31d   :  fba1 ; 
+ 31e   :  fba6 ; 
+ 31f   :  fbab ; 
+ 320   :  fbb0 ; 
+ 321   :  fbb5 ; 
+ 322   :  fbba ; 
+ 323   :  fbbf ; 
+ 324   :  fbc4 ; 
+ 325   :  fbca ; 
+ 326   :  fbcf ; 
+ 327   :  fbd4 ; 
+ 328   :  fbd9 ; 
+ 329   :  fbde ; 
+ 32a   :  fbe3 ; 
+ 32b   :  fbe9 ; 
+ 32c   :  fbee ; 
+ 32d   :  fbf3 ; 
+ 32e   :  fbf8 ; 
+ 32f   :  fbfd ; 
+ 330   :  fc03 ; 
+ 331   :  fc08 ; 
+ 332   :  fc0d ; 
+ 333   :  fc12 ; 
+ 334   :  fc17 ; 
+ 335   :  fc1d ; 
+ 336   :  fc22 ; 
+ 337   :  fc27 ; 
+ 338   :  fc2c ; 
+ 339   :  fc32 ; 
+ 33a   :  fc37 ; 
+ 33b   :  fc3c ; 
+ 33c   :  fc41 ; 
+ 33d   :  fc47 ; 
+ 33e   :  fc4c ; 
+ 33f   :  fc51 ; 
+ 340   :  fc56 ; 
+ 341   :  fc5c ; 
+ 342   :  fc61 ; 
+ 343   :  fc66 ; 
+ 344   :  fc6b ; 
+ 345   :  fc71 ; 
+ 346   :  fc76 ; 
+ 347   :  fc7b ; 
+ 348   :  fc81 ; 
+ 349   :  fc86 ; 
+ 34a   :  fc8b ; 
+ 34b   :  fc91 ; 
+ 34c   :  fc96 ; 
+ 34d   :  fc9b ; 
+ 34e   :  fca1 ; 
+ 34f   :  fca6 ; 
+ 350   :  fcab ; 
+ 351   :  fcb1 ; 
+ 352   :  fcb6 ; 
+ 353   :  fcbb ; 
+ 354   :  fcc1 ; 
+ 355   :  fcc6 ; 
+ 356   :  fccb ; 
+ 357   :  fcd1 ; 
+ 358   :  fcd6 ; 
+ 359   :  fcdb ; 
+ 35a   :  fce1 ; 
+ 35b   :  fce6 ; 
+ 35c   :  fceb ; 
+ 35d   :  fcf1 ; 
+ 35e   :  fcf6 ; 
+ 35f   :  fcfb ; 
+ 360   :  fd01 ; 
+ 361   :  fd06 ; 
+ 362   :  fd0c ; 
+ 363   :  fd11 ; 
+ 364   :  fd16 ; 
+ 365   :  fd1c ; 
+ 366   :  fd21 ; 
+ 367   :  fd27 ; 
+ 368   :  fd2c ; 
+ 369   :  fd31 ; 
+ 36a   :  fd37 ; 
+ 36b   :  fd3c ; 
+ 36c   :  fd42 ; 
+ 36d   :  fd47 ; 
+ 36e   :  fd4c ; 
+ 36f   :  fd52 ; 
+ 370   :  fd57 ; 
+ 371   :  fd5d ; 
+ 372   :  fd62 ; 
+ 373   :  fd67 ; 
+ 374   :  fd6d ; 
+ 375   :  fd72 ; 
+ 376   :  fd78 ; 
+ 377   :  fd7d ; 
+ 378   :  fd83 ; 
+ 379   :  fd88 ; 
+ 37a   :  fd8d ; 
+ 37b   :  fd93 ; 
+ 37c   :  fd98 ; 
+ 37d   :  fd9e ; 
+ 37e   :  fda3 ; 
+ 37f   :  fda8 ; 
+ 380   :  fdae ; 
+ 381   :  fdb3 ; 
+ 382   :  fdb9 ; 
+ 383   :  fdbe ; 
+ 384   :  fdc4 ; 
+ 385   :  fdc9 ; 
+ 386   :  fdce ; 
+ 387   :  fdd4 ; 
+ 388   :  fdd9 ; 
+ 389   :  fddf ; 
+ 38a   :  fde4 ; 
+ 38b   :  fdea ; 
+ 38c   :  fdef ; 
+ 38d   :  fdf5 ; 
+ 38e   :  fdfa ; 
+ 38f   :  fdff ; 
+ 390   :  fe05 ; 
+ 391   :  fe0a ; 
+ 392   :  fe10 ; 
+ 393   :  fe15 ; 
+ 394   :  fe1b ; 
+ 395   :  fe20 ; 
+ 396   :  fe25 ; 
+ 397   :  fe2b ; 
+ 398   :  fe30 ; 
+ 399   :  fe36 ; 
+ 39a   :  fe3b ; 
+ 39b   :  fe41 ; 
+ 39c   :  fe46 ; 
+ 39d   :  fe4b ; 
+ 39e   :  fe51 ; 
+ 39f   :  fe56 ; 
+ 3a0   :  fe5c ; 
+ 3a1   :  fe61 ; 
+ 3a2   :  fe67 ; 
+ 3a3   :  fe6c ; 
+ 3a4   :  fe71 ; 
+ 3a5   :  fe77 ; 
+ 3a6   :  fe7c ; 
+ 3a7   :  fe82 ; 
+ 3a8   :  fe87 ; 
+ 3a9   :  fe8d ; 
+ 3aa   :  fe92 ; 
+ 3ab   :  fe97 ; 
+ 3ac   :  fe9d ; 
+ 3ad   :  fea2 ; 
+ 3ae   :  fea8 ; 
+ 3af   :  fead ; 
+ 3b0   :  feb2 ; 
+ 3b1   :  feb8 ; 
+ 3b2   :  febd ; 
+ 3b3   :  fec3 ; 
+ 3b4   :  fec8 ; 
+ 3b5   :  fecd ; 
+ 3b6   :  fed3 ; 
+ 3b7   :  fed8 ; 
+ 3b8   :  fede ; 
+ 3b9   :  fee3 ; 
+ 3ba   :  fee8 ; 
+ 3bb   :  feee ; 
+ 3bc   :  fef3 ; 
+ 3bd   :  fef9 ; 
+ 3be   :  fefe ; 
+ 3bf   :  ff03 ; 
+ 3c0   :  ff09 ; 
+ 3c1   :  ff0e ; 
+ 3c2   :  ff14 ; 
+ 3c3   :  ff19 ; 
+ 3c4   :  ff1e ; 
+ 3c5   :  ff24 ; 
+ 3c6   :  ff29 ; 
+ 3c7   :  ff2e ; 
+ 3c8   :  ff34 ; 
+ 3c9   :  ff39 ; 
+ 3ca   :  ff3e ; 
+ 3cb   :  ff44 ; 
+ 3cc   :  ff49 ; 
+ 3cd   :  ff4e ; 
+ 3ce   :  ff54 ; 
+ 3cf   :  ff59 ; 
+ 3d0   :  ff5e ; 
+ 3d1   :  ff64 ; 
+ 3d2   :  ff69 ; 
+ 3d3   :  ff6e ; 
+ 3d4   :  ff74 ; 
+ 3d5   :  ff79 ; 
+ 3d6   :  ff7e ; 
+ 3d7   :  ff84 ; 
+ 3d8   :  ff89 ; 
+ 3d9   :  ff8e ; 
+ 3da   :  ff94 ; 
+ 3db   :  ff99 ; 
+ 3dc   :  ff9e ; 
+ 3dd   :  ffa3 ; 
+ 3de   :  ffa9 ; 
+ 3df   :  ffae ; 
+ 3e0   :  ffb3 ; 
+ 3e1   :  ffb9 ; 
+ 3e2   :  ffbe ; 
+ 3e3   :  ffc3 ; 
+ 3e4   :  ffc8 ; 
+ 3e5   :  ffce ; 
+ 3e6   :  ffd3 ; 
+ 3e7   :  ffd8 ; 
+ 3e8   :  ffdd ; 
+ 3e9   :  ffe3 ; 
+ 3ea   :  ffe8 ; 
+ 3eb   :  ffed ; 
+ 3ec   :  fff2 ; 
+ 3ed   :  fff8 ; 
+ 3ee   :  fffd ; 
+ 3ef   :  2 ; 
+ 3f0   :  7 ; 
+ 3f1   :  c ; 
+ 3f2   :  12 ; 
+ 3f3   :  17 ; 
+ 3f4   :  1c ; 
+ 3f5   :  21 ; 
+ 3f6   :  26 ; 
+ 3f7   :  2c ; 
+ 3f8   :  31 ; 
+ 3f9   :  36 ; 
+ 3fa   :  3b ; 
+ 3fb   :  40 ; 
+ 3fc   :  45 ; 
+ 3fd   :  4b ; 
+ 3fe   :  50 ; 
+ 3ff   :  55 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_5.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_5.mif
new file mode 100644
index 0000000000000000000000000000000000000000..004cdc57781d6e161ee8ece2a466b5373a2f61b6
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_5.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  115 ; 
+ 1   :  123 ; 
+ 2   :  131 ; 
+ 3   :  13f ; 
+ 4   :  14d ; 
+ 5   :  15b ; 
+ 6   :  169 ; 
+ 7   :  177 ; 
+ 8   :  185 ; 
+ 9   :  193 ; 
+ a   :  1a1 ; 
+ b   :  1af ; 
+ c   :  1bd ; 
+ d   :  1ca ; 
+ e   :  1d8 ; 
+ f   :  1e6 ; 
+ 10   :  1f4 ; 
+ 11   :  202 ; 
+ 12   :  20f ; 
+ 13   :  21d ; 
+ 14   :  22b ; 
+ 15   :  238 ; 
+ 16   :  246 ; 
+ 17   :  253 ; 
+ 18   :  261 ; 
+ 19   :  26f ; 
+ 1a   :  27c ; 
+ 1b   :  28a ; 
+ 1c   :  297 ; 
+ 1d   :  2a5 ; 
+ 1e   :  2b2 ; 
+ 1f   :  2bf ; 
+ 20   :  2cd ; 
+ 21   :  2da ; 
+ 22   :  2e8 ; 
+ 23   :  2f5 ; 
+ 24   :  302 ; 
+ 25   :  310 ; 
+ 26   :  31d ; 
+ 27   :  32a ; 
+ 28   :  337 ; 
+ 29   :  344 ; 
+ 2a   :  352 ; 
+ 2b   :  35f ; 
+ 2c   :  36c ; 
+ 2d   :  379 ; 
+ 2e   :  386 ; 
+ 2f   :  393 ; 
+ 30   :  3a0 ; 
+ 31   :  3ad ; 
+ 32   :  3ba ; 
+ 33   :  3c7 ; 
+ 34   :  3d4 ; 
+ 35   :  3e1 ; 
+ 36   :  3ee ; 
+ 37   :  3fb ; 
+ 38   :  407 ; 
+ 39   :  414 ; 
+ 3a   :  421 ; 
+ 3b   :  42e ; 
+ 3c   :  43b ; 
+ 3d   :  447 ; 
+ 3e   :  454 ; 
+ 3f   :  461 ; 
+ 40   :  46d ; 
+ 41   :  47a ; 
+ 42   :  486 ; 
+ 43   :  493 ; 
+ 44   :  49f ; 
+ 45   :  4ac ; 
+ 46   :  4b8 ; 
+ 47   :  4c5 ; 
+ 48   :  4d1 ; 
+ 49   :  4de ; 
+ 4a   :  4ea ; 
+ 4b   :  4f6 ; 
+ 4c   :  503 ; 
+ 4d   :  50f ; 
+ 4e   :  51b ; 
+ 4f   :  527 ; 
+ 50   :  534 ; 
+ 51   :  540 ; 
+ 52   :  54c ; 
+ 53   :  558 ; 
+ 54   :  564 ; 
+ 55   :  570 ; 
+ 56   :  57c ; 
+ 57   :  588 ; 
+ 58   :  594 ; 
+ 59   :  5a0 ; 
+ 5a   :  5ac ; 
+ 5b   :  5b8 ; 
+ 5c   :  5c4 ; 
+ 5d   :  5d0 ; 
+ 5e   :  5dc ; 
+ 5f   :  5e7 ; 
+ 60   :  5f3 ; 
+ 61   :  5ff ; 
+ 62   :  60b ; 
+ 63   :  616 ; 
+ 64   :  622 ; 
+ 65   :  62e ; 
+ 66   :  639 ; 
+ 67   :  645 ; 
+ 68   :  650 ; 
+ 69   :  65c ; 
+ 6a   :  667 ; 
+ 6b   :  673 ; 
+ 6c   :  67e ; 
+ 6d   :  689 ; 
+ 6e   :  695 ; 
+ 6f   :  6a0 ; 
+ 70   :  6ab ; 
+ 71   :  6b7 ; 
+ 72   :  6c2 ; 
+ 73   :  6cd ; 
+ 74   :  6d8 ; 
+ 75   :  6e3 ; 
+ 76   :  6ef ; 
+ 77   :  6fa ; 
+ 78   :  705 ; 
+ 79   :  710 ; 
+ 7a   :  71b ; 
+ 7b   :  726 ; 
+ 7c   :  731 ; 
+ 7d   :  73c ; 
+ 7e   :  746 ; 
+ 7f   :  751 ; 
+ 80   :  75c ; 
+ 81   :  767 ; 
+ 82   :  772 ; 
+ 83   :  77c ; 
+ 84   :  787 ; 
+ 85   :  792 ; 
+ 86   :  79c ; 
+ 87   :  7a7 ; 
+ 88   :  7b2 ; 
+ 89   :  7bc ; 
+ 8a   :  7c7 ; 
+ 8b   :  7d1 ; 
+ 8c   :  7db ; 
+ 8d   :  7e6 ; 
+ 8e   :  7f0 ; 
+ 8f   :  7fb ; 
+ 90   :  805 ; 
+ 91   :  80f ; 
+ 92   :  819 ; 
+ 93   :  824 ; 
+ 94   :  82e ; 
+ 95   :  838 ; 
+ 96   :  842 ; 
+ 97   :  84c ; 
+ 98   :  856 ; 
+ 99   :  860 ; 
+ 9a   :  86a ; 
+ 9b   :  874 ; 
+ 9c   :  87e ; 
+ 9d   :  888 ; 
+ 9e   :  892 ; 
+ 9f   :  89c ; 
+ a0   :  8a6 ; 
+ a1   :  8af ; 
+ a2   :  8b9 ; 
+ a3   :  8c3 ; 
+ a4   :  8cd ; 
+ a5   :  8d6 ; 
+ a6   :  8e0 ; 
+ a7   :  8e9 ; 
+ a8   :  8f3 ; 
+ a9   :  8fc ; 
+ aa   :  906 ; 
+ ab   :  90f ; 
+ ac   :  919 ; 
+ ad   :  922 ; 
+ ae   :  92b ; 
+ af   :  935 ; 
+ b0   :  93e ; 
+ b1   :  947 ; 
+ b2   :  950 ; 
+ b3   :  95a ; 
+ b4   :  963 ; 
+ b5   :  96c ; 
+ b6   :  975 ; 
+ b7   :  97e ; 
+ b8   :  987 ; 
+ b9   :  990 ; 
+ ba   :  999 ; 
+ bb   :  9a2 ; 
+ bc   :  9ab ; 
+ bd   :  9b4 ; 
+ be   :  9bc ; 
+ bf   :  9c5 ; 
+ c0   :  9ce ; 
+ c1   :  9d7 ; 
+ c2   :  9df ; 
+ c3   :  9e8 ; 
+ c4   :  9f0 ; 
+ c5   :  9f9 ; 
+ c6   :  a02 ; 
+ c7   :  a0a ; 
+ c8   :  a13 ; 
+ c9   :  a1b ; 
+ ca   :  a23 ; 
+ cb   :  a2c ; 
+ cc   :  a34 ; 
+ cd   :  a3c ; 
+ ce   :  a45 ; 
+ cf   :  a4d ; 
+ d0   :  a55 ; 
+ d1   :  a5d ; 
+ d2   :  a65 ; 
+ d3   :  a6d ; 
+ d4   :  a75 ; 
+ d5   :  a7d ; 
+ d6   :  a85 ; 
+ d7   :  a8d ; 
+ d8   :  a95 ; 
+ d9   :  a9d ; 
+ da   :  aa5 ; 
+ db   :  aad ; 
+ dc   :  ab4 ; 
+ dd   :  abc ; 
+ de   :  ac4 ; 
+ df   :  acb ; 
+ e0   :  ad3 ; 
+ e1   :  adb ; 
+ e2   :  ae2 ; 
+ e3   :  aea ; 
+ e4   :  af1 ; 
+ e5   :  af9 ; 
+ e6   :  b00 ; 
+ e7   :  b07 ; 
+ e8   :  b0f ; 
+ e9   :  b16 ; 
+ ea   :  b1d ; 
+ eb   :  b25 ; 
+ ec   :  b2c ; 
+ ed   :  b33 ; 
+ ee   :  b3a ; 
+ ef   :  b41 ; 
+ f0   :  b48 ; 
+ f1   :  b4f ; 
+ f2   :  b56 ; 
+ f3   :  b5d ; 
+ f4   :  b64 ; 
+ f5   :  b6b ; 
+ f6   :  b72 ; 
+ f7   :  b79 ; 
+ f8   :  b7f ; 
+ f9   :  b86 ; 
+ fa   :  b8d ; 
+ fb   :  b93 ; 
+ fc   :  b9a ; 
+ fd   :  ba1 ; 
+ fe   :  ba7 ; 
+ ff   :  bae ; 
+ 100   :  bb4 ; 
+ 101   :  bbb ; 
+ 102   :  bc1 ; 
+ 103   :  bc7 ; 
+ 104   :  bce ; 
+ 105   :  bd4 ; 
+ 106   :  bda ; 
+ 107   :  be0 ; 
+ 108   :  be7 ; 
+ 109   :  bed ; 
+ 10a   :  bf3 ; 
+ 10b   :  bf9 ; 
+ 10c   :  bff ; 
+ 10d   :  c05 ; 
+ 10e   :  c0b ; 
+ 10f   :  c11 ; 
+ 110   :  c17 ; 
+ 111   :  c1d ; 
+ 112   :  c23 ; 
+ 113   :  c28 ; 
+ 114   :  c2e ; 
+ 115   :  c34 ; 
+ 116   :  c39 ; 
+ 117   :  c3f ; 
+ 118   :  c45 ; 
+ 119   :  c4a ; 
+ 11a   :  c50 ; 
+ 11b   :  c55 ; 
+ 11c   :  c5b ; 
+ 11d   :  c60 ; 
+ 11e   :  c66 ; 
+ 11f   :  c6b ; 
+ 120   :  c70 ; 
+ 121   :  c75 ; 
+ 122   :  c7b ; 
+ 123   :  c80 ; 
+ 124   :  c85 ; 
+ 125   :  c8a ; 
+ 126   :  c8f ; 
+ 127   :  c94 ; 
+ 128   :  c99 ; 
+ 129   :  c9e ; 
+ 12a   :  ca3 ; 
+ 12b   :  ca8 ; 
+ 12c   :  cad ; 
+ 12d   :  cb2 ; 
+ 12e   :  cb7 ; 
+ 12f   :  cbb ; 
+ 130   :  cc0 ; 
+ 131   :  cc5 ; 
+ 132   :  cc9 ; 
+ 133   :  cce ; 
+ 134   :  cd3 ; 
+ 135   :  cd7 ; 
+ 136   :  cdc ; 
+ 137   :  ce0 ; 
+ 138   :  ce5 ; 
+ 139   :  ce9 ; 
+ 13a   :  ced ; 
+ 13b   :  cf2 ; 
+ 13c   :  cf6 ; 
+ 13d   :  cfa ; 
+ 13e   :  cfe ; 
+ 13f   :  d03 ; 
+ 140   :  d07 ; 
+ 141   :  d0b ; 
+ 142   :  d0f ; 
+ 143   :  d13 ; 
+ 144   :  d17 ; 
+ 145   :  d1b ; 
+ 146   :  d1f ; 
+ 147   :  d23 ; 
+ 148   :  d26 ; 
+ 149   :  d2a ; 
+ 14a   :  d2e ; 
+ 14b   :  d32 ; 
+ 14c   :  d35 ; 
+ 14d   :  d39 ; 
+ 14e   :  d3d ; 
+ 14f   :  d40 ; 
+ 150   :  d44 ; 
+ 151   :  d47 ; 
+ 152   :  d4b ; 
+ 153   :  d4e ; 
+ 154   :  d52 ; 
+ 155   :  d55 ; 
+ 156   :  d58 ; 
+ 157   :  d5c ; 
+ 158   :  d5f ; 
+ 159   :  d62 ; 
+ 15a   :  d65 ; 
+ 15b   :  d68 ; 
+ 15c   :  d6b ; 
+ 15d   :  d6e ; 
+ 15e   :  d72 ; 
+ 15f   :  d75 ; 
+ 160   :  d77 ; 
+ 161   :  d7a ; 
+ 162   :  d7d ; 
+ 163   :  d80 ; 
+ 164   :  d83 ; 
+ 165   :  d86 ; 
+ 166   :  d88 ; 
+ 167   :  d8b ; 
+ 168   :  d8e ; 
+ 169   :  d90 ; 
+ 16a   :  d93 ; 
+ 16b   :  d96 ; 
+ 16c   :  d98 ; 
+ 16d   :  d9b ; 
+ 16e   :  d9d ; 
+ 16f   :  d9f ; 
+ 170   :  da2 ; 
+ 171   :  da4 ; 
+ 172   :  da6 ; 
+ 173   :  da9 ; 
+ 174   :  dab ; 
+ 175   :  dad ; 
+ 176   :  daf ; 
+ 177   :  db1 ; 
+ 178   :  db4 ; 
+ 179   :  db6 ; 
+ 17a   :  db8 ; 
+ 17b   :  dba ; 
+ 17c   :  dbb ; 
+ 17d   :  dbd ; 
+ 17e   :  dbf ; 
+ 17f   :  dc1 ; 
+ 180   :  dc3 ; 
+ 181   :  dc5 ; 
+ 182   :  dc6 ; 
+ 183   :  dc8 ; 
+ 184   :  dca ; 
+ 185   :  dcb ; 
+ 186   :  dcd ; 
+ 187   :  dce ; 
+ 188   :  dd0 ; 
+ 189   :  dd1 ; 
+ 18a   :  dd3 ; 
+ 18b   :  dd4 ; 
+ 18c   :  dd6 ; 
+ 18d   :  dd7 ; 
+ 18e   :  dd8 ; 
+ 18f   :  dd9 ; 
+ 190   :  ddb ; 
+ 191   :  ddc ; 
+ 192   :  ddd ; 
+ 193   :  dde ; 
+ 194   :  ddf ; 
+ 195   :  de0 ; 
+ 196   :  de1 ; 
+ 197   :  de2 ; 
+ 198   :  de3 ; 
+ 199   :  de4 ; 
+ 19a   :  de5 ; 
+ 19b   :  de6 ; 
+ 19c   :  de7 ; 
+ 19d   :  de7 ; 
+ 19e   :  de8 ; 
+ 19f   :  de9 ; 
+ 1a0   :  de9 ; 
+ 1a1   :  dea ; 
+ 1a2   :  deb ; 
+ 1a3   :  deb ; 
+ 1a4   :  dec ; 
+ 1a5   :  dec ; 
+ 1a6   :  ded ; 
+ 1a7   :  ded ; 
+ 1a8   :  ded ; 
+ 1a9   :  dee ; 
+ 1aa   :  dee ; 
+ 1ab   :  dee ; 
+ 1ac   :  def ; 
+ 1ad   :  def ; 
+ 1ae   :  def ; 
+ 1af   :  def ; 
+ 1b0   :  def ; 
+ 1b1   :  def ; 
+ 1b2   :  def ; 
+ 1b3   :  def ; 
+ 1b4   :  def ; 
+ 1b5   :  def ; 
+ 1b6   :  def ; 
+ 1b7   :  def ; 
+ 1b8   :  def ; 
+ 1b9   :  dee ; 
+ 1ba   :  dee ; 
+ 1bb   :  dee ; 
+ 1bc   :  dee ; 
+ 1bd   :  ded ; 
+ 1be   :  ded ; 
+ 1bf   :  dec ; 
+ 1c0   :  dec ; 
+ 1c1   :  deb ; 
+ 1c2   :  deb ; 
+ 1c3   :  dea ; 
+ 1c4   :  dea ; 
+ 1c5   :  de9 ; 
+ 1c6   :  de9 ; 
+ 1c7   :  de8 ; 
+ 1c8   :  de7 ; 
+ 1c9   :  de6 ; 
+ 1ca   :  de6 ; 
+ 1cb   :  de5 ; 
+ 1cc   :  de4 ; 
+ 1cd   :  de3 ; 
+ 1ce   :  de2 ; 
+ 1cf   :  de1 ; 
+ 1d0   :  de0 ; 
+ 1d1   :  ddf ; 
+ 1d2   :  dde ; 
+ 1d3   :  ddd ; 
+ 1d4   :  ddc ; 
+ 1d5   :  ddb ; 
+ 1d6   :  dd9 ; 
+ 1d7   :  dd8 ; 
+ 1d8   :  dd7 ; 
+ 1d9   :  dd6 ; 
+ 1da   :  dd4 ; 
+ 1db   :  dd3 ; 
+ 1dc   :  dd2 ; 
+ 1dd   :  dd0 ; 
+ 1de   :  dcf ; 
+ 1df   :  dcd ; 
+ 1e0   :  dcc ; 
+ 1e1   :  dca ; 
+ 1e2   :  dc9 ; 
+ 1e3   :  dc7 ; 
+ 1e4   :  dc5 ; 
+ 1e5   :  dc4 ; 
+ 1e6   :  dc2 ; 
+ 1e7   :  dc0 ; 
+ 1e8   :  dbe ; 
+ 1e9   :  dbd ; 
+ 1ea   :  dbb ; 
+ 1eb   :  db9 ; 
+ 1ec   :  db7 ; 
+ 1ed   :  db5 ; 
+ 1ee   :  db3 ; 
+ 1ef   :  db1 ; 
+ 1f0   :  daf ; 
+ 1f1   :  dad ; 
+ 1f2   :  dab ; 
+ 1f3   :  da9 ; 
+ 1f4   :  da7 ; 
+ 1f5   :  da4 ; 
+ 1f6   :  da2 ; 
+ 1f7   :  da0 ; 
+ 1f8   :  d9e ; 
+ 1f9   :  d9b ; 
+ 1fa   :  d99 ; 
+ 1fb   :  d97 ; 
+ 1fc   :  d94 ; 
+ 1fd   :  d92 ; 
+ 1fe   :  d8f ; 
+ 1ff   :  d8d ; 
+ 200   :  d8a ; 
+ 201   :  d88 ; 
+ 202   :  d85 ; 
+ 203   :  d82 ; 
+ 204   :  d80 ; 
+ 205   :  d7d ; 
+ 206   :  d7a ; 
+ 207   :  d78 ; 
+ 208   :  d75 ; 
+ 209   :  d72 ; 
+ 20a   :  d6f ; 
+ 20b   :  d6c ; 
+ 20c   :  d69 ; 
+ 20d   :  d66 ; 
+ 20e   :  d64 ; 
+ 20f   :  d61 ; 
+ 210   :  d5e ; 
+ 211   :  d5a ; 
+ 212   :  d57 ; 
+ 213   :  d54 ; 
+ 214   :  d51 ; 
+ 215   :  d4e ; 
+ 216   :  d4b ; 
+ 217   :  d48 ; 
+ 218   :  d44 ; 
+ 219   :  d41 ; 
+ 21a   :  d3e ; 
+ 21b   :  d3a ; 
+ 21c   :  d37 ; 
+ 21d   :  d34 ; 
+ 21e   :  d30 ; 
+ 21f   :  d2d ; 
+ 220   :  d29 ; 
+ 221   :  d26 ; 
+ 222   :  d22 ; 
+ 223   :  d1e ; 
+ 224   :  d1b ; 
+ 225   :  d17 ; 
+ 226   :  d14 ; 
+ 227   :  d10 ; 
+ 228   :  d0c ; 
+ 229   :  d08 ; 
+ 22a   :  d05 ; 
+ 22b   :  d01 ; 
+ 22c   :  cfd ; 
+ 22d   :  cf9 ; 
+ 22e   :  cf5 ; 
+ 22f   :  cf1 ; 
+ 230   :  ced ; 
+ 231   :  ce9 ; 
+ 232   :  ce5 ; 
+ 233   :  ce1 ; 
+ 234   :  cdd ; 
+ 235   :  cd9 ; 
+ 236   :  cd5 ; 
+ 237   :  cd1 ; 
+ 238   :  ccd ; 
+ 239   :  cc9 ; 
+ 23a   :  cc4 ; 
+ 23b   :  cc0 ; 
+ 23c   :  cbc ; 
+ 23d   :  cb8 ; 
+ 23e   :  cb3 ; 
+ 23f   :  caf ; 
+ 240   :  caa ; 
+ 241   :  ca6 ; 
+ 242   :  ca2 ; 
+ 243   :  c9d ; 
+ 244   :  c99 ; 
+ 245   :  c94 ; 
+ 246   :  c90 ; 
+ 247   :  c8b ; 
+ 248   :  c86 ; 
+ 249   :  c82 ; 
+ 24a   :  c7d ; 
+ 24b   :  c78 ; 
+ 24c   :  c74 ; 
+ 24d   :  c6f ; 
+ 24e   :  c6a ; 
+ 24f   :  c65 ; 
+ 250   :  c61 ; 
+ 251   :  c5c ; 
+ 252   :  c57 ; 
+ 253   :  c52 ; 
+ 254   :  c4d ; 
+ 255   :  c48 ; 
+ 256   :  c43 ; 
+ 257   :  c3e ; 
+ 258   :  c39 ; 
+ 259   :  c34 ; 
+ 25a   :  c2f ; 
+ 25b   :  c2a ; 
+ 25c   :  c25 ; 
+ 25d   :  c20 ; 
+ 25e   :  c1b ; 
+ 25f   :  c16 ; 
+ 260   :  c10 ; 
+ 261   :  c0b ; 
+ 262   :  c06 ; 
+ 263   :  c01 ; 
+ 264   :  bfb ; 
+ 265   :  bf6 ; 
+ 266   :  bf1 ; 
+ 267   :  beb ; 
+ 268   :  be6 ; 
+ 269   :  be0 ; 
+ 26a   :  bdb ; 
+ 26b   :  bd5 ; 
+ 26c   :  bd0 ; 
+ 26d   :  bca ; 
+ 26e   :  bc5 ; 
+ 26f   :  bbf ; 
+ 270   :  bba ; 
+ 271   :  bb4 ; 
+ 272   :  bae ; 
+ 273   :  ba9 ; 
+ 274   :  ba3 ; 
+ 275   :  b9d ; 
+ 276   :  b98 ; 
+ 277   :  b92 ; 
+ 278   :  b8c ; 
+ 279   :  b86 ; 
+ 27a   :  b81 ; 
+ 27b   :  b7b ; 
+ 27c   :  b75 ; 
+ 27d   :  b6f ; 
+ 27e   :  b69 ; 
+ 27f   :  b63 ; 
+ 280   :  b5d ; 
+ 281   :  b57 ; 
+ 282   :  b51 ; 
+ 283   :  b4b ; 
+ 284   :  b45 ; 
+ 285   :  b3f ; 
+ 286   :  b39 ; 
+ 287   :  b33 ; 
+ 288   :  b2d ; 
+ 289   :  b27 ; 
+ 28a   :  b20 ; 
+ 28b   :  b1a ; 
+ 28c   :  b14 ; 
+ 28d   :  b0e ; 
+ 28e   :  b07 ; 
+ 28f   :  b01 ; 
+ 290   :  afb ; 
+ 291   :  af5 ; 
+ 292   :  aee ; 
+ 293   :  ae8 ; 
+ 294   :  ae2 ; 
+ 295   :  adb ; 
+ 296   :  ad5 ; 
+ 297   :  ace ; 
+ 298   :  ac8 ; 
+ 299   :  ac1 ; 
+ 29a   :  abb ; 
+ 29b   :  ab4 ; 
+ 29c   :  aae ; 
+ 29d   :  aa7 ; 
+ 29e   :  aa1 ; 
+ 29f   :  a9a ; 
+ 2a0   :  a93 ; 
+ 2a1   :  a8d ; 
+ 2a2   :  a86 ; 
+ 2a3   :  a7f ; 
+ 2a4   :  a79 ; 
+ 2a5   :  a72 ; 
+ 2a6   :  a6b ; 
+ 2a7   :  a65 ; 
+ 2a8   :  a5e ; 
+ 2a9   :  a57 ; 
+ 2aa   :  a50 ; 
+ 2ab   :  a49 ; 
+ 2ac   :  a42 ; 
+ 2ad   :  a3c ; 
+ 2ae   :  a35 ; 
+ 2af   :  a2e ; 
+ 2b0   :  a27 ; 
+ 2b1   :  a20 ; 
+ 2b2   :  a19 ; 
+ 2b3   :  a12 ; 
+ 2b4   :  a0b ; 
+ 2b5   :  a04 ; 
+ 2b6   :  9fd ; 
+ 2b7   :  9f6 ; 
+ 2b8   :  9ef ; 
+ 2b9   :  9e8 ; 
+ 2ba   :  9e1 ; 
+ 2bb   :  9da ; 
+ 2bc   :  9d2 ; 
+ 2bd   :  9cb ; 
+ 2be   :  9c4 ; 
+ 2bf   :  9bd ; 
+ 2c0   :  9b6 ; 
+ 2c1   :  9af ; 
+ 2c2   :  9a7 ; 
+ 2c3   :  9a0 ; 
+ 2c4   :  999 ; 
+ 2c5   :  992 ; 
+ 2c6   :  98a ; 
+ 2c7   :  983 ; 
+ 2c8   :  97c ; 
+ 2c9   :  974 ; 
+ 2ca   :  96d ; 
+ 2cb   :  966 ; 
+ 2cc   :  95e ; 
+ 2cd   :  957 ; 
+ 2ce   :  94f ; 
+ 2cf   :  948 ; 
+ 2d0   :  940 ; 
+ 2d1   :  939 ; 
+ 2d2   :  931 ; 
+ 2d3   :  92a ; 
+ 2d4   :  922 ; 
+ 2d5   :  91b ; 
+ 2d6   :  913 ; 
+ 2d7   :  90c ; 
+ 2d8   :  904 ; 
+ 2d9   :  8fd ; 
+ 2da   :  8f5 ; 
+ 2db   :  8ed ; 
+ 2dc   :  8e6 ; 
+ 2dd   :  8de ; 
+ 2de   :  8d6 ; 
+ 2df   :  8cf ; 
+ 2e0   :  8c7 ; 
+ 2e1   :  8bf ; 
+ 2e2   :  8b8 ; 
+ 2e3   :  8b0 ; 
+ 2e4   :  8a8 ; 
+ 2e5   :  8a0 ; 
+ 2e6   :  899 ; 
+ 2e7   :  891 ; 
+ 2e8   :  889 ; 
+ 2e9   :  881 ; 
+ 2ea   :  879 ; 
+ 2eb   :  872 ; 
+ 2ec   :  86a ; 
+ 2ed   :  862 ; 
+ 2ee   :  85a ; 
+ 2ef   :  852 ; 
+ 2f0   :  84a ; 
+ 2f1   :  842 ; 
+ 2f2   :  83a ; 
+ 2f3   :  832 ; 
+ 2f4   :  82a ; 
+ 2f5   :  822 ; 
+ 2f6   :  81a ; 
+ 2f7   :  812 ; 
+ 2f8   :  80a ; 
+ 2f9   :  802 ; 
+ 2fa   :  7fa ; 
+ 2fb   :  7f2 ; 
+ 2fc   :  7ea ; 
+ 2fd   :  7e2 ; 
+ 2fe   :  7da ; 
+ 2ff   :  7d2 ; 
+ 300   :  7ca ; 
+ 301   :  7c2 ; 
+ 302   :  7ba ; 
+ 303   :  7b2 ; 
+ 304   :  7aa ; 
+ 305   :  7a1 ; 
+ 306   :  799 ; 
+ 307   :  791 ; 
+ 308   :  789 ; 
+ 309   :  781 ; 
+ 30a   :  778 ; 
+ 30b   :  770 ; 
+ 30c   :  768 ; 
+ 30d   :  760 ; 
+ 30e   :  758 ; 
+ 30f   :  74f ; 
+ 310   :  747 ; 
+ 311   :  73f ; 
+ 312   :  736 ; 
+ 313   :  72e ; 
+ 314   :  726 ; 
+ 315   :  71e ; 
+ 316   :  715 ; 
+ 317   :  70d ; 
+ 318   :  705 ; 
+ 319   :  6fc ; 
+ 31a   :  6f4 ; 
+ 31b   :  6ec ; 
+ 31c   :  6e3 ; 
+ 31d   :  6db ; 
+ 31e   :  6d2 ; 
+ 31f   :  6ca ; 
+ 320   :  6c2 ; 
+ 321   :  6b9 ; 
+ 322   :  6b1 ; 
+ 323   :  6a8 ; 
+ 324   :  6a0 ; 
+ 325   :  697 ; 
+ 326   :  68f ; 
+ 327   :  686 ; 
+ 328   :  67e ; 
+ 329   :  676 ; 
+ 32a   :  66d ; 
+ 32b   :  665 ; 
+ 32c   :  65c ; 
+ 32d   :  654 ; 
+ 32e   :  64b ; 
+ 32f   :  642 ; 
+ 330   :  63a ; 
+ 331   :  631 ; 
+ 332   :  629 ; 
+ 333   :  620 ; 
+ 334   :  618 ; 
+ 335   :  60f ; 
+ 336   :  607 ; 
+ 337   :  5fe ; 
+ 338   :  5f5 ; 
+ 339   :  5ed ; 
+ 33a   :  5e4 ; 
+ 33b   :  5dc ; 
+ 33c   :  5d3 ; 
+ 33d   :  5ca ; 
+ 33e   :  5c2 ; 
+ 33f   :  5b9 ; 
+ 340   :  5b1 ; 
+ 341   :  5a8 ; 
+ 342   :  59f ; 
+ 343   :  597 ; 
+ 344   :  58e ; 
+ 345   :  585 ; 
+ 346   :  57d ; 
+ 347   :  574 ; 
+ 348   :  56b ; 
+ 349   :  563 ; 
+ 34a   :  55a ; 
+ 34b   :  551 ; 
+ 34c   :  549 ; 
+ 34d   :  540 ; 
+ 34e   :  537 ; 
+ 34f   :  52e ; 
+ 350   :  526 ; 
+ 351   :  51d ; 
+ 352   :  514 ; 
+ 353   :  50c ; 
+ 354   :  503 ; 
+ 355   :  4fa ; 
+ 356   :  4f1 ; 
+ 357   :  4e9 ; 
+ 358   :  4e0 ; 
+ 359   :  4d7 ; 
+ 35a   :  4ce ; 
+ 35b   :  4c6 ; 
+ 35c   :  4bd ; 
+ 35d   :  4b4 ; 
+ 35e   :  4ab ; 
+ 35f   :  4a3 ; 
+ 360   :  49a ; 
+ 361   :  491 ; 
+ 362   :  488 ; 
+ 363   :  480 ; 
+ 364   :  477 ; 
+ 365   :  46e ; 
+ 366   :  465 ; 
+ 367   :  45c ; 
+ 368   :  454 ; 
+ 369   :  44b ; 
+ 36a   :  442 ; 
+ 36b   :  439 ; 
+ 36c   :  430 ; 
+ 36d   :  428 ; 
+ 36e   :  41f ; 
+ 36f   :  416 ; 
+ 370   :  40d ; 
+ 371   :  404 ; 
+ 372   :  3fc ; 
+ 373   :  3f3 ; 
+ 374   :  3ea ; 
+ 375   :  3e1 ; 
+ 376   :  3d8 ; 
+ 377   :  3d0 ; 
+ 378   :  3c7 ; 
+ 379   :  3be ; 
+ 37a   :  3b5 ; 
+ 37b   :  3ac ; 
+ 37c   :  3a4 ; 
+ 37d   :  39b ; 
+ 37e   :  392 ; 
+ 37f   :  389 ; 
+ 380   :  380 ; 
+ 381   :  378 ; 
+ 382   :  36f ; 
+ 383   :  366 ; 
+ 384   :  35d ; 
+ 385   :  354 ; 
+ 386   :  34b ; 
+ 387   :  343 ; 
+ 388   :  33a ; 
+ 389   :  331 ; 
+ 38a   :  328 ; 
+ 38b   :  31f ; 
+ 38c   :  317 ; 
+ 38d   :  30e ; 
+ 38e   :  305 ; 
+ 38f   :  2fc ; 
+ 390   :  2f3 ; 
+ 391   :  2eb ; 
+ 392   :  2e2 ; 
+ 393   :  2d9 ; 
+ 394   :  2d0 ; 
+ 395   :  2c7 ; 
+ 396   :  2bf ; 
+ 397   :  2b6 ; 
+ 398   :  2ad ; 
+ 399   :  2a4 ; 
+ 39a   :  29b ; 
+ 39b   :  293 ; 
+ 39c   :  28a ; 
+ 39d   :  281 ; 
+ 39e   :  278 ; 
+ 39f   :  270 ; 
+ 3a0   :  267 ; 
+ 3a1   :  25e ; 
+ 3a2   :  255 ; 
+ 3a3   :  24c ; 
+ 3a4   :  244 ; 
+ 3a5   :  23b ; 
+ 3a6   :  232 ; 
+ 3a7   :  229 ; 
+ 3a8   :  221 ; 
+ 3a9   :  218 ; 
+ 3aa   :  20f ; 
+ 3ab   :  206 ; 
+ 3ac   :  1fe ; 
+ 3ad   :  1f5 ; 
+ 3ae   :  1ec ; 
+ 3af   :  1e4 ; 
+ 3b0   :  1db ; 
+ 3b1   :  1d2 ; 
+ 3b2   :  1c9 ; 
+ 3b3   :  1c1 ; 
+ 3b4   :  1b8 ; 
+ 3b5   :  1af ; 
+ 3b6   :  1a7 ; 
+ 3b7   :  19e ; 
+ 3b8   :  195 ; 
+ 3b9   :  18c ; 
+ 3ba   :  184 ; 
+ 3bb   :  17b ; 
+ 3bc   :  172 ; 
+ 3bd   :  16a ; 
+ 3be   :  161 ; 
+ 3bf   :  158 ; 
+ 3c0   :  150 ; 
+ 3c1   :  147 ; 
+ 3c2   :  13f ; 
+ 3c3   :  136 ; 
+ 3c4   :  12d ; 
+ 3c5   :  125 ; 
+ 3c6   :  11c ; 
+ 3c7   :  113 ; 
+ 3c8   :  10b ; 
+ 3c9   :  102 ; 
+ 3ca   :  fa ; 
+ 3cb   :  f1 ; 
+ 3cc   :  e8 ; 
+ 3cd   :  e0 ; 
+ 3ce   :  d7 ; 
+ 3cf   :  cf ; 
+ 3d0   :  c6 ; 
+ 3d1   :  be ; 
+ 3d2   :  b5 ; 
+ 3d3   :  ad ; 
+ 3d4   :  a4 ; 
+ 3d5   :  9b ; 
+ 3d6   :  93 ; 
+ 3d7   :  8a ; 
+ 3d8   :  82 ; 
+ 3d9   :  79 ; 
+ 3da   :  71 ; 
+ 3db   :  68 ; 
+ 3dc   :  60 ; 
+ 3dd   :  57 ; 
+ 3de   :  4f ; 
+ 3df   :  47 ; 
+ 3e0   :  3e ; 
+ 3e1   :  36 ; 
+ 3e2   :  2d ; 
+ 3e3   :  25 ; 
+ 3e4   :  1c ; 
+ 3e5   :  14 ; 
+ 3e6   :  c ; 
+ 3e7   :  3 ; 
+ 3e8   :  fffb ; 
+ 3e9   :  fff2 ; 
+ 3ea   :  ffea ; 
+ 3eb   :  ffe2 ; 
+ 3ec   :  ffd9 ; 
+ 3ed   :  ffd1 ; 
+ 3ee   :  ffc9 ; 
+ 3ef   :  ffc0 ; 
+ 3f0   :  ffb8 ; 
+ 3f1   :  ffb0 ; 
+ 3f2   :  ffa7 ; 
+ 3f3   :  ff9f ; 
+ 3f4   :  ff97 ; 
+ 3f5   :  ff8e ; 
+ 3f6   :  ff86 ; 
+ 3f7   :  ff7e ; 
+ 3f8   :  ff76 ; 
+ 3f9   :  ff6d ; 
+ 3fa   :  ff65 ; 
+ 3fb   :  ff5d ; 
+ 3fc   :  ff55 ; 
+ 3fd   :  ff4d ; 
+ 3fe   :  ff44 ; 
+ 3ff   :  ff3c ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_6.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_6.mif
new file mode 100644
index 0000000000000000000000000000000000000000..e7ea0a360ebf1180a0322c1f7974a76d1273d250
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_6.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  febd ; 
+ 1   :  fe9e ; 
+ 2   :  fe80 ; 
+ 3   :  fe61 ; 
+ 4   :  fe43 ; 
+ 5   :  fe24 ; 
+ 6   :  fe06 ; 
+ 7   :  fde8 ; 
+ 8   :  fdc9 ; 
+ 9   :  fdab ; 
+ a   :  fd8d ; 
+ b   :  fd6f ; 
+ c   :  fd51 ; 
+ d   :  fd33 ; 
+ e   :  fd15 ; 
+ f   :  fcf8 ; 
+ 10   :  fcda ; 
+ 11   :  fcbc ; 
+ 12   :  fc9f ; 
+ 13   :  fc81 ; 
+ 14   :  fc64 ; 
+ 15   :  fc47 ; 
+ 16   :  fc2a ; 
+ 17   :  fc0c ; 
+ 18   :  fbef ; 
+ 19   :  fbd2 ; 
+ 1a   :  fbb5 ; 
+ 1b   :  fb98 ; 
+ 1c   :  fb7b ; 
+ 1d   :  fb5f ; 
+ 1e   :  fb42 ; 
+ 1f   :  fb25 ; 
+ 20   :  fb09 ; 
+ 21   :  faec ; 
+ 22   :  fad0 ; 
+ 23   :  fab3 ; 
+ 24   :  fa97 ; 
+ 25   :  fa7b ; 
+ 26   :  fa5f ; 
+ 27   :  fa43 ; 
+ 28   :  fa27 ; 
+ 29   :  fa0b ; 
+ 2a   :  f9ef ; 
+ 2b   :  f9d3 ; 
+ 2c   :  f9b7 ; 
+ 2d   :  f99c ; 
+ 2e   :  f980 ; 
+ 2f   :  f965 ; 
+ 30   :  f949 ; 
+ 31   :  f92e ; 
+ 32   :  f913 ; 
+ 33   :  f8f7 ; 
+ 34   :  f8dc ; 
+ 35   :  f8c1 ; 
+ 36   :  f8a6 ; 
+ 37   :  f88b ; 
+ 38   :  f870 ; 
+ 39   :  f856 ; 
+ 3a   :  f83b ; 
+ 3b   :  f820 ; 
+ 3c   :  f806 ; 
+ 3d   :  f7eb ; 
+ 3e   :  f7d1 ; 
+ 3f   :  f7b6 ; 
+ 40   :  f79c ; 
+ 41   :  f782 ; 
+ 42   :  f768 ; 
+ 43   :  f74e ; 
+ 44   :  f734 ; 
+ 45   :  f71a ; 
+ 46   :  f700 ; 
+ 47   :  f6e6 ; 
+ 48   :  f6cc ; 
+ 49   :  f6b3 ; 
+ 4a   :  f699 ; 
+ 4b   :  f680 ; 
+ 4c   :  f666 ; 
+ 4d   :  f64d ; 
+ 4e   :  f634 ; 
+ 4f   :  f61a ; 
+ 50   :  f601 ; 
+ 51   :  f5e8 ; 
+ 52   :  f5cf ; 
+ 53   :  f5b6 ; 
+ 54   :  f59e ; 
+ 55   :  f585 ; 
+ 56   :  f56c ; 
+ 57   :  f554 ; 
+ 58   :  f53b ; 
+ 59   :  f523 ; 
+ 5a   :  f50a ; 
+ 5b   :  f4f2 ; 
+ 5c   :  f4da ; 
+ 5d   :  f4c1 ; 
+ 5e   :  f4a9 ; 
+ 5f   :  f491 ; 
+ 60   :  f479 ; 
+ 61   :  f461 ; 
+ 62   :  f44a ; 
+ 63   :  f432 ; 
+ 64   :  f41a ; 
+ 65   :  f403 ; 
+ 66   :  f3eb ; 
+ 67   :  f3d4 ; 
+ 68   :  f3bd ; 
+ 69   :  f3a5 ; 
+ 6a   :  f38e ; 
+ 6b   :  f377 ; 
+ 6c   :  f360 ; 
+ 6d   :  f349 ; 
+ 6e   :  f332 ; 
+ 6f   :  f31b ; 
+ 70   :  f304 ; 
+ 71   :  f2ee ; 
+ 72   :  f2d7 ; 
+ 73   :  f2c1 ; 
+ 74   :  f2aa ; 
+ 75   :  f294 ; 
+ 76   :  f27e ; 
+ 77   :  f267 ; 
+ 78   :  f251 ; 
+ 79   :  f23b ; 
+ 7a   :  f225 ; 
+ 7b   :  f20f ; 
+ 7c   :  f1f9 ; 
+ 7d   :  f1e4 ; 
+ 7e   :  f1ce ; 
+ 7f   :  f1b8 ; 
+ 80   :  f1a3 ; 
+ 81   :  f18d ; 
+ 82   :  f178 ; 
+ 83   :  f163 ; 
+ 84   :  f14d ; 
+ 85   :  f138 ; 
+ 86   :  f123 ; 
+ 87   :  f10e ; 
+ 88   :  f0f9 ; 
+ 89   :  f0e4 ; 
+ 8a   :  f0d0 ; 
+ 8b   :  f0bb ; 
+ 8c   :  f0a6 ; 
+ 8d   :  f092 ; 
+ 8e   :  f07d ; 
+ 8f   :  f069 ; 
+ 90   :  f055 ; 
+ 91   :  f040 ; 
+ 92   :  f02c ; 
+ 93   :  f018 ; 
+ 94   :  f004 ; 
+ 95   :  eff0 ; 
+ 96   :  efdc ; 
+ 97   :  efc9 ; 
+ 98   :  efb5 ; 
+ 99   :  efa1 ; 
+ 9a   :  ef8e ; 
+ 9b   :  ef7a ; 
+ 9c   :  ef67 ; 
+ 9d   :  ef53 ; 
+ 9e   :  ef40 ; 
+ 9f   :  ef2d ; 
+ a0   :  ef1a ; 
+ a1   :  ef07 ; 
+ a2   :  eef4 ; 
+ a3   :  eee1 ; 
+ a4   :  eece ; 
+ a5   :  eebc ; 
+ a6   :  eea9 ; 
+ a7   :  ee96 ; 
+ a8   :  ee84 ; 
+ a9   :  ee72 ; 
+ aa   :  ee5f ; 
+ ab   :  ee4d ; 
+ ac   :  ee3b ; 
+ ad   :  ee29 ; 
+ ae   :  ee17 ; 
+ af   :  ee05 ; 
+ b0   :  edf3 ; 
+ b1   :  ede1 ; 
+ b2   :  edd0 ; 
+ b3   :  edbe ; 
+ b4   :  edac ; 
+ b5   :  ed9b ; 
+ b6   :  ed89 ; 
+ b7   :  ed78 ; 
+ b8   :  ed67 ; 
+ b9   :  ed56 ; 
+ ba   :  ed45 ; 
+ bb   :  ed34 ; 
+ bc   :  ed23 ; 
+ bd   :  ed12 ; 
+ be   :  ed01 ; 
+ bf   :  ecf0 ; 
+ c0   :  ece0 ; 
+ c1   :  eccf ; 
+ c2   :  ecbf ; 
+ c3   :  ecae ; 
+ c4   :  ec9e ; 
+ c5   :  ec8e ; 
+ c6   :  ec7e ; 
+ c7   :  ec6e ; 
+ c8   :  ec5e ; 
+ c9   :  ec4e ; 
+ ca   :  ec3e ; 
+ cb   :  ec2e ; 
+ cc   :  ec1e ; 
+ cd   :  ec0f ; 
+ ce   :  ebff ; 
+ cf   :  ebf0 ; 
+ d0   :  ebe0 ; 
+ d1   :  ebd1 ; 
+ d2   :  ebc2 ; 
+ d3   :  ebb3 ; 
+ d4   :  eba4 ; 
+ d5   :  eb95 ; 
+ d6   :  eb86 ; 
+ d7   :  eb77 ; 
+ d8   :  eb68 ; 
+ d9   :  eb59 ; 
+ da   :  eb4b ; 
+ db   :  eb3c ; 
+ dc   :  eb2e ; 
+ dd   :  eb1f ; 
+ de   :  eb11 ; 
+ df   :  eb03 ; 
+ e0   :  eaf5 ; 
+ e1   :  eae7 ; 
+ e2   :  ead9 ; 
+ e3   :  eacb ; 
+ e4   :  eabd ; 
+ e5   :  eaaf ; 
+ e6   :  eaa1 ; 
+ e7   :  ea94 ; 
+ e8   :  ea86 ; 
+ e9   :  ea79 ; 
+ ea   :  ea6b ; 
+ eb   :  ea5e ; 
+ ec   :  ea51 ; 
+ ed   :  ea44 ; 
+ ee   :  ea37 ; 
+ ef   :  ea2a ; 
+ f0   :  ea1d ; 
+ f1   :  ea10 ; 
+ f2   :  ea03 ; 
+ f3   :  e9f6 ; 
+ f4   :  e9ea ; 
+ f5   :  e9dd ; 
+ f6   :  e9d1 ; 
+ f7   :  e9c4 ; 
+ f8   :  e9b8 ; 
+ f9   :  e9ac ; 
+ fa   :  e9a0 ; 
+ fb   :  e994 ; 
+ fc   :  e988 ; 
+ fd   :  e97c ; 
+ fe   :  e970 ; 
+ ff   :  e964 ; 
+ 100   :  e958 ; 
+ 101   :  e94d ; 
+ 102   :  e941 ; 
+ 103   :  e936 ; 
+ 104   :  e92a ; 
+ 105   :  e91f ; 
+ 106   :  e914 ; 
+ 107   :  e909 ; 
+ 108   :  e8fe ; 
+ 109   :  e8f3 ; 
+ 10a   :  e8e8 ; 
+ 10b   :  e8dd ; 
+ 10c   :  e8d2 ; 
+ 10d   :  e8c7 ; 
+ 10e   :  e8bd ; 
+ 10f   :  e8b2 ; 
+ 110   :  e8a8 ; 
+ 111   :  e89d ; 
+ 112   :  e893 ; 
+ 113   :  e889 ; 
+ 114   :  e87e ; 
+ 115   :  e874 ; 
+ 116   :  e86a ; 
+ 117   :  e860 ; 
+ 118   :  e857 ; 
+ 119   :  e84d ; 
+ 11a   :  e843 ; 
+ 11b   :  e839 ; 
+ 11c   :  e830 ; 
+ 11d   :  e826 ; 
+ 11e   :  e81d ; 
+ 11f   :  e814 ; 
+ 120   :  e80a ; 
+ 121   :  e801 ; 
+ 122   :  e7f8 ; 
+ 123   :  e7ef ; 
+ 124   :  e7e6 ; 
+ 125   :  e7dd ; 
+ 126   :  e7d4 ; 
+ 127   :  e7cc ; 
+ 128   :  e7c3 ; 
+ 129   :  e7ba ; 
+ 12a   :  e7b2 ; 
+ 12b   :  e7a9 ; 
+ 12c   :  e7a1 ; 
+ 12d   :  e799 ; 
+ 12e   :  e790 ; 
+ 12f   :  e788 ; 
+ 130   :  e780 ; 
+ 131   :  e778 ; 
+ 132   :  e770 ; 
+ 133   :  e768 ; 
+ 134   :  e761 ; 
+ 135   :  e759 ; 
+ 136   :  e751 ; 
+ 137   :  e74a ; 
+ 138   :  e742 ; 
+ 139   :  e73b ; 
+ 13a   :  e734 ; 
+ 13b   :  e72c ; 
+ 13c   :  e725 ; 
+ 13d   :  e71e ; 
+ 13e   :  e717 ; 
+ 13f   :  e710 ; 
+ 140   :  e709 ; 
+ 141   :  e702 ; 
+ 142   :  e6fc ; 
+ 143   :  e6f5 ; 
+ 144   :  e6ee ; 
+ 145   :  e6e8 ; 
+ 146   :  e6e1 ; 
+ 147   :  e6db ; 
+ 148   :  e6d5 ; 
+ 149   :  e6cf ; 
+ 14a   :  e6c8 ; 
+ 14b   :  e6c2 ; 
+ 14c   :  e6bc ; 
+ 14d   :  e6b6 ; 
+ 14e   :  e6b1 ; 
+ 14f   :  e6ab ; 
+ 150   :  e6a5 ; 
+ 151   :  e69f ; 
+ 152   :  e69a ; 
+ 153   :  e694 ; 
+ 154   :  e68f ; 
+ 155   :  e68a ; 
+ 156   :  e684 ; 
+ 157   :  e67f ; 
+ 158   :  e67a ; 
+ 159   :  e675 ; 
+ 15a   :  e670 ; 
+ 15b   :  e66b ; 
+ 15c   :  e666 ; 
+ 15d   :  e661 ; 
+ 15e   :  e65d ; 
+ 15f   :  e658 ; 
+ 160   :  e653 ; 
+ 161   :  e64f ; 
+ 162   :  e64a ; 
+ 163   :  e646 ; 
+ 164   :  e642 ; 
+ 165   :  e63e ; 
+ 166   :  e639 ; 
+ 167   :  e635 ; 
+ 168   :  e631 ; 
+ 169   :  e62d ; 
+ 16a   :  e62a ; 
+ 16b   :  e626 ; 
+ 16c   :  e622 ; 
+ 16d   :  e61e ; 
+ 16e   :  e61b ; 
+ 16f   :  e617 ; 
+ 170   :  e614 ; 
+ 171   :  e611 ; 
+ 172   :  e60d ; 
+ 173   :  e60a ; 
+ 174   :  e607 ; 
+ 175   :  e604 ; 
+ 176   :  e601 ; 
+ 177   :  e5fe ; 
+ 178   :  e5fb ; 
+ 179   :  e5f8 ; 
+ 17a   :  e5f6 ; 
+ 17b   :  e5f3 ; 
+ 17c   :  e5f0 ; 
+ 17d   :  e5ee ; 
+ 17e   :  e5eb ; 
+ 17f   :  e5e9 ; 
+ 180   :  e5e7 ; 
+ 181   :  e5e4 ; 
+ 182   :  e5e2 ; 
+ 183   :  e5e0 ; 
+ 184   :  e5de ; 
+ 185   :  e5dc ; 
+ 186   :  e5da ; 
+ 187   :  e5d8 ; 
+ 188   :  e5d6 ; 
+ 189   :  e5d5 ; 
+ 18a   :  e5d3 ; 
+ 18b   :  e5d2 ; 
+ 18c   :  e5d0 ; 
+ 18d   :  e5cf ; 
+ 18e   :  e5cd ; 
+ 18f   :  e5cc ; 
+ 190   :  e5cb ; 
+ 191   :  e5ca ; 
+ 192   :  e5c8 ; 
+ 193   :  e5c7 ; 
+ 194   :  e5c6 ; 
+ 195   :  e5c6 ; 
+ 196   :  e5c5 ; 
+ 197   :  e5c4 ; 
+ 198   :  e5c3 ; 
+ 199   :  e5c3 ; 
+ 19a   :  e5c2 ; 
+ 19b   :  e5c2 ; 
+ 19c   :  e5c1 ; 
+ 19d   :  e5c1 ; 
+ 19e   :  e5c1 ; 
+ 19f   :  e5c0 ; 
+ 1a0   :  e5c0 ; 
+ 1a1   :  e5c0 ; 
+ 1a2   :  e5c0 ; 
+ 1a3   :  e5c0 ; 
+ 1a4   :  e5c0 ; 
+ 1a5   :  e5c0 ; 
+ 1a6   :  e5c0 ; 
+ 1a7   :  e5c1 ; 
+ 1a8   :  e5c1 ; 
+ 1a9   :  e5c2 ; 
+ 1aa   :  e5c2 ; 
+ 1ab   :  e5c3 ; 
+ 1ac   :  e5c3 ; 
+ 1ad   :  e5c4 ; 
+ 1ae   :  e5c5 ; 
+ 1af   :  e5c5 ; 
+ 1b0   :  e5c6 ; 
+ 1b1   :  e5c7 ; 
+ 1b2   :  e5c8 ; 
+ 1b3   :  e5c9 ; 
+ 1b4   :  e5ca ; 
+ 1b5   :  e5cc ; 
+ 1b6   :  e5cd ; 
+ 1b7   :  e5ce ; 
+ 1b8   :  e5d0 ; 
+ 1b9   :  e5d1 ; 
+ 1ba   :  e5d3 ; 
+ 1bb   :  e5d4 ; 
+ 1bc   :  e5d6 ; 
+ 1bd   :  e5d7 ; 
+ 1be   :  e5d9 ; 
+ 1bf   :  e5db ; 
+ 1c0   :  e5dd ; 
+ 1c1   :  e5df ; 
+ 1c2   :  e5e1 ; 
+ 1c3   :  e5e3 ; 
+ 1c4   :  e5e5 ; 
+ 1c5   :  e5e7 ; 
+ 1c6   :  e5ea ; 
+ 1c7   :  e5ec ; 
+ 1c8   :  e5ee ; 
+ 1c9   :  e5f1 ; 
+ 1ca   :  e5f3 ; 
+ 1cb   :  e5f6 ; 
+ 1cc   :  e5f8 ; 
+ 1cd   :  e5fb ; 
+ 1ce   :  e5fe ; 
+ 1cf   :  e601 ; 
+ 1d0   :  e604 ; 
+ 1d1   :  e606 ; 
+ 1d2   :  e609 ; 
+ 1d3   :  e60c ; 
+ 1d4   :  e610 ; 
+ 1d5   :  e613 ; 
+ 1d6   :  e616 ; 
+ 1d7   :  e619 ; 
+ 1d8   :  e61d ; 
+ 1d9   :  e620 ; 
+ 1da   :  e623 ; 
+ 1db   :  e627 ; 
+ 1dc   :  e62b ; 
+ 1dd   :  e62e ; 
+ 1de   :  e632 ; 
+ 1df   :  e636 ; 
+ 1e0   :  e63a ; 
+ 1e1   :  e63d ; 
+ 1e2   :  e641 ; 
+ 1e3   :  e645 ; 
+ 1e4   :  e649 ; 
+ 1e5   :  e64e ; 
+ 1e6   :  e652 ; 
+ 1e7   :  e656 ; 
+ 1e8   :  e65a ; 
+ 1e9   :  e65f ; 
+ 1ea   :  e663 ; 
+ 1eb   :  e667 ; 
+ 1ec   :  e66c ; 
+ 1ed   :  e671 ; 
+ 1ee   :  e675 ; 
+ 1ef   :  e67a ; 
+ 1f0   :  e67f ; 
+ 1f1   :  e683 ; 
+ 1f2   :  e688 ; 
+ 1f3   :  e68d ; 
+ 1f4   :  e692 ; 
+ 1f5   :  e697 ; 
+ 1f6   :  e69c ; 
+ 1f7   :  e6a1 ; 
+ 1f8   :  e6a7 ; 
+ 1f9   :  e6ac ; 
+ 1fa   :  e6b1 ; 
+ 1fb   :  e6b6 ; 
+ 1fc   :  e6bc ; 
+ 1fd   :  e6c1 ; 
+ 1fe   :  e6c7 ; 
+ 1ff   :  e6cc ; 
+ 200   :  e6d2 ; 
+ 201   :  e6d8 ; 
+ 202   :  e6de ; 
+ 203   :  e6e3 ; 
+ 204   :  e6e9 ; 
+ 205   :  e6ef ; 
+ 206   :  e6f5 ; 
+ 207   :  e6fb ; 
+ 208   :  e701 ; 
+ 209   :  e707 ; 
+ 20a   :  e70d ; 
+ 20b   :  e714 ; 
+ 20c   :  e71a ; 
+ 20d   :  e720 ; 
+ 20e   :  e727 ; 
+ 20f   :  e72d ; 
+ 210   :  e734 ; 
+ 211   :  e73a ; 
+ 212   :  e741 ; 
+ 213   :  e747 ; 
+ 214   :  e74e ; 
+ 215   :  e755 ; 
+ 216   :  e75c ; 
+ 217   :  e762 ; 
+ 218   :  e769 ; 
+ 219   :  e770 ; 
+ 21a   :  e777 ; 
+ 21b   :  e77e ; 
+ 21c   :  e786 ; 
+ 21d   :  e78d ; 
+ 21e   :  e794 ; 
+ 21f   :  e79b ; 
+ 220   :  e7a2 ; 
+ 221   :  e7aa ; 
+ 222   :  e7b1 ; 
+ 223   :  e7b9 ; 
+ 224   :  e7c0 ; 
+ 225   :  e7c8 ; 
+ 226   :  e7cf ; 
+ 227   :  e7d7 ; 
+ 228   :  e7df ; 
+ 229   :  e7e7 ; 
+ 22a   :  e7ee ; 
+ 22b   :  e7f6 ; 
+ 22c   :  e7fe ; 
+ 22d   :  e806 ; 
+ 22e   :  e80e ; 
+ 22f   :  e816 ; 
+ 230   :  e81e ; 
+ 231   :  e826 ; 
+ 232   :  e82f ; 
+ 233   :  e837 ; 
+ 234   :  e83f ; 
+ 235   :  e847 ; 
+ 236   :  e850 ; 
+ 237   :  e858 ; 
+ 238   :  e861 ; 
+ 239   :  e869 ; 
+ 23a   :  e872 ; 
+ 23b   :  e87a ; 
+ 23c   :  e883 ; 
+ 23d   :  e88c ; 
+ 23e   :  e895 ; 
+ 23f   :  e89d ; 
+ 240   :  e8a6 ; 
+ 241   :  e8af ; 
+ 242   :  e8b8 ; 
+ 243   :  e8c1 ; 
+ 244   :  e8ca ; 
+ 245   :  e8d3 ; 
+ 246   :  e8dc ; 
+ 247   :  e8e5 ; 
+ 248   :  e8ef ; 
+ 249   :  e8f8 ; 
+ 24a   :  e901 ; 
+ 24b   :  e90b ; 
+ 24c   :  e914 ; 
+ 24d   :  e91d ; 
+ 24e   :  e927 ; 
+ 24f   :  e930 ; 
+ 250   :  e93a ; 
+ 251   :  e944 ; 
+ 252   :  e94d ; 
+ 253   :  e957 ; 
+ 254   :  e961 ; 
+ 255   :  e96a ; 
+ 256   :  e974 ; 
+ 257   :  e97e ; 
+ 258   :  e988 ; 
+ 259   :  e992 ; 
+ 25a   :  e99c ; 
+ 25b   :  e9a6 ; 
+ 25c   :  e9b0 ; 
+ 25d   :  e9ba ; 
+ 25e   :  e9c4 ; 
+ 25f   :  e9ce ; 
+ 260   :  e9d9 ; 
+ 261   :  e9e3 ; 
+ 262   :  e9ed ; 
+ 263   :  e9f8 ; 
+ 264   :  ea02 ; 
+ 265   :  ea0c ; 
+ 266   :  ea17 ; 
+ 267   :  ea21 ; 
+ 268   :  ea2c ; 
+ 269   :  ea37 ; 
+ 26a   :  ea41 ; 
+ 26b   :  ea4c ; 
+ 26c   :  ea57 ; 
+ 26d   :  ea61 ; 
+ 26e   :  ea6c ; 
+ 26f   :  ea77 ; 
+ 270   :  ea82 ; 
+ 271   :  ea8d ; 
+ 272   :  ea98 ; 
+ 273   :  eaa3 ; 
+ 274   :  eaae ; 
+ 275   :  eab9 ; 
+ 276   :  eac4 ; 
+ 277   :  eacf ; 
+ 278   :  eada ; 
+ 279   :  eae5 ; 
+ 27a   :  eaf1 ; 
+ 27b   :  eafc ; 
+ 27c   :  eb07 ; 
+ 27d   :  eb13 ; 
+ 27e   :  eb1e ; 
+ 27f   :  eb2a ; 
+ 280   :  eb35 ; 
+ 281   :  eb40 ; 
+ 282   :  eb4c ; 
+ 283   :  eb58 ; 
+ 284   :  eb63 ; 
+ 285   :  eb6f ; 
+ 286   :  eb7b ; 
+ 287   :  eb86 ; 
+ 288   :  eb92 ; 
+ 289   :  eb9e ; 
+ 28a   :  ebaa ; 
+ 28b   :  ebb5 ; 
+ 28c   :  ebc1 ; 
+ 28d   :  ebcd ; 
+ 28e   :  ebd9 ; 
+ 28f   :  ebe5 ; 
+ 290   :  ebf1 ; 
+ 291   :  ebfd ; 
+ 292   :  ec09 ; 
+ 293   :  ec16 ; 
+ 294   :  ec22 ; 
+ 295   :  ec2e ; 
+ 296   :  ec3a ; 
+ 297   :  ec46 ; 
+ 298   :  ec53 ; 
+ 299   :  ec5f ; 
+ 29a   :  ec6b ; 
+ 29b   :  ec78 ; 
+ 29c   :  ec84 ; 
+ 29d   :  ec91 ; 
+ 29e   :  ec9d ; 
+ 29f   :  ecaa ; 
+ 2a0   :  ecb6 ; 
+ 2a1   :  ecc3 ; 
+ 2a2   :  eccf ; 
+ 2a3   :  ecdc ; 
+ 2a4   :  ece9 ; 
+ 2a5   :  ecf5 ; 
+ 2a6   :  ed02 ; 
+ 2a7   :  ed0f ; 
+ 2a8   :  ed1c ; 
+ 2a9   :  ed28 ; 
+ 2aa   :  ed35 ; 
+ 2ab   :  ed42 ; 
+ 2ac   :  ed4f ; 
+ 2ad   :  ed5c ; 
+ 2ae   :  ed69 ; 
+ 2af   :  ed76 ; 
+ 2b0   :  ed83 ; 
+ 2b1   :  ed90 ; 
+ 2b2   :  ed9d ; 
+ 2b3   :  edaa ; 
+ 2b4   :  edb7 ; 
+ 2b5   :  edc4 ; 
+ 2b6   :  edd2 ; 
+ 2b7   :  eddf ; 
+ 2b8   :  edec ; 
+ 2b9   :  edf9 ; 
+ 2ba   :  ee07 ; 
+ 2bb   :  ee14 ; 
+ 2bc   :  ee21 ; 
+ 2bd   :  ee2f ; 
+ 2be   :  ee3c ; 
+ 2bf   :  ee4a ; 
+ 2c0   :  ee57 ; 
+ 2c1   :  ee65 ; 
+ 2c2   :  ee72 ; 
+ 2c3   :  ee80 ; 
+ 2c4   :  ee8d ; 
+ 2c5   :  ee9b ; 
+ 2c6   :  eea8 ; 
+ 2c7   :  eeb6 ; 
+ 2c8   :  eec4 ; 
+ 2c9   :  eed1 ; 
+ 2ca   :  eedf ; 
+ 2cb   :  eeed ; 
+ 2cc   :  eefb ; 
+ 2cd   :  ef08 ; 
+ 2ce   :  ef16 ; 
+ 2cf   :  ef24 ; 
+ 2d0   :  ef32 ; 
+ 2d1   :  ef40 ; 
+ 2d2   :  ef4e ; 
+ 2d3   :  ef5b ; 
+ 2d4   :  ef69 ; 
+ 2d5   :  ef77 ; 
+ 2d6   :  ef85 ; 
+ 2d7   :  ef93 ; 
+ 2d8   :  efa1 ; 
+ 2d9   :  efb0 ; 
+ 2da   :  efbe ; 
+ 2db   :  efcc ; 
+ 2dc   :  efda ; 
+ 2dd   :  efe8 ; 
+ 2de   :  eff6 ; 
+ 2df   :  f004 ; 
+ 2e0   :  f013 ; 
+ 2e1   :  f021 ; 
+ 2e2   :  f02f ; 
+ 2e3   :  f03d ; 
+ 2e4   :  f04c ; 
+ 2e5   :  f05a ; 
+ 2e6   :  f068 ; 
+ 2e7   :  f077 ; 
+ 2e8   :  f085 ; 
+ 2e9   :  f093 ; 
+ 2ea   :  f0a2 ; 
+ 2eb   :  f0b0 ; 
+ 2ec   :  f0bf ; 
+ 2ed   :  f0cd ; 
+ 2ee   :  f0dc ; 
+ 2ef   :  f0ea ; 
+ 2f0   :  f0f9 ; 
+ 2f1   :  f107 ; 
+ 2f2   :  f116 ; 
+ 2f3   :  f124 ; 
+ 2f4   :  f133 ; 
+ 2f5   :  f141 ; 
+ 2f6   :  f150 ; 
+ 2f7   :  f15f ; 
+ 2f8   :  f16d ; 
+ 2f9   :  f17c ; 
+ 2fa   :  f18b ; 
+ 2fb   :  f19a ; 
+ 2fc   :  f1a8 ; 
+ 2fd   :  f1b7 ; 
+ 2fe   :  f1c6 ; 
+ 2ff   :  f1d5 ; 
+ 300   :  f1e3 ; 
+ 301   :  f1f2 ; 
+ 302   :  f201 ; 
+ 303   :  f210 ; 
+ 304   :  f21f ; 
+ 305   :  f22e ; 
+ 306   :  f23c ; 
+ 307   :  f24b ; 
+ 308   :  f25a ; 
+ 309   :  f269 ; 
+ 30a   :  f278 ; 
+ 30b   :  f287 ; 
+ 30c   :  f296 ; 
+ 30d   :  f2a5 ; 
+ 30e   :  f2b4 ; 
+ 30f   :  f2c3 ; 
+ 310   :  f2d2 ; 
+ 311   :  f2e1 ; 
+ 312   :  f2f0 ; 
+ 313   :  f2ff ; 
+ 314   :  f30e ; 
+ 315   :  f31e ; 
+ 316   :  f32d ; 
+ 317   :  f33c ; 
+ 318   :  f34b ; 
+ 319   :  f35a ; 
+ 31a   :  f369 ; 
+ 31b   :  f378 ; 
+ 31c   :  f388 ; 
+ 31d   :  f397 ; 
+ 31e   :  f3a6 ; 
+ 31f   :  f3b5 ; 
+ 320   :  f3c4 ; 
+ 321   :  f3d4 ; 
+ 322   :  f3e3 ; 
+ 323   :  f3f2 ; 
+ 324   :  f401 ; 
+ 325   :  f411 ; 
+ 326   :  f420 ; 
+ 327   :  f42f ; 
+ 328   :  f43f ; 
+ 329   :  f44e ; 
+ 32a   :  f45d ; 
+ 32b   :  f46d ; 
+ 32c   :  f47c ; 
+ 32d   :  f48b ; 
+ 32e   :  f49b ; 
+ 32f   :  f4aa ; 
+ 330   :  f4b9 ; 
+ 331   :  f4c9 ; 
+ 332   :  f4d8 ; 
+ 333   :  f4e8 ; 
+ 334   :  f4f7 ; 
+ 335   :  f506 ; 
+ 336   :  f516 ; 
+ 337   :  f525 ; 
+ 338   :  f535 ; 
+ 339   :  f544 ; 
+ 33a   :  f554 ; 
+ 33b   :  f563 ; 
+ 33c   :  f573 ; 
+ 33d   :  f582 ; 
+ 33e   :  f592 ; 
+ 33f   :  f5a1 ; 
+ 340   :  f5b1 ; 
+ 341   :  f5c0 ; 
+ 342   :  f5d0 ; 
+ 343   :  f5df ; 
+ 344   :  f5ef ; 
+ 345   :  f5fe ; 
+ 346   :  f60e ; 
+ 347   :  f61d ; 
+ 348   :  f62d ; 
+ 349   :  f63c ; 
+ 34a   :  f64c ; 
+ 34b   :  f65b ; 
+ 34c   :  f66b ; 
+ 34d   :  f67a ; 
+ 34e   :  f68a ; 
+ 34f   :  f69a ; 
+ 350   :  f6a9 ; 
+ 351   :  f6b9 ; 
+ 352   :  f6c8 ; 
+ 353   :  f6d8 ; 
+ 354   :  f6e8 ; 
+ 355   :  f6f7 ; 
+ 356   :  f707 ; 
+ 357   :  f716 ; 
+ 358   :  f726 ; 
+ 359   :  f735 ; 
+ 35a   :  f745 ; 
+ 35b   :  f755 ; 
+ 35c   :  f764 ; 
+ 35d   :  f774 ; 
+ 35e   :  f784 ; 
+ 35f   :  f793 ; 
+ 360   :  f7a3 ; 
+ 361   :  f7b2 ; 
+ 362   :  f7c2 ; 
+ 363   :  f7d2 ; 
+ 364   :  f7e1 ; 
+ 365   :  f7f1 ; 
+ 366   :  f800 ; 
+ 367   :  f810 ; 
+ 368   :  f820 ; 
+ 369   :  f82f ; 
+ 36a   :  f83f ; 
+ 36b   :  f84f ; 
+ 36c   :  f85e ; 
+ 36d   :  f86e ; 
+ 36e   :  f87d ; 
+ 36f   :  f88d ; 
+ 370   :  f89d ; 
+ 371   :  f8ac ; 
+ 372   :  f8bc ; 
+ 373   :  f8cb ; 
+ 374   :  f8db ; 
+ 375   :  f8eb ; 
+ 376   :  f8fa ; 
+ 377   :  f90a ; 
+ 378   :  f919 ; 
+ 379   :  f929 ; 
+ 37a   :  f939 ; 
+ 37b   :  f948 ; 
+ 37c   :  f958 ; 
+ 37d   :  f967 ; 
+ 37e   :  f977 ; 
+ 37f   :  f987 ; 
+ 380   :  f996 ; 
+ 381   :  f9a6 ; 
+ 382   :  f9b5 ; 
+ 383   :  f9c5 ; 
+ 384   :  f9d4 ; 
+ 385   :  f9e4 ; 
+ 386   :  f9f4 ; 
+ 387   :  fa03 ; 
+ 388   :  fa13 ; 
+ 389   :  fa22 ; 
+ 38a   :  fa32 ; 
+ 38b   :  fa41 ; 
+ 38c   :  fa51 ; 
+ 38d   :  fa60 ; 
+ 38e   :  fa70 ; 
+ 38f   :  fa7f ; 
+ 390   :  fa8f ; 
+ 391   :  fa9e ; 
+ 392   :  faae ; 
+ 393   :  fabd ; 
+ 394   :  facd ; 
+ 395   :  fadc ; 
+ 396   :  faec ; 
+ 397   :  fafb ; 
+ 398   :  fb0b ; 
+ 399   :  fb1a ; 
+ 39a   :  fb2a ; 
+ 39b   :  fb39 ; 
+ 39c   :  fb48 ; 
+ 39d   :  fb58 ; 
+ 39e   :  fb67 ; 
+ 39f   :  fb77 ; 
+ 3a0   :  fb86 ; 
+ 3a1   :  fb95 ; 
+ 3a2   :  fba5 ; 
+ 3a3   :  fbb4 ; 
+ 3a4   :  fbc4 ; 
+ 3a5   :  fbd3 ; 
+ 3a6   :  fbe2 ; 
+ 3a7   :  fbf2 ; 
+ 3a8   :  fc01 ; 
+ 3a9   :  fc10 ; 
+ 3aa   :  fc20 ; 
+ 3ab   :  fc2f ; 
+ 3ac   :  fc3e ; 
+ 3ad   :  fc4e ; 
+ 3ae   :  fc5d ; 
+ 3af   :  fc6c ; 
+ 3b0   :  fc7b ; 
+ 3b1   :  fc8b ; 
+ 3b2   :  fc9a ; 
+ 3b3   :  fca9 ; 
+ 3b4   :  fcb8 ; 
+ 3b5   :  fcc7 ; 
+ 3b6   :  fcd7 ; 
+ 3b7   :  fce6 ; 
+ 3b8   :  fcf5 ; 
+ 3b9   :  fd04 ; 
+ 3ba   :  fd13 ; 
+ 3bb   :  fd22 ; 
+ 3bc   :  fd32 ; 
+ 3bd   :  fd41 ; 
+ 3be   :  fd50 ; 
+ 3bf   :  fd5f ; 
+ 3c0   :  fd6e ; 
+ 3c1   :  fd7d ; 
+ 3c2   :  fd8c ; 
+ 3c3   :  fd9b ; 
+ 3c4   :  fdaa ; 
+ 3c5   :  fdb9 ; 
+ 3c6   :  fdc8 ; 
+ 3c7   :  fdd7 ; 
+ 3c8   :  fde6 ; 
+ 3c9   :  fdf5 ; 
+ 3ca   :  fe04 ; 
+ 3cb   :  fe13 ; 
+ 3cc   :  fe22 ; 
+ 3cd   :  fe31 ; 
+ 3ce   :  fe40 ; 
+ 3cf   :  fe4f ; 
+ 3d0   :  fe5e ; 
+ 3d1   :  fe6d ; 
+ 3d2   :  fe7b ; 
+ 3d3   :  fe8a ; 
+ 3d4   :  fe99 ; 
+ 3d5   :  fea8 ; 
+ 3d6   :  feb7 ; 
+ 3d7   :  fec5 ; 
+ 3d8   :  fed4 ; 
+ 3d9   :  fee3 ; 
+ 3da   :  fef2 ; 
+ 3db   :  ff00 ; 
+ 3dc   :  ff0f ; 
+ 3dd   :  ff1e ; 
+ 3de   :  ff2c ; 
+ 3df   :  ff3b ; 
+ 3e0   :  ff4a ; 
+ 3e1   :  ff58 ; 
+ 3e2   :  ff67 ; 
+ 3e3   :  ff76 ; 
+ 3e4   :  ff84 ; 
+ 3e5   :  ff93 ; 
+ 3e6   :  ffa1 ; 
+ 3e7   :  ffb0 ; 
+ 3e8   :  ffbe ; 
+ 3e9   :  ffcd ; 
+ 3ea   :  ffdb ; 
+ 3eb   :  ffea ; 
+ 3ec   :  fff8 ; 
+ 3ed   :  7 ; 
+ 3ee   :  15 ; 
+ 3ef   :  23 ; 
+ 3f0   :  32 ; 
+ 3f1   :  40 ; 
+ 3f2   :  4e ; 
+ 3f3   :  5d ; 
+ 3f4   :  6b ; 
+ 3f5   :  79 ; 
+ 3f6   :  88 ; 
+ 3f7   :  96 ; 
+ 3f8   :  a4 ; 
+ 3f9   :  b2 ; 
+ 3fa   :  c1 ; 
+ 3fb   :  cf ; 
+ 3fc   :  dd ; 
+ 3fd   :  eb ; 
+ 3fe   :  f9 ; 
+ 3ff   :  107 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_7.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_7.mif
new file mode 100644
index 0000000000000000000000000000000000000000..d935da4c0fda14a6a2e119ee3d4176f2d0646454
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_7.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  7fff ; 
+ 1   :  7fff ; 
+ 2   :  7fff ; 
+ 3   :  7ffe ; 
+ 4   :  7ffe ; 
+ 5   :  7ffd ; 
+ 6   :  7ffd ; 
+ 7   :  7ffc ; 
+ 8   :  7ffb ; 
+ 9   :  7ffa ; 
+ a   :  7ff9 ; 
+ b   :  7ff8 ; 
+ c   :  7ff7 ; 
+ d   :  7ff5 ; 
+ e   :  7ff4 ; 
+ f   :  7ff2 ; 
+ 10   :  7ff0 ; 
+ 11   :  7fef ; 
+ 12   :  7fed ; 
+ 13   :  7feb ; 
+ 14   :  7fe9 ; 
+ 15   :  7fe6 ; 
+ 16   :  7fe4 ; 
+ 17   :  7fe2 ; 
+ 18   :  7fdf ; 
+ 19   :  7fdc ; 
+ 1a   :  7fda ; 
+ 1b   :  7fd7 ; 
+ 1c   :  7fd4 ; 
+ 1d   :  7fd1 ; 
+ 1e   :  7fcd ; 
+ 1f   :  7fca ; 
+ 20   :  7fc7 ; 
+ 21   :  7fc3 ; 
+ 22   :  7fc0 ; 
+ 23   :  7fbc ; 
+ 24   :  7fb8 ; 
+ 25   :  7fb4 ; 
+ 26   :  7fb0 ; 
+ 27   :  7fac ; 
+ 28   :  7fa8 ; 
+ 29   :  7fa3 ; 
+ 2a   :  7f9f ; 
+ 2b   :  7f9a ; 
+ 2c   :  7f95 ; 
+ 2d   :  7f91 ; 
+ 2e   :  7f8c ; 
+ 2f   :  7f87 ; 
+ 30   :  7f82 ; 
+ 31   :  7f7c ; 
+ 32   :  7f77 ; 
+ 33   :  7f72 ; 
+ 34   :  7f6c ; 
+ 35   :  7f67 ; 
+ 36   :  7f61 ; 
+ 37   :  7f5b ; 
+ 38   :  7f55 ; 
+ 39   :  7f4f ; 
+ 3a   :  7f49 ; 
+ 3b   :  7f43 ; 
+ 3c   :  7f3c ; 
+ 3d   :  7f36 ; 
+ 3e   :  7f2f ; 
+ 3f   :  7f28 ; 
+ 40   :  7f22 ; 
+ 41   :  7f1b ; 
+ 42   :  7f14 ; 
+ 43   :  7f0d ; 
+ 44   :  7f05 ; 
+ 45   :  7efe ; 
+ 46   :  7ef7 ; 
+ 47   :  7eef ; 
+ 48   :  7ee7 ; 
+ 49   :  7ee0 ; 
+ 4a   :  7ed8 ; 
+ 4b   :  7ed0 ; 
+ 4c   :  7ec8 ; 
+ 4d   :  7ec0 ; 
+ 4e   :  7eb7 ; 
+ 4f   :  7eaf ; 
+ 50   :  7ea6 ; 
+ 51   :  7e9e ; 
+ 52   :  7e95 ; 
+ 53   :  7e8c ; 
+ 54   :  7e84 ; 
+ 55   :  7e7b ; 
+ 56   :  7e71 ; 
+ 57   :  7e68 ; 
+ 58   :  7e5f ; 
+ 59   :  7e55 ; 
+ 5a   :  7e4c ; 
+ 5b   :  7e42 ; 
+ 5c   :  7e39 ; 
+ 5d   :  7e2f ; 
+ 5e   :  7e25 ; 
+ 5f   :  7e1b ; 
+ 60   :  7e11 ; 
+ 61   :  7e06 ; 
+ 62   :  7dfc ; 
+ 63   :  7df2 ; 
+ 64   :  7de7 ; 
+ 65   :  7ddc ; 
+ 66   :  7dd2 ; 
+ 67   :  7dc7 ; 
+ 68   :  7dbc ; 
+ 69   :  7db1 ; 
+ 6a   :  7da5 ; 
+ 6b   :  7d9a ; 
+ 6c   :  7d8f ; 
+ 6d   :  7d83 ; 
+ 6e   :  7d78 ; 
+ 6f   :  7d6c ; 
+ 70   :  7d60 ; 
+ 71   :  7d54 ; 
+ 72   :  7d48 ; 
+ 73   :  7d3c ; 
+ 74   :  7d30 ; 
+ 75   :  7d24 ; 
+ 76   :  7d17 ; 
+ 77   :  7d0b ; 
+ 78   :  7cfe ; 
+ 79   :  7cf1 ; 
+ 7a   :  7ce5 ; 
+ 7b   :  7cd8 ; 
+ 7c   :  7ccb ; 
+ 7d   :  7cbe ; 
+ 7e   :  7cb0 ; 
+ 7f   :  7ca3 ; 
+ 80   :  7c96 ; 
+ 81   :  7c88 ; 
+ 82   :  7c7a ; 
+ 83   :  7c6d ; 
+ 84   :  7c5f ; 
+ 85   :  7c51 ; 
+ 86   :  7c43 ; 
+ 87   :  7c35 ; 
+ 88   :  7c26 ; 
+ 89   :  7c18 ; 
+ 8a   :  7c0a ; 
+ 8b   :  7bfb ; 
+ 8c   :  7bec ; 
+ 8d   :  7bde ; 
+ 8e   :  7bcf ; 
+ 8f   :  7bc0 ; 
+ 90   :  7bb1 ; 
+ 91   :  7ba2 ; 
+ 92   :  7b93 ; 
+ 93   :  7b83 ; 
+ 94   :  7b74 ; 
+ 95   :  7b64 ; 
+ 96   :  7b55 ; 
+ 97   :  7b45 ; 
+ 98   :  7b35 ; 
+ 99   :  7b25 ; 
+ 9a   :  7b15 ; 
+ 9b   :  7b05 ; 
+ 9c   :  7af5 ; 
+ 9d   :  7ae4 ; 
+ 9e   :  7ad4 ; 
+ 9f   :  7ac3 ; 
+ a0   :  7ab3 ; 
+ a1   :  7aa2 ; 
+ a2   :  7a91 ; 
+ a3   :  7a80 ; 
+ a4   :  7a6f ; 
+ a5   :  7a5e ; 
+ a6   :  7a4d ; 
+ a7   :  7a3b ; 
+ a8   :  7a2a ; 
+ a9   :  7a18 ; 
+ aa   :  7a07 ; 
+ ab   :  79f5 ; 
+ ac   :  79e3 ; 
+ ad   :  79d1 ; 
+ ae   :  79bf ; 
+ af   :  79ad ; 
+ b0   :  799b ; 
+ b1   :  7989 ; 
+ b2   :  7976 ; 
+ b3   :  7964 ; 
+ b4   :  7951 ; 
+ b5   :  793f ; 
+ b6   :  792c ; 
+ b7   :  7919 ; 
+ b8   :  7906 ; 
+ b9   :  78f3 ; 
+ ba   :  78e0 ; 
+ bb   :  78cd ; 
+ bc   :  78b9 ; 
+ bd   :  78a6 ; 
+ be   :  7892 ; 
+ bf   :  787f ; 
+ c0   :  786b ; 
+ c1   :  7857 ; 
+ c2   :  7843 ; 
+ c3   :  782f ; 
+ c4   :  781b ; 
+ c5   :  7807 ; 
+ c6   :  77f2 ; 
+ c7   :  77de ; 
+ c8   :  77ca ; 
+ c9   :  77b5 ; 
+ ca   :  77a0 ; 
+ cb   :  778b ; 
+ cc   :  7777 ; 
+ cd   :  7762 ; 
+ ce   :  774d ; 
+ cf   :  7737 ; 
+ d0   :  7722 ; 
+ d1   :  770d ; 
+ d2   :  76f7 ; 
+ d3   :  76e2 ; 
+ d4   :  76cc ; 
+ d5   :  76b7 ; 
+ d6   :  76a1 ; 
+ d7   :  768b ; 
+ d8   :  7675 ; 
+ d9   :  765f ; 
+ da   :  7649 ; 
+ db   :  7632 ; 
+ dc   :  761c ; 
+ dd   :  7606 ; 
+ de   :  75ef ; 
+ df   :  75d8 ; 
+ e0   :  75c2 ; 
+ e1   :  75ab ; 
+ e2   :  7594 ; 
+ e3   :  757d ; 
+ e4   :  7566 ; 
+ e5   :  754f ; 
+ e6   :  7537 ; 
+ e7   :  7520 ; 
+ e8   :  7509 ; 
+ e9   :  74f1 ; 
+ ea   :  74da ; 
+ eb   :  74c2 ; 
+ ec   :  74aa ; 
+ ed   :  7492 ; 
+ ee   :  747a ; 
+ ef   :  7462 ; 
+ f0   :  744a ; 
+ f1   :  7432 ; 
+ f2   :  7419 ; 
+ f3   :  7401 ; 
+ f4   :  73e8 ; 
+ f5   :  73d0 ; 
+ f6   :  73b7 ; 
+ f7   :  739e ; 
+ f8   :  7385 ; 
+ f9   :  736d ; 
+ fa   :  7353 ; 
+ fb   :  733a ; 
+ fc   :  7321 ; 
+ fd   :  7308 ; 
+ fe   :  72ee ; 
+ ff   :  72d5 ; 
+ 100   :  72bb ; 
+ 101   :  72a2 ; 
+ 102   :  7288 ; 
+ 103   :  726e ; 
+ 104   :  7254 ; 
+ 105   :  723a ; 
+ 106   :  7220 ; 
+ 107   :  7206 ; 
+ 108   :  71ec ; 
+ 109   :  71d1 ; 
+ 10a   :  71b7 ; 
+ 10b   :  719d ; 
+ 10c   :  7182 ; 
+ 10d   :  7167 ; 
+ 10e   :  714d ; 
+ 10f   :  7132 ; 
+ 110   :  7117 ; 
+ 111   :  70fc ; 
+ 112   :  70e1 ; 
+ 113   :  70c5 ; 
+ 114   :  70aa ; 
+ 115   :  708f ; 
+ 116   :  7073 ; 
+ 117   :  7058 ; 
+ 118   :  703c ; 
+ 119   :  7021 ; 
+ 11a   :  7005 ; 
+ 11b   :  6fe9 ; 
+ 11c   :  6fcd ; 
+ 11d   :  6fb1 ; 
+ 11e   :  6f95 ; 
+ 11f   :  6f79 ; 
+ 120   :  6f5d ; 
+ 121   :  6f40 ; 
+ 122   :  6f24 ; 
+ 123   :  6f07 ; 
+ 124   :  6eeb ; 
+ 125   :  6ece ; 
+ 126   :  6eb1 ; 
+ 127   :  6e95 ; 
+ 128   :  6e78 ; 
+ 129   :  6e5b ; 
+ 12a   :  6e3e ; 
+ 12b   :  6e21 ; 
+ 12c   :  6e03 ; 
+ 12d   :  6de6 ; 
+ 12e   :  6dc9 ; 
+ 12f   :  6dab ; 
+ 130   :  6d8e ; 
+ 131   :  6d70 ; 
+ 132   :  6d52 ; 
+ 133   :  6d35 ; 
+ 134   :  6d17 ; 
+ 135   :  6cf9 ; 
+ 136   :  6cdb ; 
+ 137   :  6cbd ; 
+ 138   :  6c9f ; 
+ 139   :  6c80 ; 
+ 13a   :  6c62 ; 
+ 13b   :  6c44 ; 
+ 13c   :  6c25 ; 
+ 13d   :  6c07 ; 
+ 13e   :  6be8 ; 
+ 13f   :  6bc9 ; 
+ 140   :  6bab ; 
+ 141   :  6b8c ; 
+ 142   :  6b6d ; 
+ 143   :  6b4e ; 
+ 144   :  6b2f ; 
+ 145   :  6b10 ; 
+ 146   :  6af0 ; 
+ 147   :  6ad1 ; 
+ 148   :  6ab2 ; 
+ 149   :  6a92 ; 
+ 14a   :  6a73 ; 
+ 14b   :  6a53 ; 
+ 14c   :  6a33 ; 
+ 14d   :  6a14 ; 
+ 14e   :  69f4 ; 
+ 14f   :  69d4 ; 
+ 150   :  69b4 ; 
+ 151   :  6994 ; 
+ 152   :  6974 ; 
+ 153   :  6954 ; 
+ 154   :  6933 ; 
+ 155   :  6913 ; 
+ 156   :  68f3 ; 
+ 157   :  68d2 ; 
+ 158   :  68b2 ; 
+ 159   :  6891 ; 
+ 15a   :  6870 ; 
+ 15b   :  6850 ; 
+ 15c   :  682f ; 
+ 15d   :  680e ; 
+ 15e   :  67ed ; 
+ 15f   :  67cc ; 
+ 160   :  67ab ; 
+ 161   :  678a ; 
+ 162   :  6768 ; 
+ 163   :  6747 ; 
+ 164   :  6726 ; 
+ 165   :  6704 ; 
+ 166   :  66e3 ; 
+ 167   :  66c1 ; 
+ 168   :  66a0 ; 
+ 169   :  667e ; 
+ 16a   :  665c ; 
+ 16b   :  663a ; 
+ 16c   :  6618 ; 
+ 16d   :  65f6 ; 
+ 16e   :  65d4 ; 
+ 16f   :  65b2 ; 
+ 170   :  6590 ; 
+ 171   :  656e ; 
+ 172   :  654b ; 
+ 173   :  6529 ; 
+ 174   :  6506 ; 
+ 175   :  64e4 ; 
+ 176   :  64c1 ; 
+ 177   :  649f ; 
+ 178   :  647c ; 
+ 179   :  6459 ; 
+ 17a   :  6436 ; 
+ 17b   :  6413 ; 
+ 17c   :  63f0 ; 
+ 17d   :  63cd ; 
+ 17e   :  63aa ; 
+ 17f   :  6387 ; 
+ 180   :  6364 ; 
+ 181   :  6340 ; 
+ 182   :  631d ; 
+ 183   :  62fa ; 
+ 184   :  62d6 ; 
+ 185   :  62b3 ; 
+ 186   :  628f ; 
+ 187   :  626b ; 
+ 188   :  6248 ; 
+ 189   :  6224 ; 
+ 18a   :  6200 ; 
+ 18b   :  61dc ; 
+ 18c   :  61b8 ; 
+ 18d   :  6194 ; 
+ 18e   :  6170 ; 
+ 18f   :  614c ; 
+ 190   :  6127 ; 
+ 191   :  6103 ; 
+ 192   :  60df ; 
+ 193   :  60ba ; 
+ 194   :  6096 ; 
+ 195   :  6071 ; 
+ 196   :  604d ; 
+ 197   :  6028 ; 
+ 198   :  6003 ; 
+ 199   :  5fdf ; 
+ 19a   :  5fba ; 
+ 19b   :  5f95 ; 
+ 19c   :  5f70 ; 
+ 19d   :  5f4b ; 
+ 19e   :  5f26 ; 
+ 19f   :  5f01 ; 
+ 1a0   :  5edc ; 
+ 1a1   :  5eb6 ; 
+ 1a2   :  5e91 ; 
+ 1a3   :  5e6c ; 
+ 1a4   :  5e46 ; 
+ 1a5   :  5e21 ; 
+ 1a6   :  5dfb ; 
+ 1a7   :  5dd6 ; 
+ 1a8   :  5db0 ; 
+ 1a9   :  5d8b ; 
+ 1aa   :  5d65 ; 
+ 1ab   :  5d3f ; 
+ 1ac   :  5d19 ; 
+ 1ad   :  5cf3 ; 
+ 1ae   :  5ccd ; 
+ 1af   :  5ca7 ; 
+ 1b0   :  5c81 ; 
+ 1b1   :  5c5b ; 
+ 1b2   :  5c35 ; 
+ 1b3   :  5c0f ; 
+ 1b4   :  5be9 ; 
+ 1b5   :  5bc2 ; 
+ 1b6   :  5b9c ; 
+ 1b7   :  5b75 ; 
+ 1b8   :  5b4f ; 
+ 1b9   :  5b28 ; 
+ 1ba   :  5b02 ; 
+ 1bb   :  5adb ; 
+ 1bc   :  5ab5 ; 
+ 1bd   :  5a8e ; 
+ 1be   :  5a67 ; 
+ 1bf   :  5a40 ; 
+ 1c0   :  5a19 ; 
+ 1c1   :  59f2 ; 
+ 1c2   :  59cb ; 
+ 1c3   :  59a4 ; 
+ 1c4   :  597d ; 
+ 1c5   :  5956 ; 
+ 1c6   :  592f ; 
+ 1c7   :  5908 ; 
+ 1c8   :  58e0 ; 
+ 1c9   :  58b9 ; 
+ 1ca   :  5892 ; 
+ 1cb   :  586a ; 
+ 1cc   :  5843 ; 
+ 1cd   :  581b ; 
+ 1ce   :  57f4 ; 
+ 1cf   :  57cc ; 
+ 1d0   :  57a5 ; 
+ 1d1   :  577d ; 
+ 1d2   :  5755 ; 
+ 1d3   :  572d ; 
+ 1d4   :  5706 ; 
+ 1d5   :  56de ; 
+ 1d6   :  56b6 ; 
+ 1d7   :  568e ; 
+ 1d8   :  5666 ; 
+ 1d9   :  563e ; 
+ 1da   :  5616 ; 
+ 1db   :  55ed ; 
+ 1dc   :  55c5 ; 
+ 1dd   :  559d ; 
+ 1de   :  5575 ; 
+ 1df   :  554c ; 
+ 1e0   :  5524 ; 
+ 1e1   :  54fc ; 
+ 1e2   :  54d3 ; 
+ 1e3   :  54ab ; 
+ 1e4   :  5482 ; 
+ 1e5   :  545a ; 
+ 1e6   :  5431 ; 
+ 1e7   :  5408 ; 
+ 1e8   :  53e0 ; 
+ 1e9   :  53b7 ; 
+ 1ea   :  538e ; 
+ 1eb   :  5365 ; 
+ 1ec   :  533c ; 
+ 1ed   :  5314 ; 
+ 1ee   :  52eb ; 
+ 1ef   :  52c2 ; 
+ 1f0   :  5299 ; 
+ 1f1   :  5270 ; 
+ 1f2   :  5246 ; 
+ 1f3   :  521d ; 
+ 1f4   :  51f4 ; 
+ 1f5   :  51cb ; 
+ 1f6   :  51a2 ; 
+ 1f7   :  5178 ; 
+ 1f8   :  514f ; 
+ 1f9   :  5126 ; 
+ 1fa   :  50fc ; 
+ 1fb   :  50d3 ; 
+ 1fc   :  50a9 ; 
+ 1fd   :  5080 ; 
+ 1fe   :  5056 ; 
+ 1ff   :  502d ; 
+ 200   :  5003 ; 
+ 201   :  4fda ; 
+ 202   :  4fb0 ; 
+ 203   :  4f86 ; 
+ 204   :  4f5c ; 
+ 205   :  4f33 ; 
+ 206   :  4f09 ; 
+ 207   :  4edf ; 
+ 208   :  4eb5 ; 
+ 209   :  4e8b ; 
+ 20a   :  4e61 ; 
+ 20b   :  4e37 ; 
+ 20c   :  4e0d ; 
+ 20d   :  4de3 ; 
+ 20e   :  4db9 ; 
+ 20f   :  4d8f ; 
+ 210   :  4d65 ; 
+ 211   :  4d3b ; 
+ 212   :  4d10 ; 
+ 213   :  4ce6 ; 
+ 214   :  4cbc ; 
+ 215   :  4c92 ; 
+ 216   :  4c67 ; 
+ 217   :  4c3d ; 
+ 218   :  4c13 ; 
+ 219   :  4be8 ; 
+ 21a   :  4bbe ; 
+ 21b   :  4b93 ; 
+ 21c   :  4b69 ; 
+ 21d   :  4b3e ; 
+ 21e   :  4b14 ; 
+ 21f   :  4ae9 ; 
+ 220   :  4abe ; 
+ 221   :  4a94 ; 
+ 222   :  4a69 ; 
+ 223   :  4a3e ; 
+ 224   :  4a14 ; 
+ 225   :  49e9 ; 
+ 226   :  49be ; 
+ 227   :  4993 ; 
+ 228   :  4968 ; 
+ 229   :  493e ; 
+ 22a   :  4913 ; 
+ 22b   :  48e8 ; 
+ 22c   :  48bd ; 
+ 22d   :  4892 ; 
+ 22e   :  4867 ; 
+ 22f   :  483c ; 
+ 230   :  4811 ; 
+ 231   :  47e6 ; 
+ 232   :  47bb ; 
+ 233   :  4790 ; 
+ 234   :  4764 ; 
+ 235   :  4739 ; 
+ 236   :  470e ; 
+ 237   :  46e3 ; 
+ 238   :  46b8 ; 
+ 239   :  468c ; 
+ 23a   :  4661 ; 
+ 23b   :  4636 ; 
+ 23c   :  460b ; 
+ 23d   :  45df ; 
+ 23e   :  45b4 ; 
+ 23f   :  4589 ; 
+ 240   :  455d ; 
+ 241   :  4532 ; 
+ 242   :  4506 ; 
+ 243   :  44db ; 
+ 244   :  44af ; 
+ 245   :  4484 ; 
+ 246   :  4458 ; 
+ 247   :  442d ; 
+ 248   :  4401 ; 
+ 249   :  43d6 ; 
+ 24a   :  43aa ; 
+ 24b   :  437f ; 
+ 24c   :  4353 ; 
+ 24d   :  4327 ; 
+ 24e   :  42fc ; 
+ 24f   :  42d0 ; 
+ 250   :  42a4 ; 
+ 251   :  4279 ; 
+ 252   :  424d ; 
+ 253   :  4221 ; 
+ 254   :  41f6 ; 
+ 255   :  41ca ; 
+ 256   :  419e ; 
+ 257   :  4172 ; 
+ 258   :  4146 ; 
+ 259   :  411b ; 
+ 25a   :  40ef ; 
+ 25b   :  40c3 ; 
+ 25c   :  4097 ; 
+ 25d   :  406b ; 
+ 25e   :  403f ; 
+ 25f   :  4013 ; 
+ 260   :  3fe7 ; 
+ 261   :  3fbc ; 
+ 262   :  3f90 ; 
+ 263   :  3f64 ; 
+ 264   :  3f38 ; 
+ 265   :  3f0c ; 
+ 266   :  3ee0 ; 
+ 267   :  3eb4 ; 
+ 268   :  3e88 ; 
+ 269   :  3e5c ; 
+ 26a   :  3e30 ; 
+ 26b   :  3e04 ; 
+ 26c   :  3dd8 ; 
+ 26d   :  3dac ; 
+ 26e   :  3d80 ; 
+ 26f   :  3d53 ; 
+ 270   :  3d27 ; 
+ 271   :  3cfb ; 
+ 272   :  3ccf ; 
+ 273   :  3ca3 ; 
+ 274   :  3c77 ; 
+ 275   :  3c4b ; 
+ 276   :  3c1f ; 
+ 277   :  3bf3 ; 
+ 278   :  3bc6 ; 
+ 279   :  3b9a ; 
+ 27a   :  3b6e ; 
+ 27b   :  3b42 ; 
+ 27c   :  3b16 ; 
+ 27d   :  3aea ; 
+ 27e   :  3abd ; 
+ 27f   :  3a91 ; 
+ 280   :  3a65 ; 
+ 281   :  3a39 ; 
+ 282   :  3a0d ; 
+ 283   :  39e0 ; 
+ 284   :  39b4 ; 
+ 285   :  3988 ; 
+ 286   :  395c ; 
+ 287   :  3930 ; 
+ 288   :  3903 ; 
+ 289   :  38d7 ; 
+ 28a   :  38ab ; 
+ 28b   :  387f ; 
+ 28c   :  3852 ; 
+ 28d   :  3826 ; 
+ 28e   :  37fa ; 
+ 28f   :  37ce ; 
+ 290   :  37a2 ; 
+ 291   :  3775 ; 
+ 292   :  3749 ; 
+ 293   :  371d ; 
+ 294   :  36f1 ; 
+ 295   :  36c4 ; 
+ 296   :  3698 ; 
+ 297   :  366c ; 
+ 298   :  3640 ; 
+ 299   :  3613 ; 
+ 29a   :  35e7 ; 
+ 29b   :  35bb ; 
+ 29c   :  358f ; 
+ 29d   :  3562 ; 
+ 29e   :  3536 ; 
+ 29f   :  350a ; 
+ 2a0   :  34de ; 
+ 2a1   :  34b1 ; 
+ 2a2   :  3485 ; 
+ 2a3   :  3459 ; 
+ 2a4   :  342d ; 
+ 2a5   :  3401 ; 
+ 2a6   :  33d4 ; 
+ 2a7   :  33a8 ; 
+ 2a8   :  337c ; 
+ 2a9   :  3350 ; 
+ 2aa   :  3324 ; 
+ 2ab   :  32f7 ; 
+ 2ac   :  32cb ; 
+ 2ad   :  329f ; 
+ 2ae   :  3273 ; 
+ 2af   :  3247 ; 
+ 2b0   :  321b ; 
+ 2b1   :  31ee ; 
+ 2b2   :  31c2 ; 
+ 2b3   :  3196 ; 
+ 2b4   :  316a ; 
+ 2b5   :  313e ; 
+ 2b6   :  3112 ; 
+ 2b7   :  30e6 ; 
+ 2b8   :  30ba ; 
+ 2b9   :  308d ; 
+ 2ba   :  3061 ; 
+ 2bb   :  3035 ; 
+ 2bc   :  3009 ; 
+ 2bd   :  2fdd ; 
+ 2be   :  2fb1 ; 
+ 2bf   :  2f85 ; 
+ 2c0   :  2f59 ; 
+ 2c1   :  2f2d ; 
+ 2c2   :  2f01 ; 
+ 2c3   :  2ed5 ; 
+ 2c4   :  2ea9 ; 
+ 2c5   :  2e7d ; 
+ 2c6   :  2e51 ; 
+ 2c7   :  2e25 ; 
+ 2c8   :  2df9 ; 
+ 2c9   :  2dcd ; 
+ 2ca   :  2da1 ; 
+ 2cb   :  2d76 ; 
+ 2cc   :  2d4a ; 
+ 2cd   :  2d1e ; 
+ 2ce   :  2cf2 ; 
+ 2cf   :  2cc6 ; 
+ 2d0   :  2c9a ; 
+ 2d1   :  2c6e ; 
+ 2d2   :  2c43 ; 
+ 2d3   :  2c17 ; 
+ 2d4   :  2beb ; 
+ 2d5   :  2bbf ; 
+ 2d6   :  2b93 ; 
+ 2d7   :  2b68 ; 
+ 2d8   :  2b3c ; 
+ 2d9   :  2b10 ; 
+ 2da   :  2ae5 ; 
+ 2db   :  2ab9 ; 
+ 2dc   :  2a8d ; 
+ 2dd   :  2a62 ; 
+ 2de   :  2a36 ; 
+ 2df   :  2a0a ; 
+ 2e0   :  29df ; 
+ 2e1   :  29b3 ; 
+ 2e2   :  2988 ; 
+ 2e3   :  295c ; 
+ 2e4   :  2931 ; 
+ 2e5   :  2905 ; 
+ 2e6   :  28da ; 
+ 2e7   :  28ae ; 
+ 2e8   :  2883 ; 
+ 2e9   :  2857 ; 
+ 2ea   :  282c ; 
+ 2eb   :  2800 ; 
+ 2ec   :  27d5 ; 
+ 2ed   :  27aa ; 
+ 2ee   :  277e ; 
+ 2ef   :  2753 ; 
+ 2f0   :  2728 ; 
+ 2f1   :  26fd ; 
+ 2f2   :  26d1 ; 
+ 2f3   :  26a6 ; 
+ 2f4   :  267b ; 
+ 2f5   :  2650 ; 
+ 2f6   :  2625 ; 
+ 2f7   :  25f9 ; 
+ 2f8   :  25ce ; 
+ 2f9   :  25a3 ; 
+ 2fa   :  2578 ; 
+ 2fb   :  254d ; 
+ 2fc   :  2522 ; 
+ 2fd   :  24f7 ; 
+ 2fe   :  24cc ; 
+ 2ff   :  24a1 ; 
+ 300   :  2476 ; 
+ 301   :  244b ; 
+ 302   :  2420 ; 
+ 303   :  23f6 ; 
+ 304   :  23cb ; 
+ 305   :  23a0 ; 
+ 306   :  2375 ; 
+ 307   :  234a ; 
+ 308   :  2320 ; 
+ 309   :  22f5 ; 
+ 30a   :  22ca ; 
+ 30b   :  22a0 ; 
+ 30c   :  2275 ; 
+ 30d   :  224a ; 
+ 30e   :  2220 ; 
+ 30f   :  21f5 ; 
+ 310   :  21cb ; 
+ 311   :  21a0 ; 
+ 312   :  2176 ; 
+ 313   :  214b ; 
+ 314   :  2121 ; 
+ 315   :  20f7 ; 
+ 316   :  20cc ; 
+ 317   :  20a2 ; 
+ 318   :  2078 ; 
+ 319   :  204d ; 
+ 31a   :  2023 ; 
+ 31b   :  1ff9 ; 
+ 31c   :  1fcf ; 
+ 31d   :  1fa5 ; 
+ 31e   :  1f7a ; 
+ 31f   :  1f50 ; 
+ 320   :  1f26 ; 
+ 321   :  1efc ; 
+ 322   :  1ed2 ; 
+ 323   :  1ea8 ; 
+ 324   :  1e7e ; 
+ 325   :  1e55 ; 
+ 326   :  1e2b ; 
+ 327   :  1e01 ; 
+ 328   :  1dd7 ; 
+ 329   :  1dad ; 
+ 32a   :  1d84 ; 
+ 32b   :  1d5a ; 
+ 32c   :  1d30 ; 
+ 32d   :  1d07 ; 
+ 32e   :  1cdd ; 
+ 32f   :  1cb3 ; 
+ 330   :  1c8a ; 
+ 331   :  1c60 ; 
+ 332   :  1c37 ; 
+ 333   :  1c0d ; 
+ 334   :  1be4 ; 
+ 335   :  1bbb ; 
+ 336   :  1b91 ; 
+ 337   :  1b68 ; 
+ 338   :  1b3f ; 
+ 339   :  1b16 ; 
+ 33a   :  1aec ; 
+ 33b   :  1ac3 ; 
+ 33c   :  1a9a ; 
+ 33d   :  1a71 ; 
+ 33e   :  1a48 ; 
+ 33f   :  1a1f ; 
+ 340   :  19f6 ; 
+ 341   :  19cd ; 
+ 342   :  19a4 ; 
+ 343   :  197b ; 
+ 344   :  1953 ; 
+ 345   :  192a ; 
+ 346   :  1901 ; 
+ 347   :  18d8 ; 
+ 348   :  18b0 ; 
+ 349   :  1887 ; 
+ 34a   :  185f ; 
+ 34b   :  1836 ; 
+ 34c   :  180d ; 
+ 34d   :  17e5 ; 
+ 34e   :  17bd ; 
+ 34f   :  1794 ; 
+ 350   :  176c ; 
+ 351   :  1744 ; 
+ 352   :  171b ; 
+ 353   :  16f3 ; 
+ 354   :  16cb ; 
+ 355   :  16a3 ; 
+ 356   :  167b ; 
+ 357   :  1653 ; 
+ 358   :  162b ; 
+ 359   :  1603 ; 
+ 35a   :  15db ; 
+ 35b   :  15b3 ; 
+ 35c   :  158b ; 
+ 35d   :  1563 ; 
+ 35e   :  153b ; 
+ 35f   :  1514 ; 
+ 360   :  14ec ; 
+ 361   :  14c4 ; 
+ 362   :  149d ; 
+ 363   :  1475 ; 
+ 364   :  144e ; 
+ 365   :  1426 ; 
+ 366   :  13ff ; 
+ 367   :  13d7 ; 
+ 368   :  13b0 ; 
+ 369   :  1389 ; 
+ 36a   :  1362 ; 
+ 36b   :  133a ; 
+ 36c   :  1313 ; 
+ 36d   :  12ec ; 
+ 36e   :  12c5 ; 
+ 36f   :  129e ; 
+ 370   :  1277 ; 
+ 371   :  1250 ; 
+ 372   :  1229 ; 
+ 373   :  1203 ; 
+ 374   :  11dc ; 
+ 375   :  11b5 ; 
+ 376   :  118e ; 
+ 377   :  1168 ; 
+ 378   :  1141 ; 
+ 379   :  111b ; 
+ 37a   :  10f4 ; 
+ 37b   :  10ce ; 
+ 37c   :  10a7 ; 
+ 37d   :  1081 ; 
+ 37e   :  105b ; 
+ 37f   :  1034 ; 
+ 380   :  100e ; 
+ 381   :  fe8 ; 
+ 382   :  fc2 ; 
+ 383   :  f9c ; 
+ 384   :  f76 ; 
+ 385   :  f50 ; 
+ 386   :  f2a ; 
+ 387   :  f04 ; 
+ 388   :  ede ; 
+ 389   :  eb8 ; 
+ 38a   :  e93 ; 
+ 38b   :  e6d ; 
+ 38c   :  e47 ; 
+ 38d   :  e22 ; 
+ 38e   :  dfc ; 
+ 38f   :  dd7 ; 
+ 390   :  db2 ; 
+ 391   :  d8c ; 
+ 392   :  d67 ; 
+ 393   :  d42 ; 
+ 394   :  d1c ; 
+ 395   :  cf7 ; 
+ 396   :  cd2 ; 
+ 397   :  cad ; 
+ 398   :  c88 ; 
+ 399   :  c63 ; 
+ 39a   :  c3e ; 
+ 39b   :  c1a ; 
+ 39c   :  bf5 ; 
+ 39d   :  bd0 ; 
+ 39e   :  bab ; 
+ 39f   :  b87 ; 
+ 3a0   :  b62 ; 
+ 3a1   :  b3e ; 
+ 3a2   :  b19 ; 
+ 3a3   :  af5 ; 
+ 3a4   :  ad1 ; 
+ 3a5   :  aac ; 
+ 3a6   :  a88 ; 
+ 3a7   :  a64 ; 
+ 3a8   :  a40 ; 
+ 3a9   :  a1c ; 
+ 3aa   :  9f8 ; 
+ 3ab   :  9d4 ; 
+ 3ac   :  9b0 ; 
+ 3ad   :  98c ; 
+ 3ae   :  968 ; 
+ 3af   :  944 ; 
+ 3b0   :  921 ; 
+ 3b1   :  8fd ; 
+ 3b2   :  8da ; 
+ 3b3   :  8b6 ; 
+ 3b4   :  893 ; 
+ 3b5   :  86f ; 
+ 3b6   :  84c ; 
+ 3b7   :  829 ; 
+ 3b8   :  805 ; 
+ 3b9   :  7e2 ; 
+ 3ba   :  7bf ; 
+ 3bb   :  79c ; 
+ 3bc   :  779 ; 
+ 3bd   :  756 ; 
+ 3be   :  733 ; 
+ 3bf   :  710 ; 
+ 3c0   :  6ee ; 
+ 3c1   :  6cb ; 
+ 3c2   :  6a8 ; 
+ 3c3   :  686 ; 
+ 3c4   :  663 ; 
+ 3c5   :  641 ; 
+ 3c6   :  61e ; 
+ 3c7   :  5fc ; 
+ 3c8   :  5da ; 
+ 3c9   :  5b7 ; 
+ 3ca   :  595 ; 
+ 3cb   :  573 ; 
+ 3cc   :  551 ; 
+ 3cd   :  52f ; 
+ 3ce   :  50d ; 
+ 3cf   :  4eb ; 
+ 3d0   :  4ca ; 
+ 3d1   :  4a8 ; 
+ 3d2   :  486 ; 
+ 3d3   :  464 ; 
+ 3d4   :  443 ; 
+ 3d5   :  421 ; 
+ 3d6   :  400 ; 
+ 3d7   :  3df ; 
+ 3d8   :  3bd ; 
+ 3d9   :  39c ; 
+ 3da   :  37b ; 
+ 3db   :  35a ; 
+ 3dc   :  339 ; 
+ 3dd   :  318 ; 
+ 3de   :  2f7 ; 
+ 3df   :  2d6 ; 
+ 3e0   :  2b5 ; 
+ 3e1   :  294 ; 
+ 3e2   :  274 ; 
+ 3e3   :  253 ; 
+ 3e4   :  232 ; 
+ 3e5   :  212 ; 
+ 3e6   :  1f1 ; 
+ 3e7   :  1d1 ; 
+ 3e8   :  1b1 ; 
+ 3e9   :  191 ; 
+ 3ea   :  170 ; 
+ 3eb   :  150 ; 
+ 3ec   :  130 ; 
+ 3ed   :  110 ; 
+ 3ee   :  f0 ; 
+ 3ef   :  d0 ; 
+ 3f0   :  b1 ; 
+ 3f1   :  91 ; 
+ 3f2   :  71 ; 
+ 3f3   :  52 ; 
+ 3f4   :  32 ; 
+ 3f5   :  13 ; 
+ 3f6   :  fff3 ; 
+ 3f7   :  ffd4 ; 
+ 3f8   :  ffb5 ; 
+ 3f9   :  ff95 ; 
+ 3fa   :  ff76 ; 
+ 3fb   :  ff57 ; 
+ 3fc   :  ff38 ; 
+ 3fd   :  ff19 ; 
+ 3fe   :  fefa ; 
+ 3ff   :  fedc ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_8.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_8.mif
new file mode 100644
index 0000000000000000000000000000000000000000..5b19e35ee5804b3f43c284b18a94a7b89f11514b
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_8.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  fedc ; 
+ 1   :  fefa ; 
+ 2   :  ff19 ; 
+ 3   :  ff38 ; 
+ 4   :  ff57 ; 
+ 5   :  ff76 ; 
+ 6   :  ff95 ; 
+ 7   :  ffb5 ; 
+ 8   :  ffd4 ; 
+ 9   :  fff3 ; 
+ a   :  13 ; 
+ b   :  32 ; 
+ c   :  52 ; 
+ d   :  71 ; 
+ e   :  91 ; 
+ f   :  b1 ; 
+ 10   :  d0 ; 
+ 11   :  f0 ; 
+ 12   :  110 ; 
+ 13   :  130 ; 
+ 14   :  150 ; 
+ 15   :  170 ; 
+ 16   :  191 ; 
+ 17   :  1b1 ; 
+ 18   :  1d1 ; 
+ 19   :  1f1 ; 
+ 1a   :  212 ; 
+ 1b   :  232 ; 
+ 1c   :  253 ; 
+ 1d   :  274 ; 
+ 1e   :  294 ; 
+ 1f   :  2b5 ; 
+ 20   :  2d6 ; 
+ 21   :  2f7 ; 
+ 22   :  318 ; 
+ 23   :  339 ; 
+ 24   :  35a ; 
+ 25   :  37b ; 
+ 26   :  39c ; 
+ 27   :  3bd ; 
+ 28   :  3df ; 
+ 29   :  400 ; 
+ 2a   :  421 ; 
+ 2b   :  443 ; 
+ 2c   :  464 ; 
+ 2d   :  486 ; 
+ 2e   :  4a8 ; 
+ 2f   :  4ca ; 
+ 30   :  4eb ; 
+ 31   :  50d ; 
+ 32   :  52f ; 
+ 33   :  551 ; 
+ 34   :  573 ; 
+ 35   :  595 ; 
+ 36   :  5b7 ; 
+ 37   :  5da ; 
+ 38   :  5fc ; 
+ 39   :  61e ; 
+ 3a   :  641 ; 
+ 3b   :  663 ; 
+ 3c   :  686 ; 
+ 3d   :  6a8 ; 
+ 3e   :  6cb ; 
+ 3f   :  6ee ; 
+ 40   :  710 ; 
+ 41   :  733 ; 
+ 42   :  756 ; 
+ 43   :  779 ; 
+ 44   :  79c ; 
+ 45   :  7bf ; 
+ 46   :  7e2 ; 
+ 47   :  805 ; 
+ 48   :  829 ; 
+ 49   :  84c ; 
+ 4a   :  86f ; 
+ 4b   :  893 ; 
+ 4c   :  8b6 ; 
+ 4d   :  8da ; 
+ 4e   :  8fd ; 
+ 4f   :  921 ; 
+ 50   :  944 ; 
+ 51   :  968 ; 
+ 52   :  98c ; 
+ 53   :  9b0 ; 
+ 54   :  9d4 ; 
+ 55   :  9f8 ; 
+ 56   :  a1c ; 
+ 57   :  a40 ; 
+ 58   :  a64 ; 
+ 59   :  a88 ; 
+ 5a   :  aac ; 
+ 5b   :  ad1 ; 
+ 5c   :  af5 ; 
+ 5d   :  b19 ; 
+ 5e   :  b3e ; 
+ 5f   :  b62 ; 
+ 60   :  b87 ; 
+ 61   :  bab ; 
+ 62   :  bd0 ; 
+ 63   :  bf5 ; 
+ 64   :  c1a ; 
+ 65   :  c3e ; 
+ 66   :  c63 ; 
+ 67   :  c88 ; 
+ 68   :  cad ; 
+ 69   :  cd2 ; 
+ 6a   :  cf7 ; 
+ 6b   :  d1c ; 
+ 6c   :  d42 ; 
+ 6d   :  d67 ; 
+ 6e   :  d8c ; 
+ 6f   :  db2 ; 
+ 70   :  dd7 ; 
+ 71   :  dfc ; 
+ 72   :  e22 ; 
+ 73   :  e47 ; 
+ 74   :  e6d ; 
+ 75   :  e93 ; 
+ 76   :  eb8 ; 
+ 77   :  ede ; 
+ 78   :  f04 ; 
+ 79   :  f2a ; 
+ 7a   :  f50 ; 
+ 7b   :  f76 ; 
+ 7c   :  f9c ; 
+ 7d   :  fc2 ; 
+ 7e   :  fe8 ; 
+ 7f   :  100e ; 
+ 80   :  1034 ; 
+ 81   :  105b ; 
+ 82   :  1081 ; 
+ 83   :  10a7 ; 
+ 84   :  10ce ; 
+ 85   :  10f4 ; 
+ 86   :  111b ; 
+ 87   :  1141 ; 
+ 88   :  1168 ; 
+ 89   :  118e ; 
+ 8a   :  11b5 ; 
+ 8b   :  11dc ; 
+ 8c   :  1203 ; 
+ 8d   :  1229 ; 
+ 8e   :  1250 ; 
+ 8f   :  1277 ; 
+ 90   :  129e ; 
+ 91   :  12c5 ; 
+ 92   :  12ec ; 
+ 93   :  1313 ; 
+ 94   :  133a ; 
+ 95   :  1362 ; 
+ 96   :  1389 ; 
+ 97   :  13b0 ; 
+ 98   :  13d7 ; 
+ 99   :  13ff ; 
+ 9a   :  1426 ; 
+ 9b   :  144e ; 
+ 9c   :  1475 ; 
+ 9d   :  149d ; 
+ 9e   :  14c4 ; 
+ 9f   :  14ec ; 
+ a0   :  1514 ; 
+ a1   :  153b ; 
+ a2   :  1563 ; 
+ a3   :  158b ; 
+ a4   :  15b3 ; 
+ a5   :  15db ; 
+ a6   :  1603 ; 
+ a7   :  162b ; 
+ a8   :  1653 ; 
+ a9   :  167b ; 
+ aa   :  16a3 ; 
+ ab   :  16cb ; 
+ ac   :  16f3 ; 
+ ad   :  171b ; 
+ ae   :  1744 ; 
+ af   :  176c ; 
+ b0   :  1794 ; 
+ b1   :  17bd ; 
+ b2   :  17e5 ; 
+ b3   :  180d ; 
+ b4   :  1836 ; 
+ b5   :  185f ; 
+ b6   :  1887 ; 
+ b7   :  18b0 ; 
+ b8   :  18d8 ; 
+ b9   :  1901 ; 
+ ba   :  192a ; 
+ bb   :  1953 ; 
+ bc   :  197b ; 
+ bd   :  19a4 ; 
+ be   :  19cd ; 
+ bf   :  19f6 ; 
+ c0   :  1a1f ; 
+ c1   :  1a48 ; 
+ c2   :  1a71 ; 
+ c3   :  1a9a ; 
+ c4   :  1ac3 ; 
+ c5   :  1aec ; 
+ c6   :  1b16 ; 
+ c7   :  1b3f ; 
+ c8   :  1b68 ; 
+ c9   :  1b91 ; 
+ ca   :  1bbb ; 
+ cb   :  1be4 ; 
+ cc   :  1c0d ; 
+ cd   :  1c37 ; 
+ ce   :  1c60 ; 
+ cf   :  1c8a ; 
+ d0   :  1cb3 ; 
+ d1   :  1cdd ; 
+ d2   :  1d07 ; 
+ d3   :  1d30 ; 
+ d4   :  1d5a ; 
+ d5   :  1d84 ; 
+ d6   :  1dad ; 
+ d7   :  1dd7 ; 
+ d8   :  1e01 ; 
+ d9   :  1e2b ; 
+ da   :  1e55 ; 
+ db   :  1e7e ; 
+ dc   :  1ea8 ; 
+ dd   :  1ed2 ; 
+ de   :  1efc ; 
+ df   :  1f26 ; 
+ e0   :  1f50 ; 
+ e1   :  1f7a ; 
+ e2   :  1fa5 ; 
+ e3   :  1fcf ; 
+ e4   :  1ff9 ; 
+ e5   :  2023 ; 
+ e6   :  204d ; 
+ e7   :  2078 ; 
+ e8   :  20a2 ; 
+ e9   :  20cc ; 
+ ea   :  20f7 ; 
+ eb   :  2121 ; 
+ ec   :  214b ; 
+ ed   :  2176 ; 
+ ee   :  21a0 ; 
+ ef   :  21cb ; 
+ f0   :  21f5 ; 
+ f1   :  2220 ; 
+ f2   :  224a ; 
+ f3   :  2275 ; 
+ f4   :  22a0 ; 
+ f5   :  22ca ; 
+ f6   :  22f5 ; 
+ f7   :  2320 ; 
+ f8   :  234a ; 
+ f9   :  2375 ; 
+ fa   :  23a0 ; 
+ fb   :  23cb ; 
+ fc   :  23f6 ; 
+ fd   :  2420 ; 
+ fe   :  244b ; 
+ ff   :  2476 ; 
+ 100   :  24a1 ; 
+ 101   :  24cc ; 
+ 102   :  24f7 ; 
+ 103   :  2522 ; 
+ 104   :  254d ; 
+ 105   :  2578 ; 
+ 106   :  25a3 ; 
+ 107   :  25ce ; 
+ 108   :  25f9 ; 
+ 109   :  2625 ; 
+ 10a   :  2650 ; 
+ 10b   :  267b ; 
+ 10c   :  26a6 ; 
+ 10d   :  26d1 ; 
+ 10e   :  26fd ; 
+ 10f   :  2728 ; 
+ 110   :  2753 ; 
+ 111   :  277e ; 
+ 112   :  27aa ; 
+ 113   :  27d5 ; 
+ 114   :  2800 ; 
+ 115   :  282c ; 
+ 116   :  2857 ; 
+ 117   :  2883 ; 
+ 118   :  28ae ; 
+ 119   :  28da ; 
+ 11a   :  2905 ; 
+ 11b   :  2931 ; 
+ 11c   :  295c ; 
+ 11d   :  2988 ; 
+ 11e   :  29b3 ; 
+ 11f   :  29df ; 
+ 120   :  2a0a ; 
+ 121   :  2a36 ; 
+ 122   :  2a62 ; 
+ 123   :  2a8d ; 
+ 124   :  2ab9 ; 
+ 125   :  2ae5 ; 
+ 126   :  2b10 ; 
+ 127   :  2b3c ; 
+ 128   :  2b68 ; 
+ 129   :  2b93 ; 
+ 12a   :  2bbf ; 
+ 12b   :  2beb ; 
+ 12c   :  2c17 ; 
+ 12d   :  2c43 ; 
+ 12e   :  2c6e ; 
+ 12f   :  2c9a ; 
+ 130   :  2cc6 ; 
+ 131   :  2cf2 ; 
+ 132   :  2d1e ; 
+ 133   :  2d4a ; 
+ 134   :  2d76 ; 
+ 135   :  2da1 ; 
+ 136   :  2dcd ; 
+ 137   :  2df9 ; 
+ 138   :  2e25 ; 
+ 139   :  2e51 ; 
+ 13a   :  2e7d ; 
+ 13b   :  2ea9 ; 
+ 13c   :  2ed5 ; 
+ 13d   :  2f01 ; 
+ 13e   :  2f2d ; 
+ 13f   :  2f59 ; 
+ 140   :  2f85 ; 
+ 141   :  2fb1 ; 
+ 142   :  2fdd ; 
+ 143   :  3009 ; 
+ 144   :  3035 ; 
+ 145   :  3061 ; 
+ 146   :  308d ; 
+ 147   :  30ba ; 
+ 148   :  30e6 ; 
+ 149   :  3112 ; 
+ 14a   :  313e ; 
+ 14b   :  316a ; 
+ 14c   :  3196 ; 
+ 14d   :  31c2 ; 
+ 14e   :  31ee ; 
+ 14f   :  321b ; 
+ 150   :  3247 ; 
+ 151   :  3273 ; 
+ 152   :  329f ; 
+ 153   :  32cb ; 
+ 154   :  32f7 ; 
+ 155   :  3324 ; 
+ 156   :  3350 ; 
+ 157   :  337c ; 
+ 158   :  33a8 ; 
+ 159   :  33d4 ; 
+ 15a   :  3401 ; 
+ 15b   :  342d ; 
+ 15c   :  3459 ; 
+ 15d   :  3485 ; 
+ 15e   :  34b1 ; 
+ 15f   :  34de ; 
+ 160   :  350a ; 
+ 161   :  3536 ; 
+ 162   :  3562 ; 
+ 163   :  358f ; 
+ 164   :  35bb ; 
+ 165   :  35e7 ; 
+ 166   :  3613 ; 
+ 167   :  3640 ; 
+ 168   :  366c ; 
+ 169   :  3698 ; 
+ 16a   :  36c4 ; 
+ 16b   :  36f1 ; 
+ 16c   :  371d ; 
+ 16d   :  3749 ; 
+ 16e   :  3775 ; 
+ 16f   :  37a2 ; 
+ 170   :  37ce ; 
+ 171   :  37fa ; 
+ 172   :  3826 ; 
+ 173   :  3852 ; 
+ 174   :  387f ; 
+ 175   :  38ab ; 
+ 176   :  38d7 ; 
+ 177   :  3903 ; 
+ 178   :  3930 ; 
+ 179   :  395c ; 
+ 17a   :  3988 ; 
+ 17b   :  39b4 ; 
+ 17c   :  39e0 ; 
+ 17d   :  3a0d ; 
+ 17e   :  3a39 ; 
+ 17f   :  3a65 ; 
+ 180   :  3a91 ; 
+ 181   :  3abd ; 
+ 182   :  3aea ; 
+ 183   :  3b16 ; 
+ 184   :  3b42 ; 
+ 185   :  3b6e ; 
+ 186   :  3b9a ; 
+ 187   :  3bc6 ; 
+ 188   :  3bf3 ; 
+ 189   :  3c1f ; 
+ 18a   :  3c4b ; 
+ 18b   :  3c77 ; 
+ 18c   :  3ca3 ; 
+ 18d   :  3ccf ; 
+ 18e   :  3cfb ; 
+ 18f   :  3d27 ; 
+ 190   :  3d53 ; 
+ 191   :  3d80 ; 
+ 192   :  3dac ; 
+ 193   :  3dd8 ; 
+ 194   :  3e04 ; 
+ 195   :  3e30 ; 
+ 196   :  3e5c ; 
+ 197   :  3e88 ; 
+ 198   :  3eb4 ; 
+ 199   :  3ee0 ; 
+ 19a   :  3f0c ; 
+ 19b   :  3f38 ; 
+ 19c   :  3f64 ; 
+ 19d   :  3f90 ; 
+ 19e   :  3fbc ; 
+ 19f   :  3fe7 ; 
+ 1a0   :  4013 ; 
+ 1a1   :  403f ; 
+ 1a2   :  406b ; 
+ 1a3   :  4097 ; 
+ 1a4   :  40c3 ; 
+ 1a5   :  40ef ; 
+ 1a6   :  411b ; 
+ 1a7   :  4146 ; 
+ 1a8   :  4172 ; 
+ 1a9   :  419e ; 
+ 1aa   :  41ca ; 
+ 1ab   :  41f6 ; 
+ 1ac   :  4221 ; 
+ 1ad   :  424d ; 
+ 1ae   :  4279 ; 
+ 1af   :  42a4 ; 
+ 1b0   :  42d0 ; 
+ 1b1   :  42fc ; 
+ 1b2   :  4327 ; 
+ 1b3   :  4353 ; 
+ 1b4   :  437f ; 
+ 1b5   :  43aa ; 
+ 1b6   :  43d6 ; 
+ 1b7   :  4401 ; 
+ 1b8   :  442d ; 
+ 1b9   :  4458 ; 
+ 1ba   :  4484 ; 
+ 1bb   :  44af ; 
+ 1bc   :  44db ; 
+ 1bd   :  4506 ; 
+ 1be   :  4532 ; 
+ 1bf   :  455d ; 
+ 1c0   :  4589 ; 
+ 1c1   :  45b4 ; 
+ 1c2   :  45df ; 
+ 1c3   :  460b ; 
+ 1c4   :  4636 ; 
+ 1c5   :  4661 ; 
+ 1c6   :  468c ; 
+ 1c7   :  46b8 ; 
+ 1c8   :  46e3 ; 
+ 1c9   :  470e ; 
+ 1ca   :  4739 ; 
+ 1cb   :  4764 ; 
+ 1cc   :  4790 ; 
+ 1cd   :  47bb ; 
+ 1ce   :  47e6 ; 
+ 1cf   :  4811 ; 
+ 1d0   :  483c ; 
+ 1d1   :  4867 ; 
+ 1d2   :  4892 ; 
+ 1d3   :  48bd ; 
+ 1d4   :  48e8 ; 
+ 1d5   :  4913 ; 
+ 1d6   :  493e ; 
+ 1d7   :  4968 ; 
+ 1d8   :  4993 ; 
+ 1d9   :  49be ; 
+ 1da   :  49e9 ; 
+ 1db   :  4a14 ; 
+ 1dc   :  4a3e ; 
+ 1dd   :  4a69 ; 
+ 1de   :  4a94 ; 
+ 1df   :  4abe ; 
+ 1e0   :  4ae9 ; 
+ 1e1   :  4b14 ; 
+ 1e2   :  4b3e ; 
+ 1e3   :  4b69 ; 
+ 1e4   :  4b93 ; 
+ 1e5   :  4bbe ; 
+ 1e6   :  4be8 ; 
+ 1e7   :  4c13 ; 
+ 1e8   :  4c3d ; 
+ 1e9   :  4c67 ; 
+ 1ea   :  4c92 ; 
+ 1eb   :  4cbc ; 
+ 1ec   :  4ce6 ; 
+ 1ed   :  4d10 ; 
+ 1ee   :  4d3b ; 
+ 1ef   :  4d65 ; 
+ 1f0   :  4d8f ; 
+ 1f1   :  4db9 ; 
+ 1f2   :  4de3 ; 
+ 1f3   :  4e0d ; 
+ 1f4   :  4e37 ; 
+ 1f5   :  4e61 ; 
+ 1f6   :  4e8b ; 
+ 1f7   :  4eb5 ; 
+ 1f8   :  4edf ; 
+ 1f9   :  4f09 ; 
+ 1fa   :  4f33 ; 
+ 1fb   :  4f5c ; 
+ 1fc   :  4f86 ; 
+ 1fd   :  4fb0 ; 
+ 1fe   :  4fda ; 
+ 1ff   :  5003 ; 
+ 200   :  502d ; 
+ 201   :  5056 ; 
+ 202   :  5080 ; 
+ 203   :  50a9 ; 
+ 204   :  50d3 ; 
+ 205   :  50fc ; 
+ 206   :  5126 ; 
+ 207   :  514f ; 
+ 208   :  5178 ; 
+ 209   :  51a2 ; 
+ 20a   :  51cb ; 
+ 20b   :  51f4 ; 
+ 20c   :  521d ; 
+ 20d   :  5246 ; 
+ 20e   :  5270 ; 
+ 20f   :  5299 ; 
+ 210   :  52c2 ; 
+ 211   :  52eb ; 
+ 212   :  5314 ; 
+ 213   :  533c ; 
+ 214   :  5365 ; 
+ 215   :  538e ; 
+ 216   :  53b7 ; 
+ 217   :  53e0 ; 
+ 218   :  5408 ; 
+ 219   :  5431 ; 
+ 21a   :  545a ; 
+ 21b   :  5482 ; 
+ 21c   :  54ab ; 
+ 21d   :  54d3 ; 
+ 21e   :  54fc ; 
+ 21f   :  5524 ; 
+ 220   :  554c ; 
+ 221   :  5575 ; 
+ 222   :  559d ; 
+ 223   :  55c5 ; 
+ 224   :  55ed ; 
+ 225   :  5616 ; 
+ 226   :  563e ; 
+ 227   :  5666 ; 
+ 228   :  568e ; 
+ 229   :  56b6 ; 
+ 22a   :  56de ; 
+ 22b   :  5706 ; 
+ 22c   :  572d ; 
+ 22d   :  5755 ; 
+ 22e   :  577d ; 
+ 22f   :  57a5 ; 
+ 230   :  57cc ; 
+ 231   :  57f4 ; 
+ 232   :  581b ; 
+ 233   :  5843 ; 
+ 234   :  586a ; 
+ 235   :  5892 ; 
+ 236   :  58b9 ; 
+ 237   :  58e0 ; 
+ 238   :  5908 ; 
+ 239   :  592f ; 
+ 23a   :  5956 ; 
+ 23b   :  597d ; 
+ 23c   :  59a4 ; 
+ 23d   :  59cb ; 
+ 23e   :  59f2 ; 
+ 23f   :  5a19 ; 
+ 240   :  5a40 ; 
+ 241   :  5a67 ; 
+ 242   :  5a8e ; 
+ 243   :  5ab5 ; 
+ 244   :  5adb ; 
+ 245   :  5b02 ; 
+ 246   :  5b28 ; 
+ 247   :  5b4f ; 
+ 248   :  5b75 ; 
+ 249   :  5b9c ; 
+ 24a   :  5bc2 ; 
+ 24b   :  5be9 ; 
+ 24c   :  5c0f ; 
+ 24d   :  5c35 ; 
+ 24e   :  5c5b ; 
+ 24f   :  5c81 ; 
+ 250   :  5ca7 ; 
+ 251   :  5ccd ; 
+ 252   :  5cf3 ; 
+ 253   :  5d19 ; 
+ 254   :  5d3f ; 
+ 255   :  5d65 ; 
+ 256   :  5d8b ; 
+ 257   :  5db0 ; 
+ 258   :  5dd6 ; 
+ 259   :  5dfb ; 
+ 25a   :  5e21 ; 
+ 25b   :  5e46 ; 
+ 25c   :  5e6c ; 
+ 25d   :  5e91 ; 
+ 25e   :  5eb6 ; 
+ 25f   :  5edc ; 
+ 260   :  5f01 ; 
+ 261   :  5f26 ; 
+ 262   :  5f4b ; 
+ 263   :  5f70 ; 
+ 264   :  5f95 ; 
+ 265   :  5fba ; 
+ 266   :  5fdf ; 
+ 267   :  6003 ; 
+ 268   :  6028 ; 
+ 269   :  604d ; 
+ 26a   :  6071 ; 
+ 26b   :  6096 ; 
+ 26c   :  60ba ; 
+ 26d   :  60df ; 
+ 26e   :  6103 ; 
+ 26f   :  6127 ; 
+ 270   :  614c ; 
+ 271   :  6170 ; 
+ 272   :  6194 ; 
+ 273   :  61b8 ; 
+ 274   :  61dc ; 
+ 275   :  6200 ; 
+ 276   :  6224 ; 
+ 277   :  6248 ; 
+ 278   :  626b ; 
+ 279   :  628f ; 
+ 27a   :  62b3 ; 
+ 27b   :  62d6 ; 
+ 27c   :  62fa ; 
+ 27d   :  631d ; 
+ 27e   :  6340 ; 
+ 27f   :  6364 ; 
+ 280   :  6387 ; 
+ 281   :  63aa ; 
+ 282   :  63cd ; 
+ 283   :  63f0 ; 
+ 284   :  6413 ; 
+ 285   :  6436 ; 
+ 286   :  6459 ; 
+ 287   :  647c ; 
+ 288   :  649f ; 
+ 289   :  64c1 ; 
+ 28a   :  64e4 ; 
+ 28b   :  6506 ; 
+ 28c   :  6529 ; 
+ 28d   :  654b ; 
+ 28e   :  656e ; 
+ 28f   :  6590 ; 
+ 290   :  65b2 ; 
+ 291   :  65d4 ; 
+ 292   :  65f6 ; 
+ 293   :  6618 ; 
+ 294   :  663a ; 
+ 295   :  665c ; 
+ 296   :  667e ; 
+ 297   :  66a0 ; 
+ 298   :  66c1 ; 
+ 299   :  66e3 ; 
+ 29a   :  6704 ; 
+ 29b   :  6726 ; 
+ 29c   :  6747 ; 
+ 29d   :  6768 ; 
+ 29e   :  678a ; 
+ 29f   :  67ab ; 
+ 2a0   :  67cc ; 
+ 2a1   :  67ed ; 
+ 2a2   :  680e ; 
+ 2a3   :  682f ; 
+ 2a4   :  6850 ; 
+ 2a5   :  6870 ; 
+ 2a6   :  6891 ; 
+ 2a7   :  68b2 ; 
+ 2a8   :  68d2 ; 
+ 2a9   :  68f3 ; 
+ 2aa   :  6913 ; 
+ 2ab   :  6933 ; 
+ 2ac   :  6954 ; 
+ 2ad   :  6974 ; 
+ 2ae   :  6994 ; 
+ 2af   :  69b4 ; 
+ 2b0   :  69d4 ; 
+ 2b1   :  69f4 ; 
+ 2b2   :  6a14 ; 
+ 2b3   :  6a33 ; 
+ 2b4   :  6a53 ; 
+ 2b5   :  6a73 ; 
+ 2b6   :  6a92 ; 
+ 2b7   :  6ab2 ; 
+ 2b8   :  6ad1 ; 
+ 2b9   :  6af0 ; 
+ 2ba   :  6b10 ; 
+ 2bb   :  6b2f ; 
+ 2bc   :  6b4e ; 
+ 2bd   :  6b6d ; 
+ 2be   :  6b8c ; 
+ 2bf   :  6bab ; 
+ 2c0   :  6bc9 ; 
+ 2c1   :  6be8 ; 
+ 2c2   :  6c07 ; 
+ 2c3   :  6c25 ; 
+ 2c4   :  6c44 ; 
+ 2c5   :  6c62 ; 
+ 2c6   :  6c80 ; 
+ 2c7   :  6c9f ; 
+ 2c8   :  6cbd ; 
+ 2c9   :  6cdb ; 
+ 2ca   :  6cf9 ; 
+ 2cb   :  6d17 ; 
+ 2cc   :  6d35 ; 
+ 2cd   :  6d52 ; 
+ 2ce   :  6d70 ; 
+ 2cf   :  6d8e ; 
+ 2d0   :  6dab ; 
+ 2d1   :  6dc9 ; 
+ 2d2   :  6de6 ; 
+ 2d3   :  6e03 ; 
+ 2d4   :  6e21 ; 
+ 2d5   :  6e3e ; 
+ 2d6   :  6e5b ; 
+ 2d7   :  6e78 ; 
+ 2d8   :  6e95 ; 
+ 2d9   :  6eb1 ; 
+ 2da   :  6ece ; 
+ 2db   :  6eeb ; 
+ 2dc   :  6f07 ; 
+ 2dd   :  6f24 ; 
+ 2de   :  6f40 ; 
+ 2df   :  6f5d ; 
+ 2e0   :  6f79 ; 
+ 2e1   :  6f95 ; 
+ 2e2   :  6fb1 ; 
+ 2e3   :  6fcd ; 
+ 2e4   :  6fe9 ; 
+ 2e5   :  7005 ; 
+ 2e6   :  7021 ; 
+ 2e7   :  703c ; 
+ 2e8   :  7058 ; 
+ 2e9   :  7073 ; 
+ 2ea   :  708f ; 
+ 2eb   :  70aa ; 
+ 2ec   :  70c5 ; 
+ 2ed   :  70e1 ; 
+ 2ee   :  70fc ; 
+ 2ef   :  7117 ; 
+ 2f0   :  7132 ; 
+ 2f1   :  714d ; 
+ 2f2   :  7167 ; 
+ 2f3   :  7182 ; 
+ 2f4   :  719d ; 
+ 2f5   :  71b7 ; 
+ 2f6   :  71d1 ; 
+ 2f7   :  71ec ; 
+ 2f8   :  7206 ; 
+ 2f9   :  7220 ; 
+ 2fa   :  723a ; 
+ 2fb   :  7254 ; 
+ 2fc   :  726e ; 
+ 2fd   :  7288 ; 
+ 2fe   :  72a2 ; 
+ 2ff   :  72bb ; 
+ 300   :  72d5 ; 
+ 301   :  72ee ; 
+ 302   :  7308 ; 
+ 303   :  7321 ; 
+ 304   :  733a ; 
+ 305   :  7353 ; 
+ 306   :  736d ; 
+ 307   :  7385 ; 
+ 308   :  739e ; 
+ 309   :  73b7 ; 
+ 30a   :  73d0 ; 
+ 30b   :  73e8 ; 
+ 30c   :  7401 ; 
+ 30d   :  7419 ; 
+ 30e   :  7432 ; 
+ 30f   :  744a ; 
+ 310   :  7462 ; 
+ 311   :  747a ; 
+ 312   :  7492 ; 
+ 313   :  74aa ; 
+ 314   :  74c2 ; 
+ 315   :  74da ; 
+ 316   :  74f1 ; 
+ 317   :  7509 ; 
+ 318   :  7520 ; 
+ 319   :  7537 ; 
+ 31a   :  754f ; 
+ 31b   :  7566 ; 
+ 31c   :  757d ; 
+ 31d   :  7594 ; 
+ 31e   :  75ab ; 
+ 31f   :  75c2 ; 
+ 320   :  75d8 ; 
+ 321   :  75ef ; 
+ 322   :  7606 ; 
+ 323   :  761c ; 
+ 324   :  7632 ; 
+ 325   :  7649 ; 
+ 326   :  765f ; 
+ 327   :  7675 ; 
+ 328   :  768b ; 
+ 329   :  76a1 ; 
+ 32a   :  76b7 ; 
+ 32b   :  76cc ; 
+ 32c   :  76e2 ; 
+ 32d   :  76f7 ; 
+ 32e   :  770d ; 
+ 32f   :  7722 ; 
+ 330   :  7737 ; 
+ 331   :  774d ; 
+ 332   :  7762 ; 
+ 333   :  7777 ; 
+ 334   :  778b ; 
+ 335   :  77a0 ; 
+ 336   :  77b5 ; 
+ 337   :  77ca ; 
+ 338   :  77de ; 
+ 339   :  77f2 ; 
+ 33a   :  7807 ; 
+ 33b   :  781b ; 
+ 33c   :  782f ; 
+ 33d   :  7843 ; 
+ 33e   :  7857 ; 
+ 33f   :  786b ; 
+ 340   :  787f ; 
+ 341   :  7892 ; 
+ 342   :  78a6 ; 
+ 343   :  78b9 ; 
+ 344   :  78cd ; 
+ 345   :  78e0 ; 
+ 346   :  78f3 ; 
+ 347   :  7906 ; 
+ 348   :  7919 ; 
+ 349   :  792c ; 
+ 34a   :  793f ; 
+ 34b   :  7951 ; 
+ 34c   :  7964 ; 
+ 34d   :  7976 ; 
+ 34e   :  7989 ; 
+ 34f   :  799b ; 
+ 350   :  79ad ; 
+ 351   :  79bf ; 
+ 352   :  79d1 ; 
+ 353   :  79e3 ; 
+ 354   :  79f5 ; 
+ 355   :  7a07 ; 
+ 356   :  7a18 ; 
+ 357   :  7a2a ; 
+ 358   :  7a3b ; 
+ 359   :  7a4d ; 
+ 35a   :  7a5e ; 
+ 35b   :  7a6f ; 
+ 35c   :  7a80 ; 
+ 35d   :  7a91 ; 
+ 35e   :  7aa2 ; 
+ 35f   :  7ab3 ; 
+ 360   :  7ac3 ; 
+ 361   :  7ad4 ; 
+ 362   :  7ae4 ; 
+ 363   :  7af5 ; 
+ 364   :  7b05 ; 
+ 365   :  7b15 ; 
+ 366   :  7b25 ; 
+ 367   :  7b35 ; 
+ 368   :  7b45 ; 
+ 369   :  7b55 ; 
+ 36a   :  7b64 ; 
+ 36b   :  7b74 ; 
+ 36c   :  7b83 ; 
+ 36d   :  7b93 ; 
+ 36e   :  7ba2 ; 
+ 36f   :  7bb1 ; 
+ 370   :  7bc0 ; 
+ 371   :  7bcf ; 
+ 372   :  7bde ; 
+ 373   :  7bec ; 
+ 374   :  7bfb ; 
+ 375   :  7c0a ; 
+ 376   :  7c18 ; 
+ 377   :  7c26 ; 
+ 378   :  7c35 ; 
+ 379   :  7c43 ; 
+ 37a   :  7c51 ; 
+ 37b   :  7c5f ; 
+ 37c   :  7c6d ; 
+ 37d   :  7c7a ; 
+ 37e   :  7c88 ; 
+ 37f   :  7c96 ; 
+ 380   :  7ca3 ; 
+ 381   :  7cb0 ; 
+ 382   :  7cbe ; 
+ 383   :  7ccb ; 
+ 384   :  7cd8 ; 
+ 385   :  7ce5 ; 
+ 386   :  7cf1 ; 
+ 387   :  7cfe ; 
+ 388   :  7d0b ; 
+ 389   :  7d17 ; 
+ 38a   :  7d24 ; 
+ 38b   :  7d30 ; 
+ 38c   :  7d3c ; 
+ 38d   :  7d48 ; 
+ 38e   :  7d54 ; 
+ 38f   :  7d60 ; 
+ 390   :  7d6c ; 
+ 391   :  7d78 ; 
+ 392   :  7d83 ; 
+ 393   :  7d8f ; 
+ 394   :  7d9a ; 
+ 395   :  7da5 ; 
+ 396   :  7db1 ; 
+ 397   :  7dbc ; 
+ 398   :  7dc7 ; 
+ 399   :  7dd2 ; 
+ 39a   :  7ddc ; 
+ 39b   :  7de7 ; 
+ 39c   :  7df2 ; 
+ 39d   :  7dfc ; 
+ 39e   :  7e06 ; 
+ 39f   :  7e11 ; 
+ 3a0   :  7e1b ; 
+ 3a1   :  7e25 ; 
+ 3a2   :  7e2f ; 
+ 3a3   :  7e39 ; 
+ 3a4   :  7e42 ; 
+ 3a5   :  7e4c ; 
+ 3a6   :  7e55 ; 
+ 3a7   :  7e5f ; 
+ 3a8   :  7e68 ; 
+ 3a9   :  7e71 ; 
+ 3aa   :  7e7b ; 
+ 3ab   :  7e84 ; 
+ 3ac   :  7e8c ; 
+ 3ad   :  7e95 ; 
+ 3ae   :  7e9e ; 
+ 3af   :  7ea6 ; 
+ 3b0   :  7eaf ; 
+ 3b1   :  7eb7 ; 
+ 3b2   :  7ec0 ; 
+ 3b3   :  7ec8 ; 
+ 3b4   :  7ed0 ; 
+ 3b5   :  7ed8 ; 
+ 3b6   :  7ee0 ; 
+ 3b7   :  7ee7 ; 
+ 3b8   :  7eef ; 
+ 3b9   :  7ef7 ; 
+ 3ba   :  7efe ; 
+ 3bb   :  7f05 ; 
+ 3bc   :  7f0d ; 
+ 3bd   :  7f14 ; 
+ 3be   :  7f1b ; 
+ 3bf   :  7f22 ; 
+ 3c0   :  7f28 ; 
+ 3c1   :  7f2f ; 
+ 3c2   :  7f36 ; 
+ 3c3   :  7f3c ; 
+ 3c4   :  7f43 ; 
+ 3c5   :  7f49 ; 
+ 3c6   :  7f4f ; 
+ 3c7   :  7f55 ; 
+ 3c8   :  7f5b ; 
+ 3c9   :  7f61 ; 
+ 3ca   :  7f67 ; 
+ 3cb   :  7f6c ; 
+ 3cc   :  7f72 ; 
+ 3cd   :  7f77 ; 
+ 3ce   :  7f7c ; 
+ 3cf   :  7f82 ; 
+ 3d0   :  7f87 ; 
+ 3d1   :  7f8c ; 
+ 3d2   :  7f91 ; 
+ 3d3   :  7f95 ; 
+ 3d4   :  7f9a ; 
+ 3d5   :  7f9f ; 
+ 3d6   :  7fa3 ; 
+ 3d7   :  7fa8 ; 
+ 3d8   :  7fac ; 
+ 3d9   :  7fb0 ; 
+ 3da   :  7fb4 ; 
+ 3db   :  7fb8 ; 
+ 3dc   :  7fbc ; 
+ 3dd   :  7fc0 ; 
+ 3de   :  7fc3 ; 
+ 3df   :  7fc7 ; 
+ 3e0   :  7fca ; 
+ 3e1   :  7fcd ; 
+ 3e2   :  7fd1 ; 
+ 3e3   :  7fd4 ; 
+ 3e4   :  7fd7 ; 
+ 3e5   :  7fda ; 
+ 3e6   :  7fdc ; 
+ 3e7   :  7fdf ; 
+ 3e8   :  7fe2 ; 
+ 3e9   :  7fe4 ; 
+ 3ea   :  7fe6 ; 
+ 3eb   :  7fe9 ; 
+ 3ec   :  7feb ; 
+ 3ed   :  7fed ; 
+ 3ee   :  7fef ; 
+ 3ef   :  7ff0 ; 
+ 3f0   :  7ff2 ; 
+ 3f1   :  7ff4 ; 
+ 3f2   :  7ff5 ; 
+ 3f3   :  7ff7 ; 
+ 3f4   :  7ff8 ; 
+ 3f5   :  7ff9 ; 
+ 3f6   :  7ffa ; 
+ 3f7   :  7ffb ; 
+ 3f8   :  7ffc ; 
+ 3f9   :  7ffd ; 
+ 3fa   :  7ffd ; 
+ 3fb   :  7ffe ; 
+ 3fc   :  7ffe ; 
+ 3fd   :  7fff ; 
+ 3fe   :  7fff ; 
+ 3ff   :  7fff ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_9.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_9.mif
new file mode 100644
index 0000000000000000000000000000000000000000..28271d09caa09f28223e925f5d5c4a058b8653c5
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_16b_1wb_9.mif
@@ -0,0 +1,1030 @@
+WIDTH=16;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  107 ; 
+ 1   :  f9 ; 
+ 2   :  eb ; 
+ 3   :  dd ; 
+ 4   :  cf ; 
+ 5   :  c1 ; 
+ 6   :  b2 ; 
+ 7   :  a4 ; 
+ 8   :  96 ; 
+ 9   :  88 ; 
+ a   :  79 ; 
+ b   :  6b ; 
+ c   :  5d ; 
+ d   :  4e ; 
+ e   :  40 ; 
+ f   :  32 ; 
+ 10   :  23 ; 
+ 11   :  15 ; 
+ 12   :  7 ; 
+ 13   :  fff8 ; 
+ 14   :  ffea ; 
+ 15   :  ffdb ; 
+ 16   :  ffcd ; 
+ 17   :  ffbe ; 
+ 18   :  ffb0 ; 
+ 19   :  ffa1 ; 
+ 1a   :  ff93 ; 
+ 1b   :  ff84 ; 
+ 1c   :  ff76 ; 
+ 1d   :  ff67 ; 
+ 1e   :  ff58 ; 
+ 1f   :  ff4a ; 
+ 20   :  ff3b ; 
+ 21   :  ff2c ; 
+ 22   :  ff1e ; 
+ 23   :  ff0f ; 
+ 24   :  ff00 ; 
+ 25   :  fef2 ; 
+ 26   :  fee3 ; 
+ 27   :  fed4 ; 
+ 28   :  fec5 ; 
+ 29   :  feb7 ; 
+ 2a   :  fea8 ; 
+ 2b   :  fe99 ; 
+ 2c   :  fe8a ; 
+ 2d   :  fe7b ; 
+ 2e   :  fe6d ; 
+ 2f   :  fe5e ; 
+ 30   :  fe4f ; 
+ 31   :  fe40 ; 
+ 32   :  fe31 ; 
+ 33   :  fe22 ; 
+ 34   :  fe13 ; 
+ 35   :  fe04 ; 
+ 36   :  fdf5 ; 
+ 37   :  fde6 ; 
+ 38   :  fdd7 ; 
+ 39   :  fdc8 ; 
+ 3a   :  fdb9 ; 
+ 3b   :  fdaa ; 
+ 3c   :  fd9b ; 
+ 3d   :  fd8c ; 
+ 3e   :  fd7d ; 
+ 3f   :  fd6e ; 
+ 40   :  fd5f ; 
+ 41   :  fd50 ; 
+ 42   :  fd41 ; 
+ 43   :  fd32 ; 
+ 44   :  fd22 ; 
+ 45   :  fd13 ; 
+ 46   :  fd04 ; 
+ 47   :  fcf5 ; 
+ 48   :  fce6 ; 
+ 49   :  fcd7 ; 
+ 4a   :  fcc7 ; 
+ 4b   :  fcb8 ; 
+ 4c   :  fca9 ; 
+ 4d   :  fc9a ; 
+ 4e   :  fc8b ; 
+ 4f   :  fc7b ; 
+ 50   :  fc6c ; 
+ 51   :  fc5d ; 
+ 52   :  fc4e ; 
+ 53   :  fc3e ; 
+ 54   :  fc2f ; 
+ 55   :  fc20 ; 
+ 56   :  fc10 ; 
+ 57   :  fc01 ; 
+ 58   :  fbf2 ; 
+ 59   :  fbe2 ; 
+ 5a   :  fbd3 ; 
+ 5b   :  fbc4 ; 
+ 5c   :  fbb4 ; 
+ 5d   :  fba5 ; 
+ 5e   :  fb95 ; 
+ 5f   :  fb86 ; 
+ 60   :  fb77 ; 
+ 61   :  fb67 ; 
+ 62   :  fb58 ; 
+ 63   :  fb48 ; 
+ 64   :  fb39 ; 
+ 65   :  fb2a ; 
+ 66   :  fb1a ; 
+ 67   :  fb0b ; 
+ 68   :  fafb ; 
+ 69   :  faec ; 
+ 6a   :  fadc ; 
+ 6b   :  facd ; 
+ 6c   :  fabd ; 
+ 6d   :  faae ; 
+ 6e   :  fa9e ; 
+ 6f   :  fa8f ; 
+ 70   :  fa7f ; 
+ 71   :  fa70 ; 
+ 72   :  fa60 ; 
+ 73   :  fa51 ; 
+ 74   :  fa41 ; 
+ 75   :  fa32 ; 
+ 76   :  fa22 ; 
+ 77   :  fa13 ; 
+ 78   :  fa03 ; 
+ 79   :  f9f4 ; 
+ 7a   :  f9e4 ; 
+ 7b   :  f9d4 ; 
+ 7c   :  f9c5 ; 
+ 7d   :  f9b5 ; 
+ 7e   :  f9a6 ; 
+ 7f   :  f996 ; 
+ 80   :  f987 ; 
+ 81   :  f977 ; 
+ 82   :  f967 ; 
+ 83   :  f958 ; 
+ 84   :  f948 ; 
+ 85   :  f939 ; 
+ 86   :  f929 ; 
+ 87   :  f919 ; 
+ 88   :  f90a ; 
+ 89   :  f8fa ; 
+ 8a   :  f8eb ; 
+ 8b   :  f8db ; 
+ 8c   :  f8cb ; 
+ 8d   :  f8bc ; 
+ 8e   :  f8ac ; 
+ 8f   :  f89d ; 
+ 90   :  f88d ; 
+ 91   :  f87d ; 
+ 92   :  f86e ; 
+ 93   :  f85e ; 
+ 94   :  f84f ; 
+ 95   :  f83f ; 
+ 96   :  f82f ; 
+ 97   :  f820 ; 
+ 98   :  f810 ; 
+ 99   :  f800 ; 
+ 9a   :  f7f1 ; 
+ 9b   :  f7e1 ; 
+ 9c   :  f7d2 ; 
+ 9d   :  f7c2 ; 
+ 9e   :  f7b2 ; 
+ 9f   :  f7a3 ; 
+ a0   :  f793 ; 
+ a1   :  f784 ; 
+ a2   :  f774 ; 
+ a3   :  f764 ; 
+ a4   :  f755 ; 
+ a5   :  f745 ; 
+ a6   :  f735 ; 
+ a7   :  f726 ; 
+ a8   :  f716 ; 
+ a9   :  f707 ; 
+ aa   :  f6f7 ; 
+ ab   :  f6e8 ; 
+ ac   :  f6d8 ; 
+ ad   :  f6c8 ; 
+ ae   :  f6b9 ; 
+ af   :  f6a9 ; 
+ b0   :  f69a ; 
+ b1   :  f68a ; 
+ b2   :  f67a ; 
+ b3   :  f66b ; 
+ b4   :  f65b ; 
+ b5   :  f64c ; 
+ b6   :  f63c ; 
+ b7   :  f62d ; 
+ b8   :  f61d ; 
+ b9   :  f60e ; 
+ ba   :  f5fe ; 
+ bb   :  f5ef ; 
+ bc   :  f5df ; 
+ bd   :  f5d0 ; 
+ be   :  f5c0 ; 
+ bf   :  f5b1 ; 
+ c0   :  f5a1 ; 
+ c1   :  f592 ; 
+ c2   :  f582 ; 
+ c3   :  f573 ; 
+ c4   :  f563 ; 
+ c5   :  f554 ; 
+ c6   :  f544 ; 
+ c7   :  f535 ; 
+ c8   :  f525 ; 
+ c9   :  f516 ; 
+ ca   :  f506 ; 
+ cb   :  f4f7 ; 
+ cc   :  f4e8 ; 
+ cd   :  f4d8 ; 
+ ce   :  f4c9 ; 
+ cf   :  f4b9 ; 
+ d0   :  f4aa ; 
+ d1   :  f49b ; 
+ d2   :  f48b ; 
+ d3   :  f47c ; 
+ d4   :  f46d ; 
+ d5   :  f45d ; 
+ d6   :  f44e ; 
+ d7   :  f43f ; 
+ d8   :  f42f ; 
+ d9   :  f420 ; 
+ da   :  f411 ; 
+ db   :  f401 ; 
+ dc   :  f3f2 ; 
+ dd   :  f3e3 ; 
+ de   :  f3d4 ; 
+ df   :  f3c4 ; 
+ e0   :  f3b5 ; 
+ e1   :  f3a6 ; 
+ e2   :  f397 ; 
+ e3   :  f388 ; 
+ e4   :  f378 ; 
+ e5   :  f369 ; 
+ e6   :  f35a ; 
+ e7   :  f34b ; 
+ e8   :  f33c ; 
+ e9   :  f32d ; 
+ ea   :  f31e ; 
+ eb   :  f30e ; 
+ ec   :  f2ff ; 
+ ed   :  f2f0 ; 
+ ee   :  f2e1 ; 
+ ef   :  f2d2 ; 
+ f0   :  f2c3 ; 
+ f1   :  f2b4 ; 
+ f2   :  f2a5 ; 
+ f3   :  f296 ; 
+ f4   :  f287 ; 
+ f5   :  f278 ; 
+ f6   :  f269 ; 
+ f7   :  f25a ; 
+ f8   :  f24b ; 
+ f9   :  f23c ; 
+ fa   :  f22e ; 
+ fb   :  f21f ; 
+ fc   :  f210 ; 
+ fd   :  f201 ; 
+ fe   :  f1f2 ; 
+ ff   :  f1e3 ; 
+ 100   :  f1d5 ; 
+ 101   :  f1c6 ; 
+ 102   :  f1b7 ; 
+ 103   :  f1a8 ; 
+ 104   :  f19a ; 
+ 105   :  f18b ; 
+ 106   :  f17c ; 
+ 107   :  f16d ; 
+ 108   :  f15f ; 
+ 109   :  f150 ; 
+ 10a   :  f141 ; 
+ 10b   :  f133 ; 
+ 10c   :  f124 ; 
+ 10d   :  f116 ; 
+ 10e   :  f107 ; 
+ 10f   :  f0f9 ; 
+ 110   :  f0ea ; 
+ 111   :  f0dc ; 
+ 112   :  f0cd ; 
+ 113   :  f0bf ; 
+ 114   :  f0b0 ; 
+ 115   :  f0a2 ; 
+ 116   :  f093 ; 
+ 117   :  f085 ; 
+ 118   :  f077 ; 
+ 119   :  f068 ; 
+ 11a   :  f05a ; 
+ 11b   :  f04c ; 
+ 11c   :  f03d ; 
+ 11d   :  f02f ; 
+ 11e   :  f021 ; 
+ 11f   :  f013 ; 
+ 120   :  f004 ; 
+ 121   :  eff6 ; 
+ 122   :  efe8 ; 
+ 123   :  efda ; 
+ 124   :  efcc ; 
+ 125   :  efbe ; 
+ 126   :  efb0 ; 
+ 127   :  efa1 ; 
+ 128   :  ef93 ; 
+ 129   :  ef85 ; 
+ 12a   :  ef77 ; 
+ 12b   :  ef69 ; 
+ 12c   :  ef5b ; 
+ 12d   :  ef4e ; 
+ 12e   :  ef40 ; 
+ 12f   :  ef32 ; 
+ 130   :  ef24 ; 
+ 131   :  ef16 ; 
+ 132   :  ef08 ; 
+ 133   :  eefb ; 
+ 134   :  eeed ; 
+ 135   :  eedf ; 
+ 136   :  eed1 ; 
+ 137   :  eec4 ; 
+ 138   :  eeb6 ; 
+ 139   :  eea8 ; 
+ 13a   :  ee9b ; 
+ 13b   :  ee8d ; 
+ 13c   :  ee80 ; 
+ 13d   :  ee72 ; 
+ 13e   :  ee65 ; 
+ 13f   :  ee57 ; 
+ 140   :  ee4a ; 
+ 141   :  ee3c ; 
+ 142   :  ee2f ; 
+ 143   :  ee21 ; 
+ 144   :  ee14 ; 
+ 145   :  ee07 ; 
+ 146   :  edf9 ; 
+ 147   :  edec ; 
+ 148   :  eddf ; 
+ 149   :  edd2 ; 
+ 14a   :  edc4 ; 
+ 14b   :  edb7 ; 
+ 14c   :  edaa ; 
+ 14d   :  ed9d ; 
+ 14e   :  ed90 ; 
+ 14f   :  ed83 ; 
+ 150   :  ed76 ; 
+ 151   :  ed69 ; 
+ 152   :  ed5c ; 
+ 153   :  ed4f ; 
+ 154   :  ed42 ; 
+ 155   :  ed35 ; 
+ 156   :  ed28 ; 
+ 157   :  ed1c ; 
+ 158   :  ed0f ; 
+ 159   :  ed02 ; 
+ 15a   :  ecf5 ; 
+ 15b   :  ece9 ; 
+ 15c   :  ecdc ; 
+ 15d   :  eccf ; 
+ 15e   :  ecc3 ; 
+ 15f   :  ecb6 ; 
+ 160   :  ecaa ; 
+ 161   :  ec9d ; 
+ 162   :  ec91 ; 
+ 163   :  ec84 ; 
+ 164   :  ec78 ; 
+ 165   :  ec6b ; 
+ 166   :  ec5f ; 
+ 167   :  ec53 ; 
+ 168   :  ec46 ; 
+ 169   :  ec3a ; 
+ 16a   :  ec2e ; 
+ 16b   :  ec22 ; 
+ 16c   :  ec16 ; 
+ 16d   :  ec09 ; 
+ 16e   :  ebfd ; 
+ 16f   :  ebf1 ; 
+ 170   :  ebe5 ; 
+ 171   :  ebd9 ; 
+ 172   :  ebcd ; 
+ 173   :  ebc1 ; 
+ 174   :  ebb5 ; 
+ 175   :  ebaa ; 
+ 176   :  eb9e ; 
+ 177   :  eb92 ; 
+ 178   :  eb86 ; 
+ 179   :  eb7b ; 
+ 17a   :  eb6f ; 
+ 17b   :  eb63 ; 
+ 17c   :  eb58 ; 
+ 17d   :  eb4c ; 
+ 17e   :  eb40 ; 
+ 17f   :  eb35 ; 
+ 180   :  eb2a ; 
+ 181   :  eb1e ; 
+ 182   :  eb13 ; 
+ 183   :  eb07 ; 
+ 184   :  eafc ; 
+ 185   :  eaf1 ; 
+ 186   :  eae5 ; 
+ 187   :  eada ; 
+ 188   :  eacf ; 
+ 189   :  eac4 ; 
+ 18a   :  eab9 ; 
+ 18b   :  eaae ; 
+ 18c   :  eaa3 ; 
+ 18d   :  ea98 ; 
+ 18e   :  ea8d ; 
+ 18f   :  ea82 ; 
+ 190   :  ea77 ; 
+ 191   :  ea6c ; 
+ 192   :  ea61 ; 
+ 193   :  ea57 ; 
+ 194   :  ea4c ; 
+ 195   :  ea41 ; 
+ 196   :  ea37 ; 
+ 197   :  ea2c ; 
+ 198   :  ea21 ; 
+ 199   :  ea17 ; 
+ 19a   :  ea0c ; 
+ 19b   :  ea02 ; 
+ 19c   :  e9f8 ; 
+ 19d   :  e9ed ; 
+ 19e   :  e9e3 ; 
+ 19f   :  e9d9 ; 
+ 1a0   :  e9ce ; 
+ 1a1   :  e9c4 ; 
+ 1a2   :  e9ba ; 
+ 1a3   :  e9b0 ; 
+ 1a4   :  e9a6 ; 
+ 1a5   :  e99c ; 
+ 1a6   :  e992 ; 
+ 1a7   :  e988 ; 
+ 1a8   :  e97e ; 
+ 1a9   :  e974 ; 
+ 1aa   :  e96a ; 
+ 1ab   :  e961 ; 
+ 1ac   :  e957 ; 
+ 1ad   :  e94d ; 
+ 1ae   :  e944 ; 
+ 1af   :  e93a ; 
+ 1b0   :  e930 ; 
+ 1b1   :  e927 ; 
+ 1b2   :  e91d ; 
+ 1b3   :  e914 ; 
+ 1b4   :  e90b ; 
+ 1b5   :  e901 ; 
+ 1b6   :  e8f8 ; 
+ 1b7   :  e8ef ; 
+ 1b8   :  e8e5 ; 
+ 1b9   :  e8dc ; 
+ 1ba   :  e8d3 ; 
+ 1bb   :  e8ca ; 
+ 1bc   :  e8c1 ; 
+ 1bd   :  e8b8 ; 
+ 1be   :  e8af ; 
+ 1bf   :  e8a6 ; 
+ 1c0   :  e89d ; 
+ 1c1   :  e895 ; 
+ 1c2   :  e88c ; 
+ 1c3   :  e883 ; 
+ 1c4   :  e87a ; 
+ 1c5   :  e872 ; 
+ 1c6   :  e869 ; 
+ 1c7   :  e861 ; 
+ 1c8   :  e858 ; 
+ 1c9   :  e850 ; 
+ 1ca   :  e847 ; 
+ 1cb   :  e83f ; 
+ 1cc   :  e837 ; 
+ 1cd   :  e82f ; 
+ 1ce   :  e826 ; 
+ 1cf   :  e81e ; 
+ 1d0   :  e816 ; 
+ 1d1   :  e80e ; 
+ 1d2   :  e806 ; 
+ 1d3   :  e7fe ; 
+ 1d4   :  e7f6 ; 
+ 1d5   :  e7ee ; 
+ 1d6   :  e7e7 ; 
+ 1d7   :  e7df ; 
+ 1d8   :  e7d7 ; 
+ 1d9   :  e7cf ; 
+ 1da   :  e7c8 ; 
+ 1db   :  e7c0 ; 
+ 1dc   :  e7b9 ; 
+ 1dd   :  e7b1 ; 
+ 1de   :  e7aa ; 
+ 1df   :  e7a2 ; 
+ 1e0   :  e79b ; 
+ 1e1   :  e794 ; 
+ 1e2   :  e78d ; 
+ 1e3   :  e786 ; 
+ 1e4   :  e77e ; 
+ 1e5   :  e777 ; 
+ 1e6   :  e770 ; 
+ 1e7   :  e769 ; 
+ 1e8   :  e762 ; 
+ 1e9   :  e75c ; 
+ 1ea   :  e755 ; 
+ 1eb   :  e74e ; 
+ 1ec   :  e747 ; 
+ 1ed   :  e741 ; 
+ 1ee   :  e73a ; 
+ 1ef   :  e734 ; 
+ 1f0   :  e72d ; 
+ 1f1   :  e727 ; 
+ 1f2   :  e720 ; 
+ 1f3   :  e71a ; 
+ 1f4   :  e714 ; 
+ 1f5   :  e70d ; 
+ 1f6   :  e707 ; 
+ 1f7   :  e701 ; 
+ 1f8   :  e6fb ; 
+ 1f9   :  e6f5 ; 
+ 1fa   :  e6ef ; 
+ 1fb   :  e6e9 ; 
+ 1fc   :  e6e3 ; 
+ 1fd   :  e6de ; 
+ 1fe   :  e6d8 ; 
+ 1ff   :  e6d2 ; 
+ 200   :  e6cc ; 
+ 201   :  e6c7 ; 
+ 202   :  e6c1 ; 
+ 203   :  e6bc ; 
+ 204   :  e6b6 ; 
+ 205   :  e6b1 ; 
+ 206   :  e6ac ; 
+ 207   :  e6a7 ; 
+ 208   :  e6a1 ; 
+ 209   :  e69c ; 
+ 20a   :  e697 ; 
+ 20b   :  e692 ; 
+ 20c   :  e68d ; 
+ 20d   :  e688 ; 
+ 20e   :  e683 ; 
+ 20f   :  e67f ; 
+ 210   :  e67a ; 
+ 211   :  e675 ; 
+ 212   :  e671 ; 
+ 213   :  e66c ; 
+ 214   :  e667 ; 
+ 215   :  e663 ; 
+ 216   :  e65f ; 
+ 217   :  e65a ; 
+ 218   :  e656 ; 
+ 219   :  e652 ; 
+ 21a   :  e64e ; 
+ 21b   :  e649 ; 
+ 21c   :  e645 ; 
+ 21d   :  e641 ; 
+ 21e   :  e63d ; 
+ 21f   :  e63a ; 
+ 220   :  e636 ; 
+ 221   :  e632 ; 
+ 222   :  e62e ; 
+ 223   :  e62b ; 
+ 224   :  e627 ; 
+ 225   :  e623 ; 
+ 226   :  e620 ; 
+ 227   :  e61d ; 
+ 228   :  e619 ; 
+ 229   :  e616 ; 
+ 22a   :  e613 ; 
+ 22b   :  e610 ; 
+ 22c   :  e60c ; 
+ 22d   :  e609 ; 
+ 22e   :  e606 ; 
+ 22f   :  e604 ; 
+ 230   :  e601 ; 
+ 231   :  e5fe ; 
+ 232   :  e5fb ; 
+ 233   :  e5f8 ; 
+ 234   :  e5f6 ; 
+ 235   :  e5f3 ; 
+ 236   :  e5f1 ; 
+ 237   :  e5ee ; 
+ 238   :  e5ec ; 
+ 239   :  e5ea ; 
+ 23a   :  e5e7 ; 
+ 23b   :  e5e5 ; 
+ 23c   :  e5e3 ; 
+ 23d   :  e5e1 ; 
+ 23e   :  e5df ; 
+ 23f   :  e5dd ; 
+ 240   :  e5db ; 
+ 241   :  e5d9 ; 
+ 242   :  e5d7 ; 
+ 243   :  e5d6 ; 
+ 244   :  e5d4 ; 
+ 245   :  e5d3 ; 
+ 246   :  e5d1 ; 
+ 247   :  e5d0 ; 
+ 248   :  e5ce ; 
+ 249   :  e5cd ; 
+ 24a   :  e5cc ; 
+ 24b   :  e5ca ; 
+ 24c   :  e5c9 ; 
+ 24d   :  e5c8 ; 
+ 24e   :  e5c7 ; 
+ 24f   :  e5c6 ; 
+ 250   :  e5c5 ; 
+ 251   :  e5c5 ; 
+ 252   :  e5c4 ; 
+ 253   :  e5c3 ; 
+ 254   :  e5c3 ; 
+ 255   :  e5c2 ; 
+ 256   :  e5c2 ; 
+ 257   :  e5c1 ; 
+ 258   :  e5c1 ; 
+ 259   :  e5c0 ; 
+ 25a   :  e5c0 ; 
+ 25b   :  e5c0 ; 
+ 25c   :  e5c0 ; 
+ 25d   :  e5c0 ; 
+ 25e   :  e5c0 ; 
+ 25f   :  e5c0 ; 
+ 260   :  e5c0 ; 
+ 261   :  e5c1 ; 
+ 262   :  e5c1 ; 
+ 263   :  e5c1 ; 
+ 264   :  e5c2 ; 
+ 265   :  e5c2 ; 
+ 266   :  e5c3 ; 
+ 267   :  e5c3 ; 
+ 268   :  e5c4 ; 
+ 269   :  e5c5 ; 
+ 26a   :  e5c6 ; 
+ 26b   :  e5c6 ; 
+ 26c   :  e5c7 ; 
+ 26d   :  e5c8 ; 
+ 26e   :  e5ca ; 
+ 26f   :  e5cb ; 
+ 270   :  e5cc ; 
+ 271   :  e5cd ; 
+ 272   :  e5cf ; 
+ 273   :  e5d0 ; 
+ 274   :  e5d2 ; 
+ 275   :  e5d3 ; 
+ 276   :  e5d5 ; 
+ 277   :  e5d6 ; 
+ 278   :  e5d8 ; 
+ 279   :  e5da ; 
+ 27a   :  e5dc ; 
+ 27b   :  e5de ; 
+ 27c   :  e5e0 ; 
+ 27d   :  e5e2 ; 
+ 27e   :  e5e4 ; 
+ 27f   :  e5e7 ; 
+ 280   :  e5e9 ; 
+ 281   :  e5eb ; 
+ 282   :  e5ee ; 
+ 283   :  e5f0 ; 
+ 284   :  e5f3 ; 
+ 285   :  e5f6 ; 
+ 286   :  e5f8 ; 
+ 287   :  e5fb ; 
+ 288   :  e5fe ; 
+ 289   :  e601 ; 
+ 28a   :  e604 ; 
+ 28b   :  e607 ; 
+ 28c   :  e60a ; 
+ 28d   :  e60d ; 
+ 28e   :  e611 ; 
+ 28f   :  e614 ; 
+ 290   :  e617 ; 
+ 291   :  e61b ; 
+ 292   :  e61e ; 
+ 293   :  e622 ; 
+ 294   :  e626 ; 
+ 295   :  e62a ; 
+ 296   :  e62d ; 
+ 297   :  e631 ; 
+ 298   :  e635 ; 
+ 299   :  e639 ; 
+ 29a   :  e63e ; 
+ 29b   :  e642 ; 
+ 29c   :  e646 ; 
+ 29d   :  e64a ; 
+ 29e   :  e64f ; 
+ 29f   :  e653 ; 
+ 2a0   :  e658 ; 
+ 2a1   :  e65d ; 
+ 2a2   :  e661 ; 
+ 2a3   :  e666 ; 
+ 2a4   :  e66b ; 
+ 2a5   :  e670 ; 
+ 2a6   :  e675 ; 
+ 2a7   :  e67a ; 
+ 2a8   :  e67f ; 
+ 2a9   :  e684 ; 
+ 2aa   :  e68a ; 
+ 2ab   :  e68f ; 
+ 2ac   :  e694 ; 
+ 2ad   :  e69a ; 
+ 2ae   :  e69f ; 
+ 2af   :  e6a5 ; 
+ 2b0   :  e6ab ; 
+ 2b1   :  e6b1 ; 
+ 2b2   :  e6b6 ; 
+ 2b3   :  e6bc ; 
+ 2b4   :  e6c2 ; 
+ 2b5   :  e6c8 ; 
+ 2b6   :  e6cf ; 
+ 2b7   :  e6d5 ; 
+ 2b8   :  e6db ; 
+ 2b9   :  e6e1 ; 
+ 2ba   :  e6e8 ; 
+ 2bb   :  e6ee ; 
+ 2bc   :  e6f5 ; 
+ 2bd   :  e6fc ; 
+ 2be   :  e702 ; 
+ 2bf   :  e709 ; 
+ 2c0   :  e710 ; 
+ 2c1   :  e717 ; 
+ 2c2   :  e71e ; 
+ 2c3   :  e725 ; 
+ 2c4   :  e72c ; 
+ 2c5   :  e734 ; 
+ 2c6   :  e73b ; 
+ 2c7   :  e742 ; 
+ 2c8   :  e74a ; 
+ 2c9   :  e751 ; 
+ 2ca   :  e759 ; 
+ 2cb   :  e761 ; 
+ 2cc   :  e768 ; 
+ 2cd   :  e770 ; 
+ 2ce   :  e778 ; 
+ 2cf   :  e780 ; 
+ 2d0   :  e788 ; 
+ 2d1   :  e790 ; 
+ 2d2   :  e799 ; 
+ 2d3   :  e7a1 ; 
+ 2d4   :  e7a9 ; 
+ 2d5   :  e7b2 ; 
+ 2d6   :  e7ba ; 
+ 2d7   :  e7c3 ; 
+ 2d8   :  e7cc ; 
+ 2d9   :  e7d4 ; 
+ 2da   :  e7dd ; 
+ 2db   :  e7e6 ; 
+ 2dc   :  e7ef ; 
+ 2dd   :  e7f8 ; 
+ 2de   :  e801 ; 
+ 2df   :  e80a ; 
+ 2e0   :  e814 ; 
+ 2e1   :  e81d ; 
+ 2e2   :  e826 ; 
+ 2e3   :  e830 ; 
+ 2e4   :  e839 ; 
+ 2e5   :  e843 ; 
+ 2e6   :  e84d ; 
+ 2e7   :  e857 ; 
+ 2e8   :  e860 ; 
+ 2e9   :  e86a ; 
+ 2ea   :  e874 ; 
+ 2eb   :  e87e ; 
+ 2ec   :  e889 ; 
+ 2ed   :  e893 ; 
+ 2ee   :  e89d ; 
+ 2ef   :  e8a8 ; 
+ 2f0   :  e8b2 ; 
+ 2f1   :  e8bd ; 
+ 2f2   :  e8c7 ; 
+ 2f3   :  e8d2 ; 
+ 2f4   :  e8dd ; 
+ 2f5   :  e8e8 ; 
+ 2f6   :  e8f3 ; 
+ 2f7   :  e8fe ; 
+ 2f8   :  e909 ; 
+ 2f9   :  e914 ; 
+ 2fa   :  e91f ; 
+ 2fb   :  e92a ; 
+ 2fc   :  e936 ; 
+ 2fd   :  e941 ; 
+ 2fe   :  e94d ; 
+ 2ff   :  e958 ; 
+ 300   :  e964 ; 
+ 301   :  e970 ; 
+ 302   :  e97c ; 
+ 303   :  e988 ; 
+ 304   :  e994 ; 
+ 305   :  e9a0 ; 
+ 306   :  e9ac ; 
+ 307   :  e9b8 ; 
+ 308   :  e9c4 ; 
+ 309   :  e9d1 ; 
+ 30a   :  e9dd ; 
+ 30b   :  e9ea ; 
+ 30c   :  e9f6 ; 
+ 30d   :  ea03 ; 
+ 30e   :  ea10 ; 
+ 30f   :  ea1d ; 
+ 310   :  ea2a ; 
+ 311   :  ea37 ; 
+ 312   :  ea44 ; 
+ 313   :  ea51 ; 
+ 314   :  ea5e ; 
+ 315   :  ea6b ; 
+ 316   :  ea79 ; 
+ 317   :  ea86 ; 
+ 318   :  ea94 ; 
+ 319   :  eaa1 ; 
+ 31a   :  eaaf ; 
+ 31b   :  eabd ; 
+ 31c   :  eacb ; 
+ 31d   :  ead9 ; 
+ 31e   :  eae7 ; 
+ 31f   :  eaf5 ; 
+ 320   :  eb03 ; 
+ 321   :  eb11 ; 
+ 322   :  eb1f ; 
+ 323   :  eb2e ; 
+ 324   :  eb3c ; 
+ 325   :  eb4b ; 
+ 326   :  eb59 ; 
+ 327   :  eb68 ; 
+ 328   :  eb77 ; 
+ 329   :  eb86 ; 
+ 32a   :  eb95 ; 
+ 32b   :  eba4 ; 
+ 32c   :  ebb3 ; 
+ 32d   :  ebc2 ; 
+ 32e   :  ebd1 ; 
+ 32f   :  ebe0 ; 
+ 330   :  ebf0 ; 
+ 331   :  ebff ; 
+ 332   :  ec0f ; 
+ 333   :  ec1e ; 
+ 334   :  ec2e ; 
+ 335   :  ec3e ; 
+ 336   :  ec4e ; 
+ 337   :  ec5e ; 
+ 338   :  ec6e ; 
+ 339   :  ec7e ; 
+ 33a   :  ec8e ; 
+ 33b   :  ec9e ; 
+ 33c   :  ecae ; 
+ 33d   :  ecbf ; 
+ 33e   :  eccf ; 
+ 33f   :  ece0 ; 
+ 340   :  ecf0 ; 
+ 341   :  ed01 ; 
+ 342   :  ed12 ; 
+ 343   :  ed23 ; 
+ 344   :  ed34 ; 
+ 345   :  ed45 ; 
+ 346   :  ed56 ; 
+ 347   :  ed67 ; 
+ 348   :  ed78 ; 
+ 349   :  ed89 ; 
+ 34a   :  ed9b ; 
+ 34b   :  edac ; 
+ 34c   :  edbe ; 
+ 34d   :  edd0 ; 
+ 34e   :  ede1 ; 
+ 34f   :  edf3 ; 
+ 350   :  ee05 ; 
+ 351   :  ee17 ; 
+ 352   :  ee29 ; 
+ 353   :  ee3b ; 
+ 354   :  ee4d ; 
+ 355   :  ee5f ; 
+ 356   :  ee72 ; 
+ 357   :  ee84 ; 
+ 358   :  ee96 ; 
+ 359   :  eea9 ; 
+ 35a   :  eebc ; 
+ 35b   :  eece ; 
+ 35c   :  eee1 ; 
+ 35d   :  eef4 ; 
+ 35e   :  ef07 ; 
+ 35f   :  ef1a ; 
+ 360   :  ef2d ; 
+ 361   :  ef40 ; 
+ 362   :  ef53 ; 
+ 363   :  ef67 ; 
+ 364   :  ef7a ; 
+ 365   :  ef8e ; 
+ 366   :  efa1 ; 
+ 367   :  efb5 ; 
+ 368   :  efc9 ; 
+ 369   :  efdc ; 
+ 36a   :  eff0 ; 
+ 36b   :  f004 ; 
+ 36c   :  f018 ; 
+ 36d   :  f02c ; 
+ 36e   :  f040 ; 
+ 36f   :  f055 ; 
+ 370   :  f069 ; 
+ 371   :  f07d ; 
+ 372   :  f092 ; 
+ 373   :  f0a6 ; 
+ 374   :  f0bb ; 
+ 375   :  f0d0 ; 
+ 376   :  f0e4 ; 
+ 377   :  f0f9 ; 
+ 378   :  f10e ; 
+ 379   :  f123 ; 
+ 37a   :  f138 ; 
+ 37b   :  f14d ; 
+ 37c   :  f163 ; 
+ 37d   :  f178 ; 
+ 37e   :  f18d ; 
+ 37f   :  f1a3 ; 
+ 380   :  f1b8 ; 
+ 381   :  f1ce ; 
+ 382   :  f1e4 ; 
+ 383   :  f1f9 ; 
+ 384   :  f20f ; 
+ 385   :  f225 ; 
+ 386   :  f23b ; 
+ 387   :  f251 ; 
+ 388   :  f267 ; 
+ 389   :  f27e ; 
+ 38a   :  f294 ; 
+ 38b   :  f2aa ; 
+ 38c   :  f2c1 ; 
+ 38d   :  f2d7 ; 
+ 38e   :  f2ee ; 
+ 38f   :  f304 ; 
+ 390   :  f31b ; 
+ 391   :  f332 ; 
+ 392   :  f349 ; 
+ 393   :  f360 ; 
+ 394   :  f377 ; 
+ 395   :  f38e ; 
+ 396   :  f3a5 ; 
+ 397   :  f3bd ; 
+ 398   :  f3d4 ; 
+ 399   :  f3eb ; 
+ 39a   :  f403 ; 
+ 39b   :  f41a ; 
+ 39c   :  f432 ; 
+ 39d   :  f44a ; 
+ 39e   :  f461 ; 
+ 39f   :  f479 ; 
+ 3a0   :  f491 ; 
+ 3a1   :  f4a9 ; 
+ 3a2   :  f4c1 ; 
+ 3a3   :  f4da ; 
+ 3a4   :  f4f2 ; 
+ 3a5   :  f50a ; 
+ 3a6   :  f523 ; 
+ 3a7   :  f53b ; 
+ 3a8   :  f554 ; 
+ 3a9   :  f56c ; 
+ 3aa   :  f585 ; 
+ 3ab   :  f59e ; 
+ 3ac   :  f5b6 ; 
+ 3ad   :  f5cf ; 
+ 3ae   :  f5e8 ; 
+ 3af   :  f601 ; 
+ 3b0   :  f61a ; 
+ 3b1   :  f634 ; 
+ 3b2   :  f64d ; 
+ 3b3   :  f666 ; 
+ 3b4   :  f680 ; 
+ 3b5   :  f699 ; 
+ 3b6   :  f6b3 ; 
+ 3b7   :  f6cc ; 
+ 3b8   :  f6e6 ; 
+ 3b9   :  f700 ; 
+ 3ba   :  f71a ; 
+ 3bb   :  f734 ; 
+ 3bc   :  f74e ; 
+ 3bd   :  f768 ; 
+ 3be   :  f782 ; 
+ 3bf   :  f79c ; 
+ 3c0   :  f7b6 ; 
+ 3c1   :  f7d1 ; 
+ 3c2   :  f7eb ; 
+ 3c3   :  f806 ; 
+ 3c4   :  f820 ; 
+ 3c5   :  f83b ; 
+ 3c6   :  f856 ; 
+ 3c7   :  f870 ; 
+ 3c8   :  f88b ; 
+ 3c9   :  f8a6 ; 
+ 3ca   :  f8c1 ; 
+ 3cb   :  f8dc ; 
+ 3cc   :  f8f7 ; 
+ 3cd   :  f913 ; 
+ 3ce   :  f92e ; 
+ 3cf   :  f949 ; 
+ 3d0   :  f965 ; 
+ 3d1   :  f980 ; 
+ 3d2   :  f99c ; 
+ 3d3   :  f9b7 ; 
+ 3d4   :  f9d3 ; 
+ 3d5   :  f9ef ; 
+ 3d6   :  fa0b ; 
+ 3d7   :  fa27 ; 
+ 3d8   :  fa43 ; 
+ 3d9   :  fa5f ; 
+ 3da   :  fa7b ; 
+ 3db   :  fa97 ; 
+ 3dc   :  fab3 ; 
+ 3dd   :  fad0 ; 
+ 3de   :  faec ; 
+ 3df   :  fb09 ; 
+ 3e0   :  fb25 ; 
+ 3e1   :  fb42 ; 
+ 3e2   :  fb5f ; 
+ 3e3   :  fb7b ; 
+ 3e4   :  fb98 ; 
+ 3e5   :  fbb5 ; 
+ 3e6   :  fbd2 ; 
+ 3e7   :  fbef ; 
+ 3e8   :  fc0c ; 
+ 3e9   :  fc2a ; 
+ 3ea   :  fc47 ; 
+ 3eb   :  fc64 ; 
+ 3ec   :  fc81 ; 
+ 3ed   :  fc9f ; 
+ 3ee   :  fcbc ; 
+ 3ef   :  fcda ; 
+ 3f0   :  fcf8 ; 
+ 3f1   :  fd15 ; 
+ 3f2   :  fd33 ; 
+ 3f3   :  fd51 ; 
+ 3f4   :  fd6f ; 
+ 3f5   :  fd8d ; 
+ 3f6   :  fdab ; 
+ 3f7   :  fdc9 ; 
+ 3f8   :  fde8 ; 
+ 3f9   :  fe06 ; 
+ 3fa   :  fe24 ; 
+ 3fb   :  fe43 ; 
+ 3fc   :  fe61 ; 
+ 3fd   :  fe80 ; 
+ 3fe   :  fe9e ; 
+ 3ff   :  febd ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b.dat b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b.dat
new file mode 100644
index 0000000000000000000000000000000000000000..694e2ac9d2f8999c1e2fb0adeb2d6ec14f006783
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b.dat
@@ -0,0 +1,16384 @@
+161
+160
+158
+156
+152
+148
+143
+138
+132
+125
+118
+111
+104
+97
+90
+83
+76
+69
+63
+58
+53
+48
+44
+41
+38
+36
+34
+33
+32
+32
+33
+33
+34
+35
+37
+38
+40
+41
+43
+44
+46
+47
+48
+49
+50
+51
+51
+52
+52
+52
+52
+52
+52
+52
+51
+51
+51
+51
+51
+51
+51
+51
+52
+52
+53
+53
+54
+55
+55
+56
+57
+57
+58
+59
+60
+60
+61
+61
+62
+62
+63
+63
+64
+64
+64
+64
+65
+65
+65
+66
+66
+66
+66
+67
+67
+68
+68
+69
+69
+70
+71
+71
+72
+73
+73
+74
+74
+75
+76
+76
+77
+77
+78
+78
+79
+79
+80
+80
+81
+81
+81
+82
+82
+83
+83
+84
+84
+85
+85
+86
+87
+87
+88
+89
+89
+90
+91
+91
+92
+93
+93
+94
+94
+95
+96
+96
+97
+97
+98
+98
+99
+100
+100
+101
+101
+102
+102
+103
+104
+104
+105
+106
+106
+107
+108
+108
+109
+110
+110
+111
+112
+113
+113
+114
+115
+115
+116
+117
+117
+118
+119
+119
+120
+121
+121
+122
+123
+123
+124
+125
+125
+126
+127
+128
+128
+129
+130
+131
+131
+132
+133
+134
+135
+135
+136
+137
+138
+138
+139
+140
+141
+142
+142
+143
+144
+145
+145
+146
+147
+148
+148
+149
+150
+151
+152
+152
+153
+154
+155
+156
+157
+158
+158
+159
+160
+161
+162
+163
+164
+165
+165
+166
+167
+168
+169
+170
+171
+171
+172
+173
+174
+175
+176
+177
+178
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+247
+248
+249
+250
+251
+252
+253
+255
+256
+257
+258
+259
+260
+262
+263
+264
+265
+266
+267
+269
+270
+271
+272
+273
+274
+276
+277
+278
+279
+280
+282
+283
+284
+285
+287
+288
+289
+290
+292
+293
+294
+295
+297
+298
+299
+301
+302
+303
+304
+306
+307
+308
+309
+311
+312
+313
+315
+316
+317
+319
+320
+321
+323
+324
+325
+327
+328
+329
+331
+332
+333
+335
+336
+338
+339
+340
+342
+343
+344
+346
+347
+349
+350
+351
+353
+354
+356
+357
+358
+360
+361
+363
+364
+366
+367
+368
+370
+371
+373
+374
+376
+377
+379
+380
+382
+383
+385
+386
+388
+389
+391
+392
+394
+395
+397
+398
+400
+401
+403
+404
+406
+407
+409
+410
+412
+413
+415
+417
+418
+420
+421
+423
+424
+426
+428
+429
+431
+432
+434
+436
+437
+439
+440
+442
+444
+445
+447
+448
+450
+452
+453
+455
+457
+458
+460
+461
+463
+465
+466
+468
+470
+471
+473
+475
+477
+478
+480
+482
+483
+485
+487
+488
+490
+492
+494
+495
+497
+499
+501
+502
+504
+506
+507
+509
+511
+513
+514
+516
+518
+520
+522
+523
+525
+527
+529
+530
+532
+534
+536
+538
+539
+541
+543
+545
+547
+549
+550
+552
+554
+556
+558
+560
+561
+563
+565
+567
+569
+571
+573
+574
+576
+578
+580
+582
+584
+586
+588
+590
+591
+593
+595
+597
+599
+601
+603
+605
+607
+609
+611
+613
+615
+616
+618
+620
+622
+624
+626
+628
+630
+632
+634
+636
+638
+640
+642
+644
+646
+648
+650
+652
+654
+656
+658
+660
+662
+664
+666
+668
+670
+672
+674
+676
+678
+680
+682
+684
+686
+688
+691
+693
+695
+697
+699
+701
+703
+705
+707
+709
+711
+713
+715
+718
+720
+722
+724
+726
+728
+730
+732
+734
+737
+739
+741
+743
+745
+747
+749
+751
+754
+756
+758
+760
+762
+764
+767
+769
+771
+773
+775
+777
+780
+782
+784
+786
+788
+790
+793
+795
+797
+799
+801
+804
+806
+808
+810
+813
+815
+817
+819
+821
+824
+826
+828
+830
+833
+835
+837
+839
+842
+844
+846
+848
+851
+853
+855
+857
+860
+862
+864
+867
+869
+871
+873
+876
+878
+880
+883
+885
+887
+889
+892
+894
+896
+899
+901
+903
+906
+908
+910
+912
+915
+917
+919
+922
+924
+926
+929
+931
+933
+936
+938
+941
+943
+945
+948
+950
+952
+955
+957
+959
+962
+964
+966
+969
+971
+974
+976
+978
+981
+983
+985
+988
+990
+993
+995
+997
+1000
+1002
+1005
+1007
+1009
+1012
+1014
+1017
+1019
+1021
+1024
+1026
+1029
+1031
+1034
+1036
+1038
+1041
+1043
+1046
+1048
+1050
+1053
+1055
+1058
+1060
+1063
+1065
+1067
+1070
+1072
+1075
+1077
+1080
+1082
+1085
+1087
+1089
+1092
+1094
+1097
+1099
+1102
+1104
+1107
+1109
+1112
+1114
+1116
+1119
+1121
+1124
+1126
+1129
+1131
+1134
+1136
+1139
+1141
+1144
+1146
+1149
+1151
+1153
+1156
+1158
+1161
+1163
+1166
+1168
+1171
+1173
+1176
+1178
+1181
+1183
+1186
+1188
+1191
+1193
+1196
+1198
+1201
+1203
+1206
+1208
+1211
+1213
+1216
+1218
+1220
+1223
+1225
+1228
+1230
+1233
+1235
+1238
+1240
+1243
+1245
+1248
+1250
+1253
+1255
+1258
+1260
+1263
+1265
+1268
+1270
+1273
+1275
+1278
+1280
+1283
+1285
+1288
+1290
+1293
+1295
+1298
+1300
+1303
+1305
+1308
+1310
+1313
+1315
+1318
+1320
+1323
+1325
+1328
+1330
+1333
+1335
+1338
+1340
+1343
+1345
+1348
+1350
+1353
+1355
+1358
+1360
+1363
+1365
+1367
+1370
+1372
+1375
+1377
+1380
+1382
+1385
+1387
+1390
+1392
+1395
+1397
+1400
+1402
+1405
+1407
+1410
+1412
+1414
+1417
+1419
+1422
+1424
+1427
+1429
+1432
+1434
+1437
+1439
+1442
+1444
+1446
+1449
+1451
+1454
+1456
+1459
+1461
+1463
+1466
+1468
+1471
+1473
+1476
+1478
+1481
+1483
+1485
+1488
+1490
+1493
+1495
+1497
+1500
+1502
+1505
+1507
+1510
+1512
+1514
+1517
+1519
+1522
+1524
+1526
+1529
+1531
+1534
+1536
+1538
+1541
+1543
+1545
+1548
+1550
+1553
+1555
+1557
+1560
+1562
+1564
+1567
+1569
+1571
+1574
+1576
+1578
+1581
+1583
+1585
+1588
+1590
+1592
+1595
+1597
+1599
+1602
+1604
+1606
+1609
+1611
+1613
+1616
+1618
+1620
+1622
+1625
+1627
+1629
+1632
+1634
+1636
+1638
+1641
+1643
+1645
+1647
+1650
+1652
+1654
+1656
+1659
+1661
+1663
+1665
+1668
+1670
+1672
+1674
+1677
+1679
+1681
+1683
+1685
+1688
+1690
+1692
+1694
+1696
+1698
+1701
+1703
+1705
+1707
+1709
+1711
+1714
+1716
+1718
+1720
+1722
+1724
+1726
+1729
+1731
+1733
+1735
+1737
+1739
+1741
+1743
+1745
+1747
+1750
+1752
+1754
+1756
+1758
+1760
+1762
+1764
+1766
+1768
+1770
+1772
+1774
+1776
+1778
+1780
+1782
+1784
+1786
+1788
+1790
+1792
+1794
+1796
+1798
+1800
+1802
+1804
+1806
+1808
+1810
+1812
+1814
+1816
+1818
+1819
+1821
+1823
+1825
+1827
+1829
+1831
+1833
+1835
+1836
+1838
+1840
+1842
+1844
+1846
+1847
+1849
+1851
+1853
+1855
+1857
+1858
+1860
+1862
+1864
+1865
+1867
+1869
+1871
+1873
+1874
+1876
+1878
+1879
+1881
+1883
+1885
+1886
+1888
+1890
+1891
+1893
+1895
+1896
+1898
+1900
+1901
+1903
+1905
+1906
+1908
+1910
+1911
+1913
+1914
+1916
+1917
+1919
+1921
+1922
+1924
+1925
+1927
+1928
+1930
+1931
+1933
+1934
+1936
+1937
+1939
+1940
+1942
+1943
+1945
+1946
+1948
+1949
+1951
+1952
+1953
+1955
+1956
+1958
+1959
+1960
+1962
+1963
+1964
+1966
+1967
+1968
+1970
+1971
+1972
+1974
+1975
+1976
+1978
+1979
+1980
+1981
+1983
+1984
+1985
+1986
+1988
+1989
+1990
+1991
+1992
+1994
+1995
+1996
+1997
+1998
+1999
+2000
+2002
+2003
+2004
+2005
+2006
+2007
+2008
+2009
+2010
+2011
+2012
+2013
+2014
+2015
+2016
+2017
+2018
+2019
+2020
+2021
+2022
+2023
+2024
+2025
+2026
+2027
+2028
+2029
+2030
+2030
+2031
+2032
+2033
+2034
+2035
+2036
+2036
+2037
+2038
+2039
+2040
+2040
+2041
+2042
+2043
+2043
+2044
+2045
+2045
+2046
+2047
+2047
+2048
+2049
+2049
+2050
+2051
+2051
+2052
+2052
+2053
+2054
+2054
+2055
+2055
+2056
+2056
+2057
+2057
+2058
+2058
+2059
+2059
+2060
+2060
+2061
+2061
+2062
+2062
+2062
+2063
+2063
+2064
+2064
+2064
+2065
+2065
+2065
+2066
+2066
+2066
+2066
+2067
+2067
+2067
+2067
+2068
+2068
+2068
+2068
+2068
+2069
+2069
+2069
+2069
+2069
+2069
+2069
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2069
+2069
+2069
+2069
+2069
+2069
+2069
+2068
+2068
+2068
+2068
+2068
+2067
+2067
+2067
+2067
+2066
+2066
+2066
+2065
+2065
+2065
+2064
+2064
+2064
+2063
+2063
+2063
+2062
+2062
+2061
+2061
+2060
+2060
+2059
+2059
+2058
+2058
+2057
+2057
+2056
+2056
+2055
+2055
+2054
+2053
+2053
+2052
+2051
+2051
+2050
+2049
+2049
+2048
+2047
+2047
+2046
+2045
+2044
+2043
+2043
+2042
+2041
+2040
+2039
+2038
+2038
+2037
+2036
+2035
+2034
+2033
+2032
+2031
+2030
+2029
+2028
+2027
+2026
+2025
+2024
+2023
+2022
+2021
+2020
+2019
+2018
+2016
+2015
+2014
+2013
+2012
+2011
+2009
+2008
+2007
+2006
+2004
+2003
+2002
+2001
+1999
+1998
+1997
+1995
+1994
+1993
+1991
+1990
+1988
+1987
+1985
+1984
+1983
+1981
+1980
+1978
+1977
+1975
+1973
+1972
+1970
+1969
+1967
+1966
+1964
+1962
+1961
+1959
+1957
+1956
+1954
+1952
+1950
+1949
+1947
+1945
+1943
+1942
+1940
+1938
+1936
+1934
+1932
+1931
+1929
+1927
+1925
+1923
+1921
+1919
+1917
+1915
+1913
+1911
+1909
+1907
+1905
+1903
+1901
+1899
+1897
+1894
+1892
+1890
+1888
+1886
+1884
+1881
+1879
+1877
+1875
+1873
+1870
+1868
+1866
+1863
+1861
+1859
+1856
+1854
+1852
+1849
+1847
+1844
+1842
+1840
+1837
+1835
+1832
+1830
+1827
+1825
+1822
+1819
+1817
+1814
+1812
+1809
+1806
+1804
+1801
+1798
+1796
+1793
+1790
+1788
+1785
+1782
+1779
+1777
+1774
+1771
+1768
+1765
+1763
+1760
+1757
+1754
+1751
+1748
+1745
+1742
+1739
+1736
+1733
+1730
+1727
+1724
+1721
+1718
+1715
+1712
+1709
+1706
+1703
+1700
+1696
+1693
+1690
+1687
+1684
+1680
+1677
+1674
+1671
+1667
+1664
+1661
+1657
+1654
+1651
+1647
+1644
+1641
+1637
+1634
+1630
+1627
+1624
+1620
+1617
+1613
+1610
+1606
+1602
+1599
+1595
+1592
+1588
+1585
+1581
+1577
+1574
+1570
+1566
+1563
+1559
+1555
+1551
+1548
+1544
+1540
+1536
+1533
+1529
+1525
+1521
+1517
+1513
+1509
+1506
+1502
+1498
+1494
+1490
+1486
+1482
+1478
+1474
+1470
+1466
+1462
+1458
+1454
+1449
+1445
+1441
+1437
+1433
+1429
+1425
+1420
+1416
+1412
+1408
+1403
+1399
+1395
+1391
+1386
+1382
+1378
+1373
+1369
+1365
+1360
+1356
+1351
+1347
+1343
+1338
+1334
+1329
+1325
+1320
+1316
+1311
+1307
+1302
+1298
+1293
+1288
+1284
+1279
+1274
+1270
+1265
+1260
+1256
+1251
+1246
+1242
+1237
+1232
+1227
+1223
+1218
+1213
+1208
+1203
+1198
+1194
+1189
+1184
+1179
+1174
+1169
+1164
+1159
+1154
+1149
+1144
+1139
+1134
+1129
+1124
+1119
+1114
+1109
+1104
+1099
+1094
+1089
+1083
+1078
+1073
+1068
+1063
+1057
+1052
+1047
+1042
+1037
+1031
+1026
+1021
+1015
+1010
+1005
+999
+994
+989
+983
+978
+972
+967
+962
+956
+951
+945
+940
+934
+929
+923
+918
+912
+907
+901
+895
+890
+884
+879
+873
+867
+862
+856
+850
+845
+839
+833
+828
+822
+816
+810
+805
+799
+793
+787
+781
+776
+770
+764
+758
+752
+746
+740
+734
+729
+723
+717
+711
+705
+699
+693
+687
+681
+675
+669
+663
+657
+651
+644
+638
+632
+626
+620
+614
+608
+602
+596
+589
+583
+577
+571
+565
+558
+552
+546
+540
+533
+527
+521
+514
+508
+502
+496
+489
+483
+476
+470
+464
+457
+451
+445
+438
+432
+425
+419
+412
+406
+399
+393
+386
+380
+373
+367
+360
+354
+347
+341
+334
+327
+321
+314
+308
+301
+294
+288
+281
+274
+268
+261
+254
+248
+241
+234
+228
+221
+214
+207
+201
+194
+187
+180
+174
+167
+160
+153
+146
+139
+133
+126
+119
+112
+105
+98
+91
+84
+78
+71
+64
+57
+50
+43
+36
+29
+22
+15
+8
+1
+-6
+-13
+-20
+-27
+-34
+-41
+-48
+-55
+-62
+-69
+-76
+-83
+-90
+-98
+-105
+-112
+-119
+-126
+-133
+-140
+-147
+-155
+-162
+-169
+-176
+-183
+-190
+-198
+-205
+-212
+-219
+-226
+-234
+-241
+-248
+-255
+-263
+-270
+-277
+-284
+-292
+-299
+-306
+-313
+-321
+-328
+-335
+-343
+-350
+-357
+-365
+-372
+-379
+-387
+-394
+-401
+-409
+-416
+-423
+-431
+-438
+-445
+-453
+-460
+-468
+-475
+-482
+-490
+-497
+-505
+-512
+-519
+-527
+-534
+-542
+-549
+-557
+-564
+-572
+-579
+-586
+-594
+-601
+-609
+-616
+-624
+-631
+-639
+-646
+-654
+-661
+-669
+-676
+-684
+-691
+-699
+-706
+-714
+-721
+-729
+-736
+-744
+-751
+-759
+-767
+-774
+-782
+-789
+-797
+-804
+-812
+-819
+-827
+-834
+-842
+-850
+-857
+-865
+-872
+-880
+-887
+-895
+-903
+-910
+-918
+-925
+-933
+-941
+-948
+-956
+-963
+-971
+-978
+-986
+-994
+-1001
+-1009
+-1016
+-1024
+-1032
+-1039
+-1047
+-1054
+-1062
+-1070
+-1077
+-1085
+-1092
+-1100
+-1108
+-1115
+-1123
+-1130
+-1138
+-1146
+-1153
+-1161
+-1168
+-1176
+-1184
+-1191
+-1199
+-1206
+-1214
+-1222
+-1229
+-1237
+-1244
+-1252
+-1260
+-1267
+-1275
+-1282
+-1290
+-1297
+-1305
+-1313
+-1320
+-1328
+-1335
+-1343
+-1351
+-1358
+-1366
+-1373
+-1381
+-1388
+-1396
+-1403
+-1411
+-1419
+-1426
+-1434
+-1441
+-1449
+-1456
+-1464
+-1471
+-1479
+-1486
+-1494
+-1502
+-1509
+-1517
+-1524
+-1532
+-1539
+-1547
+-1554
+-1562
+-1569
+-1577
+-1584
+-1592
+-1599
+-1607
+-1614
+-1622
+-1629
+-1636
+-1644
+-1651
+-1659
+-1666
+-1674
+-1681
+-1689
+-1696
+-1703
+-1711
+-1718
+-1726
+-1733
+-1741
+-1748
+-1755
+-1763
+-1770
+-1777
+-1785
+-1792
+-1800
+-1807
+-1814
+-1822
+-1829
+-1836
+-1844
+-1851
+-1858
+-1866
+-1873
+-1880
+-1887
+-1895
+-1902
+-1909
+-1917
+-1924
+-1931
+-1938
+-1946
+-1953
+-1960
+-1967
+-1974
+-1982
+-1989
+-1996
+-2003
+-2010
+-2018
+-2025
+-2032
+-2039
+-2046
+-2053
+-2060
+-2068
+-2075
+-2082
+-2089
+-2096
+-2103
+-2110
+-2117
+-2124
+-2131
+-2138
+-2145
+-2152
+-2159
+-2166
+-2173
+-2180
+-2187
+-2194
+-2201
+-2208
+-2215
+-2222
+-2229
+-2236
+-2243
+-2250
+-2256
+-2263
+-2270
+-2277
+-2284
+-2291
+-2298
+-2304
+-2311
+-2318
+-2325
+-2332
+-2338
+-2345
+-2352
+-2359
+-2365
+-2372
+-2379
+-2385
+-2392
+-2399
+-2405
+-2412
+-2419
+-2425
+-2432
+-2438
+-2445
+-2452
+-2458
+-2465
+-2471
+-2478
+-2484
+-2491
+-2497
+-2504
+-2510
+-2517
+-2523
+-2530
+-2536
+-2543
+-2549
+-2555
+-2562
+-2568
+-2574
+-2581
+-2587
+-2593
+-2600
+-2606
+-2612
+-2618
+-2625
+-2631
+-2637
+-2643
+-2650
+-2656
+-2662
+-2668
+-2674
+-2680
+-2686
+-2693
+-2699
+-2705
+-2711
+-2717
+-2723
+-2729
+-2735
+-2741
+-2747
+-2753
+-2759
+-2765
+-2771
+-2776
+-2782
+-2788
+-2794
+-2800
+-2806
+-2812
+-2817
+-2823
+-2829
+-2835
+-2840
+-2846
+-2852
+-2857
+-2863
+-2869
+-2874
+-2880
+-2886
+-2891
+-2897
+-2902
+-2908
+-2913
+-2919
+-2924
+-2930
+-2935
+-2941
+-2946
+-2952
+-2957
+-2962
+-2968
+-2973
+-2978
+-2984
+-2989
+-2994
+-3000
+-3005
+-3010
+-3015
+-3020
+-3026
+-3031
+-3036
+-3041
+-3046
+-3051
+-3056
+-3061
+-3066
+-3071
+-3076
+-3081
+-3086
+-3091
+-3096
+-3101
+-3106
+-3111
+-3116
+-3120
+-3125
+-3130
+-3135
+-3139
+-3144
+-3149
+-3154
+-3158
+-3163
+-3168
+-3172
+-3177
+-3181
+-3186
+-3190
+-3195
+-3199
+-3204
+-3208
+-3213
+-3217
+-3222
+-3226
+-3230
+-3235
+-3239
+-3243
+-3248
+-3252
+-3256
+-3260
+-3264
+-3269
+-3273
+-3277
+-3281
+-3285
+-3289
+-3293
+-3297
+-3301
+-3305
+-3309
+-3313
+-3317
+-3321
+-3325
+-3329
+-3333
+-3336
+-3340
+-3344
+-3348
+-3351
+-3355
+-3359
+-3362
+-3366
+-3370
+-3373
+-3377
+-3380
+-3384
+-3387
+-3391
+-3394
+-3398
+-3401
+-3405
+-3408
+-3411
+-3415
+-3418
+-3421
+-3425
+-3428
+-3431
+-3434
+-3437
+-3440
+-3444
+-3447
+-3450
+-3453
+-3456
+-3459
+-3462
+-3465
+-3468
+-3471
+-3474
+-3476
+-3479
+-3482
+-3485
+-3488
+-3490
+-3493
+-3496
+-3498
+-3501
+-3504
+-3506
+-3509
+-3511
+-3514
+-3516
+-3519
+-3521
+-3524
+-3526
+-3528
+-3531
+-3533
+-3535
+-3538
+-3540
+-3542
+-3544
+-3547
+-3549
+-3551
+-3553
+-3555
+-3557
+-3559
+-3561
+-3563
+-3565
+-3567
+-3569
+-3571
+-3572
+-3574
+-3576
+-3578
+-3580
+-3581
+-3583
+-3585
+-3586
+-3588
+-3589
+-3591
+-3593
+-3594
+-3596
+-3597
+-3598
+-3600
+-3601
+-3603
+-3604
+-3605
+-3606
+-3608
+-3609
+-3610
+-3611
+-3612
+-3613
+-3615
+-3616
+-3617
+-3618
+-3619
+-3619
+-3620
+-3621
+-3622
+-3623
+-3624
+-3625
+-3625
+-3626
+-3627
+-3627
+-3628
+-3629
+-3629
+-3630
+-3630
+-3631
+-3631
+-3632
+-3632
+-3633
+-3633
+-3633
+-3634
+-3634
+-3634
+-3634
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3634
+-3634
+-3634
+-3634
+-3633
+-3633
+-3633
+-3632
+-3632
+-3631
+-3631
+-3630
+-3630
+-3629
+-3629
+-3628
+-3627
+-3627
+-3626
+-3625
+-3624
+-3624
+-3623
+-3622
+-3621
+-3620
+-3619
+-3618
+-3617
+-3616
+-3615
+-3614
+-3613
+-3612
+-3610
+-3609
+-3608
+-3607
+-3605
+-3604
+-3603
+-3601
+-3600
+-3598
+-3597
+-3595
+-3594
+-3592
+-3591
+-3589
+-3587
+-3586
+-3584
+-3582
+-3580
+-3579
+-3577
+-3575
+-3573
+-3571
+-3569
+-3567
+-3565
+-3563
+-3561
+-3559
+-3557
+-3555
+-3552
+-3550
+-3548
+-3546
+-3543
+-3541
+-3539
+-3536
+-3534
+-3531
+-3529
+-3526
+-3524
+-3521
+-3519
+-3516
+-3513
+-3510
+-3508
+-3505
+-3502
+-3499
+-3496
+-3494
+-3491
+-3488
+-3485
+-3482
+-3479
+-3476
+-3473
+-3469
+-3466
+-3463
+-3460
+-3457
+-3453
+-3450
+-3447
+-3443
+-3440
+-3436
+-3433
+-3429
+-3426
+-3422
+-3419
+-3415
+-3411
+-3408
+-3404
+-3400
+-3397
+-3393
+-3389
+-3385
+-3381
+-3377
+-3373
+-3369
+-3365
+-3361
+-3357
+-3353
+-3349
+-3345
+-3341
+-3336
+-3332
+-3328
+-3323
+-3319
+-3315
+-3310
+-3306
+-3301
+-3297
+-3292
+-3288
+-3283
+-3279
+-3274
+-3269
+-3265
+-3260
+-3255
+-3250
+-3245
+-3241
+-3236
+-3231
+-3226
+-3221
+-3216
+-3211
+-3206
+-3200
+-3195
+-3190
+-3185
+-3180
+-3174
+-3169
+-3164
+-3159
+-3153
+-3148
+-3142
+-3137
+-3131
+-3126
+-3120
+-3115
+-3109
+-3103
+-3098
+-3092
+-3086
+-3080
+-3075
+-3069
+-3063
+-3057
+-3051
+-3045
+-3039
+-3033
+-3027
+-3021
+-3015
+-3009
+-3003
+-2997
+-2990
+-2984
+-2978
+-2971
+-2965
+-2959
+-2952
+-2946
+-2939
+-2933
+-2926
+-2920
+-2913
+-2907
+-2900
+-2893
+-2887
+-2880
+-2873
+-2867
+-2860
+-2853
+-2846
+-2839
+-2832
+-2825
+-2818
+-2811
+-2804
+-2797
+-2790
+-2783
+-2776
+-2769
+-2761
+-2754
+-2747
+-2740
+-2732
+-2725
+-2717
+-2710
+-2703
+-2695
+-2688
+-2680
+-2673
+-2665
+-2657
+-2650
+-2642
+-2634
+-2627
+-2619
+-2611
+-2603
+-2595
+-2588
+-2580
+-2572
+-2564
+-2556
+-2548
+-2540
+-2532
+-2524
+-2515
+-2507
+-2499
+-2491
+-2483
+-2474
+-2466
+-2458
+-2450
+-2441
+-2433
+-2424
+-2416
+-2407
+-2399
+-2390
+-2382
+-2373
+-2365
+-2356
+-2347
+-2339
+-2330
+-2321
+-2312
+-2304
+-2295
+-2286
+-2277
+-2268
+-2259
+-2250
+-2241
+-2232
+-2223
+-2214
+-2205
+-2196
+-2187
+-2178
+-2168
+-2159
+-2150
+-2141
+-2131
+-2122
+-2113
+-2103
+-2094
+-2085
+-2075
+-2066
+-2056
+-2047
+-2037
+-2028
+-2018
+-2008
+-1999
+-1989
+-1979
+-1970
+-1960
+-1950
+-1940
+-1930
+-1921
+-1911
+-1901
+-1891
+-1881
+-1871
+-1861
+-1851
+-1841
+-1831
+-1821
+-1811
+-1801
+-1790
+-1780
+-1770
+-1760
+-1749
+-1739
+-1729
+-1719
+-1708
+-1698
+-1687
+-1677
+-1667
+-1656
+-1646
+-1635
+-1625
+-1614
+-1603
+-1593
+-1582
+-1572
+-1561
+-1550
+-1540
+-1529
+-1518
+-1507
+-1496
+-1486
+-1475
+-1464
+-1453
+-1442
+-1431
+-1420
+-1409
+-1398
+-1387
+-1376
+-1365
+-1354
+-1343
+-1332
+-1321
+-1310
+-1298
+-1287
+-1276
+-1265
+-1254
+-1242
+-1231
+-1220
+-1208
+-1197
+-1186
+-1174
+-1163
+-1151
+-1140
+-1128
+-1117
+-1105
+-1094
+-1082
+-1071
+-1059
+-1047
+-1036
+-1024
+-1012
+-1001
+-989
+-977
+-966
+-954
+-942
+-930
+-918
+-907
+-895
+-883
+-871
+-859
+-847
+-835
+-823
+-811
+-799
+-787
+-775
+-763
+-751
+-739
+-727
+-715
+-703
+-691
+-678
+-666
+-654
+-642
+-630
+-617
+-605
+-593
+-581
+-568
+-556
+-544
+-531
+-519
+-507
+-494
+-482
+-469
+-457
+-444
+-432
+-420
+-407
+-395
+-382
+-369
+-357
+-344
+-332
+-319
+-307
+-294
+-281
+-269
+-256
+-243
+-231
+-218
+-205
+-193
+-180
+-167
+-154
+-141
+-129
+-116
+-103
+-90
+-77
+-65
+-52
+-39
+-26
+-13
+0
+13
+26
+39
+52
+64
+77
+90
+103
+116
+129
+142
+155
+169
+182
+195
+208
+221
+234
+247
+260
+273
+286
+299
+313
+326
+339
+352
+365
+378
+392
+405
+418
+431
+445
+458
+471
+484
+497
+511
+524
+537
+551
+564
+577
+590
+604
+617
+630
+644
+657
+670
+684
+697
+710
+724
+737
+751
+764
+777
+791
+804
+818
+831
+844
+858
+871
+885
+898
+911
+925
+938
+952
+965
+979
+992
+1006
+1019
+1032
+1046
+1059
+1073
+1086
+1100
+1113
+1127
+1140
+1154
+1167
+1181
+1194
+1208
+1221
+1235
+1248
+1262
+1275
+1289
+1302
+1316
+1329
+1343
+1356
+1370
+1383
+1397
+1410
+1423
+1437
+1450
+1464
+1477
+1491
+1504
+1518
+1531
+1545
+1558
+1572
+1585
+1599
+1612
+1626
+1639
+1653
+1666
+1680
+1693
+1707
+1720
+1733
+1747
+1760
+1774
+1787
+1801
+1814
+1827
+1841
+1854
+1868
+1881
+1895
+1908
+1921
+1935
+1948
+1961
+1975
+1988
+2002
+2015
+2028
+2042
+2055
+2068
+2082
+2095
+2108
+2122
+2135
+2148
+2161
+2175
+2188
+2201
+2215
+2228
+2241
+2254
+2267
+2281
+2294
+2307
+2320
+2333
+2347
+2360
+2373
+2386
+2399
+2412
+2425
+2439
+2452
+2465
+2478
+2491
+2504
+2517
+2530
+2543
+2556
+2569
+2582
+2595
+2608
+2621
+2634
+2647
+2660
+2673
+2686
+2699
+2711
+2724
+2737
+2750
+2763
+2776
+2788
+2801
+2814
+2827
+2839
+2852
+2865
+2878
+2890
+2903
+2916
+2928
+2941
+2954
+2966
+2979
+2991
+3004
+3016
+3029
+3041
+3054
+3066
+3079
+3091
+3104
+3116
+3129
+3141
+3153
+3166
+3178
+3190
+3203
+3215
+3227
+3239
+3252
+3264
+3276
+3288
+3300
+3313
+3325
+3337
+3349
+3361
+3373
+3385
+3397
+3409
+3421
+3433
+3445
+3457
+3469
+3481
+3492
+3504
+3516
+3528
+3540
+3551
+3563
+3575
+3587
+3598
+3610
+3622
+3633
+3645
+3656
+3668
+3679
+3691
+3702
+3714
+3725
+3737
+3748
+3759
+3771
+3782
+3793
+3804
+3816
+3827
+3838
+3849
+3860
+3872
+3883
+3894
+3905
+3916
+3927
+3938
+3949
+3960
+3971
+3981
+3992
+4003
+4014
+4025
+4035
+4046
+4057
+4068
+4078
+4089
+4099
+4110
+4120
+4131
+4141
+4152
+4162
+4173
+4183
+4193
+4204
+4214
+4224
+4234
+4245
+4255
+4265
+4275
+4285
+4295
+4305
+4315
+4325
+4335
+4345
+4355
+4365
+4375
+4384
+4394
+4404
+4414
+4423
+4433
+4443
+4452
+4462
+4471
+4481
+4490
+4500
+4509
+4518
+4528
+4537
+4546
+4556
+4565
+4574
+4583
+4592
+4601
+4610
+4619
+4628
+4637
+4646
+4655
+4664
+4673
+4681
+4690
+4699
+4708
+4716
+4725
+4733
+4742
+4750
+4759
+4767
+4776
+4784
+4792
+4801
+4809
+4817
+4825
+4833
+4842
+4850
+4858
+4866
+4874
+4882
+4890
+4897
+4905
+4913
+4921
+4929
+4936
+4944
+4951
+4959
+4967
+4974
+4982
+4989
+4996
+5004
+5011
+5018
+5025
+5033
+5040
+5047
+5054
+5061
+5068
+5075
+5082
+5089
+5096
+5102
+5109
+5116
+5123
+5129
+5136
+5142
+5149
+5156
+5162
+5168
+5175
+5181
+5187
+5194
+5200
+5206
+5212
+5218
+5224
+5230
+5236
+5242
+5248
+5254
+5260
+5265
+5271
+5277
+5282
+5288
+5294
+5299
+5305
+5310
+5315
+5321
+5326
+5331
+5336
+5342
+5347
+5352
+5357
+5362
+5367
+5372
+5376
+5381
+5386
+5391
+5396
+5400
+5405
+5409
+5414
+5418
+5423
+5427
+5431
+5436
+5440
+5444
+5448
+5452
+5456
+5460
+5464
+5468
+5472
+5476
+5480
+5484
+5487
+5491
+5495
+5498
+5502
+5505
+5509
+5512
+5515
+5519
+5522
+5525
+5528
+5531
+5534
+5537
+5540
+5543
+5546
+5549
+5552
+5554
+5557
+5560
+5562
+5565
+5567
+5570
+5572
+5575
+5577
+5579
+5581
+5584
+5586
+5588
+5590
+5592
+5594
+5596
+5597
+5599
+5601
+5603
+5604
+5606
+5607
+5609
+5610
+5612
+5613
+5614
+5615
+5617
+5618
+5619
+5620
+5621
+5622
+5623
+5624
+5624
+5625
+5626
+5626
+5627
+5628
+5628
+5629
+5629
+5629
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5629
+5629
+5628
+5628
+5628
+5627
+5626
+5626
+5625
+5624
+5623
+5623
+5622
+5621
+5620
+5619
+5617
+5616
+5615
+5614
+5612
+5611
+5610
+5608
+5607
+5605
+5603
+5602
+5600
+5598
+5596
+5594
+5592
+5590
+5588
+5586
+5584
+5582
+5580
+5577
+5575
+5573
+5570
+5568
+5565
+5562
+5560
+5557
+5554
+5551
+5549
+5546
+5543
+5540
+5537
+5533
+5530
+5527
+5524
+5520
+5517
+5513
+5510
+5506
+5503
+5499
+5495
+5492
+5488
+5484
+5480
+5476
+5472
+5468
+5464
+5459
+5455
+5451
+5447
+5442
+5438
+5433
+5429
+5424
+5419
+5415
+5410
+5405
+5400
+5395
+5390
+5385
+5380
+5375
+5370
+5364
+5359
+5354
+5348
+5343
+5337
+5332
+5326
+5321
+5315
+5309
+5303
+5297
+5291
+5285
+5279
+5273
+5267
+5261
+5255
+5248
+5242
+5236
+5229
+5223
+5216
+5209
+5203
+5196
+5189
+5182
+5175
+5168
+5161
+5154
+5147
+5140
+5133
+5126
+5118
+5111
+5104
+5096
+5089
+5081
+5073
+5066
+5058
+5050
+5042
+5035
+5027
+5019
+5011
+5002
+4994
+4986
+4978
+4970
+4961
+4953
+4944
+4936
+4927
+4919
+4910
+4901
+4893
+4884
+4875
+4866
+4857
+4848
+4839
+4830
+4820
+4811
+4802
+4793
+4783
+4774
+4764
+4755
+4745
+4736
+4726
+4716
+4706
+4696
+4687
+4677
+4667
+4657
+4646
+4636
+4626
+4616
+4605
+4595
+4585
+4574
+4564
+4553
+4543
+4532
+4521
+4510
+4500
+4489
+4478
+4467
+4456
+4445
+4434
+4423
+4411
+4400
+4389
+4377
+4366
+4354
+4343
+4331
+4320
+4308
+4296
+4285
+4273
+4261
+4249
+4237
+4225
+4213
+4201
+4189
+4177
+4164
+4152
+4140
+4127
+4115
+4102
+4090
+4077
+4065
+4052
+4039
+4027
+4014
+4001
+3988
+3975
+3962
+3949
+3936
+3923
+3909
+3896
+3883
+3870
+3856
+3843
+3829
+3816
+3802
+3789
+3775
+3761
+3747
+3734
+3720
+3706
+3692
+3678
+3664
+3650
+3636
+3622
+3607
+3593
+3579
+3564
+3550
+3536
+3521
+3507
+3492
+3477
+3463
+3448
+3433
+3419
+3404
+3389
+3374
+3359
+3344
+3329
+3314
+3299
+3283
+3268
+3253
+3238
+3222
+3207
+3191
+3176
+3160
+3145
+3129
+3114
+3098
+3082
+3066
+3051
+3035
+3019
+3003
+2987
+2971
+2955
+2939
+2923
+2906
+2890
+2874
+2858
+2841
+2825
+2808
+2792
+2775
+2759
+2742
+2726
+2709
+2692
+2676
+2659
+2642
+2625
+2608
+2591
+2574
+2557
+2540
+2523
+2506
+2489
+2472
+2454
+2437
+2420
+2402
+2385
+2368
+2350
+2333
+2315
+2297
+2280
+2262
+2245
+2227
+2209
+2191
+2173
+2156
+2138
+2120
+2102
+2084
+2066
+2048
+2030
+2011
+1993
+1975
+1957
+1939
+1920
+1902
+1884
+1865
+1847
+1828
+1810
+1791
+1773
+1754
+1735
+1717
+1698
+1679
+1661
+1642
+1623
+1604
+1585
+1566
+1547
+1528
+1509
+1490
+1471
+1452
+1433
+1414
+1395
+1375
+1356
+1337
+1318
+1298
+1279
+1259
+1240
+1221
+1201
+1182
+1162
+1143
+1123
+1103
+1084
+1064
+1044
+1025
+1005
+985
+965
+945
+926
+906
+886
+866
+846
+826
+806
+786
+766
+746
+726
+706
+685
+665
+645
+625
+605
+584
+564
+544
+523
+503
+483
+462
+442
+421
+401
+380
+360
+339
+319
+298
+278
+257
+236
+216
+195
+174
+154
+133
+112
+91
+71
+50
+29
+8
+-13
+-34
+-55
+-75
+-96
+-117
+-138
+-159
+-180
+-201
+-222
+-243
+-264
+-286
+-307
+-328
+-349
+-370
+-391
+-412
+-434
+-455
+-476
+-497
+-518
+-540
+-561
+-582
+-604
+-625
+-646
+-668
+-689
+-710
+-732
+-753
+-774
+-796
+-817
+-839
+-860
+-882
+-903
+-924
+-946
+-967
+-989
+-1010
+-1032
+-1054
+-1075
+-1097
+-1118
+-1140
+-1161
+-1183
+-1204
+-1226
+-1248
+-1269
+-1291
+-1313
+-1334
+-1356
+-1377
+-1399
+-1421
+-1442
+-1464
+-1486
+-1507
+-1529
+-1551
+-1572
+-1594
+-1616
+-1638
+-1659
+-1681
+-1703
+-1724
+-1746
+-1768
+-1790
+-1811
+-1833
+-1855
+-1877
+-1898
+-1920
+-1942
+-1963
+-1985
+-2007
+-2029
+-2050
+-2072
+-2094
+-2116
+-2137
+-2159
+-2181
+-2203
+-2224
+-2246
+-2268
+-2290
+-2311
+-2333
+-2355
+-2376
+-2398
+-2420
+-2442
+-2463
+-2485
+-2507
+-2528
+-2550
+-2572
+-2593
+-2615
+-2637
+-2658
+-2680
+-2702
+-2723
+-2745
+-2767
+-2788
+-2810
+-2831
+-2853
+-2875
+-2896
+-2918
+-2939
+-2961
+-2982
+-3004
+-3026
+-3047
+-3069
+-3090
+-3112
+-3133
+-3155
+-3176
+-3197
+-3219
+-3240
+-3262
+-3283
+-3305
+-3326
+-3347
+-3369
+-3390
+-3411
+-3433
+-3454
+-3475
+-3497
+-3518
+-3539
+-3560
+-3582
+-3603
+-3624
+-3645
+-3666
+-3687
+-3709
+-3730
+-3751
+-3772
+-3793
+-3814
+-3835
+-3856
+-3877
+-3898
+-3919
+-3940
+-3961
+-3982
+-4003
+-4024
+-4044
+-4065
+-4086
+-4107
+-4128
+-4148
+-4169
+-4190
+-4210
+-4231
+-4252
+-4272
+-4293
+-4314
+-4334
+-4355
+-4375
+-4396
+-4416
+-4437
+-4457
+-4477
+-4498
+-4518
+-4538
+-4559
+-4579
+-4599
+-4619
+-4640
+-4660
+-4680
+-4700
+-4720
+-4740
+-4760
+-4780
+-4800
+-4820
+-4840
+-4860
+-4880
+-4900
+-4920
+-4940
+-4959
+-4979
+-4999
+-5018
+-5038
+-5058
+-5077
+-5097
+-5116
+-5136
+-5155
+-5175
+-5194
+-5214
+-5233
+-5252
+-5271
+-5291
+-5310
+-5329
+-5348
+-5367
+-5386
+-5405
+-5424
+-5443
+-5462
+-5481
+-5500
+-5519
+-5538
+-5557
+-5575
+-5594
+-5613
+-5631
+-5650
+-5668
+-5687
+-5705
+-5724
+-5742
+-5761
+-5779
+-5797
+-5816
+-5834
+-5852
+-5870
+-5888
+-5906
+-5924
+-5942
+-5960
+-5978
+-5996
+-6014
+-6032
+-6049
+-6067
+-6085
+-6102
+-6120
+-6137
+-6155
+-6172
+-6190
+-6207
+-6224
+-6242
+-6259
+-6276
+-6293
+-6310
+-6327
+-6344
+-6361
+-6378
+-6395
+-6412
+-6429
+-6446
+-6462
+-6479
+-6496
+-6512
+-6529
+-6545
+-6562
+-6578
+-6594
+-6611
+-6627
+-6643
+-6659
+-6675
+-6691
+-6707
+-6723
+-6739
+-6755
+-6771
+-6786
+-6802
+-6818
+-6833
+-6849
+-6864
+-6880
+-6895
+-6911
+-6926
+-6941
+-6956
+-6972
+-6987
+-7002
+-7017
+-7032
+-7046
+-7061
+-7076
+-7091
+-7105
+-7120
+-7135
+-7149
+-7164
+-7178
+-7192
+-7207
+-7221
+-7235
+-7249
+-7263
+-7277
+-7291
+-7305
+-7319
+-7333
+-7346
+-7360
+-7374
+-7387
+-7401
+-7414
+-7427
+-7441
+-7454
+-7467
+-7480
+-7493
+-7506
+-7519
+-7532
+-7545
+-7558
+-7571
+-7583
+-7596
+-7609
+-7621
+-7634
+-7646
+-7658
+-7670
+-7683
+-7695
+-7707
+-7719
+-7731
+-7743
+-7754
+-7766
+-7778
+-7790
+-7801
+-7813
+-7824
+-7835
+-7847
+-7858
+-7869
+-7880
+-7891
+-7902
+-7913
+-7924
+-7935
+-7946
+-7956
+-7967
+-7978
+-7988
+-7998
+-8009
+-8019
+-8029
+-8039
+-8049
+-8059
+-8069
+-8079
+-8089
+-8099
+-8108
+-8118
+-8128
+-8137
+-8146
+-8156
+-8165
+-8174
+-8183
+-8192
+-8201
+-8210
+-8219
+-8228
+-8237
+-8245
+-8254
+-8262
+-8271
+-8279
+-8287
+-8296
+-8304
+-8312
+-8320
+-8328
+-8336
+-8343
+-8351
+-8359
+-8366
+-8374
+-8381
+-8389
+-8396
+-8403
+-8410
+-8417
+-8424
+-8431
+-8438
+-8445
+-8452
+-8458
+-8465
+-8471
+-8478
+-8484
+-8490
+-8496
+-8503
+-8509
+-8514
+-8520
+-8526
+-8532
+-8538
+-8543
+-8549
+-8554
+-8559
+-8565
+-8570
+-8575
+-8580
+-8585
+-8590
+-8595
+-8599
+-8604
+-8609
+-8613
+-8618
+-8622
+-8626
+-8630
+-8635
+-8639
+-8643
+-8647
+-8650
+-8654
+-8658
+-8661
+-8665
+-8668
+-8672
+-8675
+-8678
+-8681
+-8684
+-8687
+-8690
+-8693
+-8695
+-8698
+-8701
+-8703
+-8705
+-8708
+-8710
+-8712
+-8714
+-8716
+-8718
+-8720
+-8722
+-8723
+-8725
+-8726
+-8728
+-8729
+-8730
+-8731
+-8733
+-8734
+-8734
+-8735
+-8736
+-8737
+-8737
+-8738
+-8738
+-8739
+-8739
+-8739
+-8739
+-8739
+-8739
+-8739
+-8739
+-8738
+-8738
+-8738
+-8737
+-8736
+-8736
+-8735
+-8734
+-8733
+-8732
+-8731
+-8730
+-8728
+-8727
+-8725
+-8724
+-8722
+-8720
+-8719
+-8717
+-8715
+-8713
+-8711
+-8708
+-8706
+-8704
+-8701
+-8698
+-8696
+-8693
+-8690
+-8687
+-8684
+-8681
+-8678
+-8675
+-8671
+-8668
+-8665
+-8661
+-8657
+-8653
+-8650
+-8646
+-8642
+-8638
+-8633
+-8629
+-8625
+-8620
+-8616
+-8611
+-8606
+-8602
+-8597
+-8592
+-8587
+-8582
+-8576
+-8571
+-8566
+-8560
+-8555
+-8549
+-8543
+-8537
+-8531
+-8525
+-8519
+-8513
+-8507
+-8501
+-8494
+-8488
+-8481
+-8474
+-8468
+-8461
+-8454
+-8447
+-8440
+-8432
+-8425
+-8418
+-8410
+-8403
+-8395
+-8387
+-8379
+-8371
+-8363
+-8355
+-8347
+-8339
+-8331
+-8322
+-8314
+-8305
+-8296
+-8288
+-8279
+-8270
+-8261
+-8252
+-8242
+-8233
+-8224
+-8214
+-8205
+-8195
+-8185
+-8176
+-8166
+-8156
+-8146
+-8135
+-8125
+-8115
+-8104
+-8094
+-8083
+-8073
+-8062
+-8051
+-8040
+-8029
+-8018
+-8007
+-7996
+-7984
+-7973
+-7961
+-7950
+-7938
+-7926
+-7914
+-7902
+-7890
+-7878
+-7866
+-7853
+-7841
+-7828
+-7816
+-7803
+-7790
+-7778
+-7765
+-7752
+-7739
+-7725
+-7712
+-7699
+-7685
+-7672
+-7658
+-7645
+-7631
+-7617
+-7603
+-7589
+-7575
+-7561
+-7546
+-7532
+-7518
+-7503
+-7488
+-7474
+-7459
+-7444
+-7429
+-7414
+-7399
+-7384
+-7368
+-7353
+-7337
+-7322
+-7306
+-7291
+-7275
+-7259
+-7243
+-7227
+-7211
+-7194
+-7178
+-7162
+-7145
+-7129
+-7112
+-7095
+-7079
+-7062
+-7045
+-7028
+-7010
+-6993
+-6976
+-6959
+-6941
+-6923
+-6906
+-6888
+-6870
+-6852
+-6835
+-6816
+-6798
+-6780
+-6762
+-6743
+-6725
+-6706
+-6688
+-6669
+-6650
+-6632
+-6613
+-6594
+-6574
+-6555
+-6536
+-6517
+-6497
+-6478
+-6458
+-6439
+-6419
+-6399
+-6379
+-6359
+-6339
+-6319
+-6299
+-6278
+-6258
+-6238
+-6217
+-6196
+-6176
+-6155
+-6134
+-6113
+-6092
+-6071
+-6050
+-6029
+-6007
+-5986
+-5965
+-5943
+-5921
+-5900
+-5878
+-5856
+-5834
+-5812
+-5790
+-5768
+-5746
+-5724
+-5701
+-5679
+-5656
+-5634
+-5611
+-5588
+-5565
+-5542
+-5519
+-5496
+-5473
+-5450
+-5427
+-5403
+-5380
+-5357
+-5333
+-5309
+-5286
+-5262
+-5238
+-5214
+-5190
+-5166
+-5142
+-5118
+-5093
+-5069
+-5045
+-5020
+-4996
+-4971
+-4946
+-4921
+-4897
+-4872
+-4847
+-4822
+-4797
+-4771
+-4746
+-4721
+-4695
+-4670
+-4644
+-4619
+-4593
+-4567
+-4541
+-4516
+-4490
+-4464
+-4437
+-4411
+-4385
+-4359
+-4332
+-4306
+-4280
+-4253
+-4226
+-4200
+-4173
+-4146
+-4119
+-4092
+-4065
+-4038
+-4011
+-3984
+-3957
+-3929
+-3902
+-3875
+-3847
+-3819
+-3792
+-3764
+-3736
+-3709
+-3681
+-3653
+-3625
+-3597
+-3569
+-3540
+-3512
+-3484
+-3455
+-3427
+-3398
+-3370
+-3341
+-3313
+-3284
+-3255
+-3226
+-3197
+-3168
+-3139
+-3110
+-3081
+-3052
+-3023
+-2994
+-2964
+-2935
+-2905
+-2876
+-2846
+-2817
+-2787
+-2757
+-2727
+-2697
+-2668
+-2638
+-2608
+-2577
+-2547
+-2517
+-2487
+-2457
+-2426
+-2396
+-2366
+-2335
+-2304
+-2274
+-2243
+-2213
+-2182
+-2151
+-2120
+-2089
+-2058
+-2027
+-1996
+-1965
+-1934
+-1903
+-1872
+-1840
+-1809
+-1778
+-1746
+-1715
+-1683
+-1652
+-1620
+-1589
+-1557
+-1525
+-1493
+-1461
+-1430
+-1398
+-1366
+-1334
+-1302
+-1270
+-1237
+-1205
+-1173
+-1141
+-1109
+-1076
+-1044
+-1011
+-979
+-946
+-914
+-881
+-849
+-816
+-783
+-751
+-718
+-685
+-652
+-619
+-586
+-553
+-520
+-487
+-454
+-421
+-388
+-355
+-321
+-288
+-255
+-222
+-188
+-155
+-121
+-88
+-54
+-21
+13
+46
+80
+113
+147
+181
+215
+248
+282
+316
+350
+384
+418
+452
+486
+520
+554
+588
+622
+656
+690
+724
+758
+793
+827
+861
+895
+930
+964
+998
+1033
+1067
+1102
+1136
+1171
+1205
+1240
+1274
+1309
+1343
+1378
+1413
+1447
+1482
+1517
+1551
+1586
+1621
+1655
+1690
+1725
+1760
+1795
+1830
+1864
+1899
+1934
+1969
+2004
+2039
+2074
+2109
+2144
+2179
+2214
+2249
+2284
+2319
+2354
+2389
+2424
+2459
+2494
+2529
+2564
+2600
+2635
+2670
+2705
+2740
+2775
+2810
+2846
+2881
+2916
+2951
+2986
+3022
+3057
+3092
+3127
+3163
+3198
+3233
+3268
+3303
+3339
+3374
+3409
+3444
+3480
+3515
+3550
+3585
+3621
+3656
+3691
+3726
+3762
+3797
+3832
+3867
+3903
+3938
+3973
+4008
+4044
+4079
+4114
+4149
+4184
+4220
+4255
+4290
+4325
+4360
+4395
+4431
+4466
+4501
+4536
+4571
+4606
+4641
+4676
+4712
+4747
+4782
+4817
+4852
+4887
+4922
+4957
+4992
+5027
+5062
+5097
+5132
+5166
+5201
+5236
+5271
+5306
+5341
+5376
+5410
+5445
+5480
+5515
+5549
+5584
+5619
+5653
+5688
+5723
+5757
+5792
+5826
+5861
+5895
+5930
+5964
+5999
+6033
+6068
+6102
+6136
+6171
+6205
+6239
+6273
+6308
+6342
+6376
+6410
+6444
+6478
+6512
+6546
+6580
+6614
+6648
+6682
+6716
+6750
+6783
+6817
+6851
+6885
+6918
+6952
+6986
+7019
+7053
+7086
+7120
+7153
+7186
+7220
+7253
+7286
+7320
+7353
+7386
+7419
+7452
+7485
+7518
+7551
+7584
+7617
+7650
+7683
+7716
+7748
+7781
+7814
+7846
+7879
+7911
+7944
+7976
+8009
+8041
+8073
+8106
+8138
+8170
+8202
+8234
+8266
+8298
+8330
+8362
+8394
+8425
+8457
+8489
+8520
+8552
+8583
+8615
+8646
+8678
+8709
+8740
+8771
+8803
+8834
+8865
+8896
+8927
+8958
+8988
+9019
+9050
+9081
+9111
+9142
+9172
+9203
+9233
+9263
+9294
+9324
+9354
+9384
+9414
+9444
+9474
+9504
+9533
+9563
+9593
+9622
+9652
+9681
+9711
+9740
+9769
+9798
+9828
+9857
+9886
+9915
+9943
+9972
+10001
+10030
+10058
+10087
+10115
+10144
+10172
+10200
+10228
+10256
+10284
+10312
+10340
+10368
+10396
+10424
+10451
+10479
+10506
+10534
+10561
+10588
+10615
+10642
+10669
+10696
+10723
+10750
+10777
+10803
+10830
+10856
+10883
+10909
+10935
+10961
+10987
+11013
+11039
+11065
+11091
+11117
+11142
+11168
+11193
+11219
+11244
+11269
+11294
+11319
+11344
+11369
+11394
+11418
+11443
+11468
+11492
+11516
+11541
+11565
+11589
+11613
+11637
+11661
+11684
+11708
+11731
+11755
+11778
+11802
+11825
+11848
+11871
+11894
+11917
+11939
+11962
+11985
+12007
+12029
+12052
+12074
+12096
+12118
+12140
+12162
+12183
+12205
+12226
+12248
+12269
+12290
+12312
+12333
+12353
+12374
+12395
+12416
+12436
+12457
+12477
+12497
+12517
+12537
+12557
+12577
+12597
+12617
+12636
+12656
+12675
+12694
+12713
+12732
+12751
+12770
+12789
+12807
+12826
+12844
+12863
+12881
+12899
+12917
+12935
+12952
+12970
+12988
+13005
+13022
+13040
+13057
+13074
+13091
+13107
+13124
+13141
+13157
+13173
+13190
+13206
+13222
+13238
+13254
+13269
+13285
+13300
+13316
+13331
+13346
+13361
+13376
+13391
+13405
+13420
+13434
+13449
+13463
+13477
+13491
+13505
+13518
+13532
+13546
+13559
+13572
+13585
+13598
+13611
+13624
+13637
+13649
+13662
+13674
+13686
+13698
+13710
+13722
+13734
+13746
+13757
+13768
+13780
+13791
+13802
+13813
+13823
+13834
+13845
+13855
+13865
+13875
+13885
+13895
+13905
+13915
+13924
+13934
+13943
+13952
+13961
+13970
+13979
+13987
+13996
+14004
+14013
+14021
+14029
+14037
+14044
+14052
+14059
+14067
+14074
+14081
+14088
+14095
+14102
+14108
+14115
+14121
+14127
+14133
+14139
+14145
+14151
+14156
+14162
+14167
+14172
+14177
+14182
+14187
+14192
+14196
+14201
+14205
+14209
+14213
+14217
+14220
+14224
+14227
+14231
+14234
+14237
+14240
+14243
+14245
+14248
+14250
+14252
+14254
+14256
+14258
+14260
+14261
+14263
+14264
+14265
+14266
+14267
+14268
+14268
+14269
+14269
+14269
+14269
+14269
+14269
+14268
+14268
+14267
+14266
+14266
+14264
+14263
+14262
+14260
+14259
+14257
+14255
+14253
+14251
+14248
+14246
+14243
+14241
+14238
+14235
+14231
+14228
+14225
+14221
+14217
+14213
+14209
+14205
+14201
+14196
+14192
+14187
+14182
+14177
+14172
+14167
+14161
+14156
+14150
+14144
+14138
+14132
+14126
+14119
+14112
+14106
+14099
+14092
+14085
+14077
+14070
+14062
+14054
+14047
+14039
+14030
+14022
+14014
+14005
+13996
+13987
+13978
+13969
+13960
+13950
+13940
+13931
+13921
+13911
+13900
+13890
+13880
+13869
+13858
+13847
+13836
+13825
+13813
+13802
+13790
+13778
+13766
+13754
+13742
+13730
+13717
+13704
+13691
+13678
+13665
+13652
+13639
+13625
+13611
+13597
+13583
+13569
+13555
+13540
+13526
+13511
+13496
+13481
+13466
+13450
+13435
+13419
+13403
+13388
+13371
+13355
+13339
+13322
+13306
+13289
+13272
+13255
+13237
+13220
+13202
+13185
+13167
+13149
+13131
+13112
+13094
+13075
+13057
+13038
+13019
+13000
+12980
+12961
+12941
+12921
+12902
+12881
+12861
+12841
+12820
+12800
+12779
+12758
+12737
+12716
+12694
+12673
+12651
+12629
+12607
+12585
+12563
+12541
+12518
+12495
+12472
+12449
+12426
+12403
+12380
+12356
+12332
+12308
+12284
+12260
+12236
+12211
+12187
+12162
+12137
+12112
+12087
+12062
+12036
+12011
+11985
+11959
+11933
+11907
+11880
+11854
+11827
+11801
+11774
+11747
+11719
+11692
+11665
+11637
+11609
+11581
+11553
+11525
+11497
+11468
+11439
+11411
+11382
+11353
+11323
+11294
+11265
+11235
+11205
+11175
+11145
+11115
+11085
+11054
+11024
+10993
+10962
+10931
+10900
+10868
+10837
+10805
+10773
+10742
+10710
+10677
+10645
+10613
+10580
+10547
+10514
+10481
+10448
+10415
+10382
+10348
+10314
+10280
+10246
+10212
+10178
+10144
+10109
+10074
+10040
+10005
+9970
+9934
+9899
+9864
+9828
+9792
+9756
+9720
+9684
+9648
+9611
+9575
+9538
+9501
+9464
+9427
+9390
+9353
+9315
+9278
+9240
+9202
+9164
+9126
+9087
+9049
+9010
+8972
+8933
+8894
+8855
+8816
+8776
+8737
+8697
+8657
+8618
+8578
+8538
+8497
+8457
+8416
+8376
+8335
+8294
+8253
+8212
+8171
+8129
+8088
+8046
+8004
+7962
+7920
+7878
+7836
+7794
+7751
+7708
+7666
+7623
+7580
+7537
+7493
+7450
+7406
+7363
+7319
+7275
+7231
+7187
+7143
+7098
+7054
+7009
+6965
+6920
+6875
+6830
+6785
+6739
+6694
+6648
+6603
+6557
+6511
+6465
+6419
+6373
+6326
+6280
+6233
+6187
+6140
+6093
+6046
+5999
+5951
+5904
+5856
+5809
+5761
+5713
+5665
+5617
+5569
+5521
+5473
+5424
+5375
+5327
+5278
+5229
+5180
+5131
+5082
+5032
+4983
+4933
+4883
+4834
+4784
+4734
+4684
+4634
+4583
+4533
+4482
+4432
+4381
+4330
+4279
+4228
+4177
+4126
+4075
+4023
+3972
+3920
+3868
+3817
+3765
+3713
+3661
+3608
+3556
+3504
+3451
+3399
+3346
+3293
+3240
+3187
+3134
+3081
+3028
+2974
+2921
+2868
+2814
+2760
+2706
+2653
+2599
+2545
+2490
+2436
+2382
+2327
+2273
+2218
+2164
+2109
+2054
+1999
+1944
+1889
+1834
+1779
+1723
+1668
+1612
+1557
+1501
+1445
+1389
+1334
+1278
+1221
+1165
+1109
+1053
+996
+940
+883
+827
+770
+713
+656
+600
+543
+486
+428
+371
+314
+257
+199
+142
+84
+26
+-31
+-89
+-147
+-205
+-263
+-321
+-379
+-437
+-495
+-554
+-612
+-670
+-729
+-788
+-846
+-905
+-964
+-1022
+-1081
+-1140
+-1199
+-1258
+-1317
+-1377
+-1436
+-1495
+-1554
+-1614
+-1673
+-1733
+-1792
+-1852
+-1912
+-1971
+-2031
+-2091
+-2151
+-2211
+-2271
+-2331
+-2391
+-2451
+-2511
+-2572
+-2632
+-2692
+-2753
+-2813
+-2874
+-2934
+-2995
+-3055
+-3116
+-3177
+-3237
+-3298
+-3359
+-3420
+-3481
+-3542
+-3603
+-3664
+-3725
+-3786
+-3847
+-3908
+-3970
+-4031
+-4092
+-4153
+-4215
+-4276
+-4338
+-4399
+-4461
+-4522
+-4584
+-4645
+-4707
+-4769
+-4830
+-4892
+-4954
+-5016
+-5077
+-5139
+-5201
+-5263
+-5325
+-5387
+-5449
+-5511
+-5573
+-5635
+-5697
+-5759
+-5821
+-5883
+-5945
+-6007
+-6070
+-6132
+-6194
+-6256
+-6318
+-6381
+-6443
+-6505
+-6568
+-6630
+-6692
+-6754
+-6817
+-6879
+-6942
+-7004
+-7066
+-7129
+-7191
+-7254
+-7316
+-7378
+-7441
+-7503
+-7566
+-7628
+-7691
+-7753
+-7816
+-7878
+-7941
+-8003
+-8065
+-8128
+-8190
+-8253
+-8315
+-8378
+-8440
+-8503
+-8565
+-8628
+-8690
+-8753
+-8815
+-8877
+-8940
+-9002
+-9065
+-9127
+-9189
+-9252
+-9314
+-9376
+-9439
+-9501
+-9563
+-9626
+-9688
+-9750
+-9813
+-9875
+-9937
+-9999
+-10061
+-10124
+-10186
+-10248
+-10310
+-10372
+-10434
+-10496
+-10558
+-10620
+-10682
+-10744
+-10806
+-10868
+-10930
+-10991
+-11053
+-11115
+-11177
+-11239
+-11300
+-11362
+-11424
+-11485
+-11547
+-11608
+-11670
+-11731
+-11793
+-11854
+-11915
+-11977
+-12038
+-12099
+-12160
+-12221
+-12283
+-12344
+-12405
+-12466
+-12527
+-12588
+-12648
+-12709
+-12770
+-12831
+-12891
+-12952
+-13013
+-13073
+-13134
+-13194
+-13255
+-13315
+-13375
+-13435
+-13496
+-13556
+-13616
+-13676
+-13736
+-13796
+-13856
+-13915
+-13975
+-14035
+-14094
+-14154
+-14213
+-14273
+-14332
+-14392
+-14451
+-14510
+-14569
+-14628
+-14687
+-14746
+-14805
+-14864
+-14923
+-14981
+-15040
+-15098
+-15157
+-15215
+-15274
+-15332
+-15390
+-15448
+-15506
+-15564
+-15622
+-15680
+-15737
+-15795
+-15853
+-15910
+-15968
+-16025
+-16082
+-16139
+-16196
+-16253
+-16310
+-16367
+-16424
+-16481
+-16537
+-16594
+-16650
+-16706
+-16763
+-16819
+-16875
+-16931
+-16987
+-17042
+-17098
+-17154
+-17209
+-17265
+-17320
+-17375
+-17430
+-17485
+-17540
+-17595
+-17650
+-17705
+-17759
+-17813
+-17868
+-17922
+-17976
+-18030
+-18084
+-18138
+-18192
+-18245
+-18299
+-18352
+-18406
+-18459
+-18512
+-18565
+-18618
+-18670
+-18723
+-18776
+-18828
+-18880
+-18933
+-18985
+-19037
+-19089
+-19140
+-19192
+-19243
+-19295
+-19346
+-19397
+-19448
+-19499
+-19550
+-19601
+-19651
+-19702
+-19752
+-19802
+-19852
+-19902
+-19952
+-20001
+-20051
+-20100
+-20150
+-20199
+-20248
+-20297
+-20346
+-20394
+-20443
+-20491
+-20539
+-20587
+-20635
+-20683
+-20731
+-20779
+-20826
+-20873
+-20920
+-20967
+-21014
+-21061
+-21108
+-21154
+-21200
+-21247
+-21293
+-21338
+-21384
+-21430
+-21475
+-21520
+-21566
+-21611
+-21655
+-21700
+-21745
+-21789
+-21833
+-21877
+-21921
+-21965
+-22009
+-22052
+-22096
+-22139
+-22182
+-22225
+-22267
+-22310
+-22352
+-22395
+-22437
+-22479
+-22520
+-22562
+-22603
+-22645
+-22686
+-22727
+-22768
+-22808
+-22849
+-22889
+-22929
+-22969
+-23009
+-23048
+-23088
+-23127
+-23166
+-23205
+-23244
+-23282
+-23321
+-23359
+-23397
+-23435
+-23473
+-23510
+-23548
+-23585
+-23622
+-23659
+-23695
+-23732
+-23768
+-23804
+-23840
+-23876
+-23912
+-23947
+-23982
+-24017
+-24052
+-24087
+-24121
+-24156
+-24190
+-24224
+-24257
+-24291
+-24324
+-24357
+-24390
+-24423
+-24456
+-24488
+-24520
+-24552
+-24584
+-24616
+-24647
+-24678
+-24709
+-24740
+-24771
+-24801
+-24832
+-24862
+-24892
+-24921
+-24951
+-24980
+-25009
+-25038
+-25066
+-25095
+-25123
+-25151
+-25179
+-25207
+-25234
+-25261
+-25288
+-25315
+-25342
+-25368
+-25394
+-25420
+-25446
+-25471
+-25497
+-25522
+-25547
+-25572
+-25596
+-25620
+-25644
+-25668
+-25692
+-25715
+-25738
+-25761
+-25784
+-25807
+-25829
+-25851
+-25873
+-25895
+-25916
+-25937
+-25958
+-25979
+-26000
+-26020
+-26040
+-26060
+-26080
+-26099
+-26118
+-26137
+-26156
+-26174
+-26193
+-26211
+-26229
+-26246
+-26264
+-26281
+-26298
+-26314
+-26331
+-26347
+-26363
+-26379
+-26394
+-26410
+-26425
+-26440
+-26454
+-26469
+-26483
+-26496
+-26510
+-26524
+-26537
+-26550
+-26562
+-26575
+-26587
+-26599
+-26611
+-26622
+-26633
+-26644
+-26655
+-26666
+-26676
+-26686
+-26696
+-26705
+-26714
+-26723
+-26732
+-26741
+-26749
+-26757
+-26765
+-26772
+-26780
+-26787
+-26794
+-26800
+-26806
+-26812
+-26818
+-26824
+-26829
+-26834
+-26839
+-26843
+-26848
+-26852
+-26855
+-26859
+-26862
+-26865
+-26868
+-26870
+-26872
+-26874
+-26876
+-26877
+-26879
+-26880
+-26880
+-26881
+-26881
+-26881
+-26880
+-26880
+-26879
+-26877
+-26876
+-26874
+-26872
+-26870
+-26867
+-26865
+-26862
+-26858
+-26855
+-26851
+-26847
+-26842
+-26838
+-26833
+-26828
+-26822
+-26816
+-26810
+-26804
+-26798
+-26791
+-26784
+-26776
+-26769
+-26761
+-26753
+-26744
+-26735
+-26726
+-26717
+-26708
+-26698
+-26688
+-26677
+-26667
+-26656
+-26644
+-26633
+-26621
+-26609
+-26597
+-26584
+-26571
+-26558
+-26545
+-26531
+-26517
+-26503
+-26488
+-26473
+-26458
+-26443
+-26427
+-26411
+-26395
+-26378
+-26361
+-26344
+-26327
+-26309
+-26291
+-26273
+-26254
+-26236
+-26216
+-26197
+-26177
+-26157
+-26137
+-26117
+-26096
+-26075
+-26053
+-26031
+-26009
+-25987
+-25965
+-25942
+-25919
+-25895
+-25871
+-25847
+-25823
+-25798
+-25774
+-25748
+-25723
+-25697
+-25671
+-25645
+-25618
+-25591
+-25564
+-25536
+-25508
+-25480
+-25452
+-25423
+-25394
+-25365
+-25335
+-25305
+-25275
+-25245
+-25214
+-25183
+-25151
+-25120
+-25088
+-25055
+-25023
+-24990
+-24957
+-24923
+-24890
+-24856
+-24821
+-24787
+-24752
+-24716
+-24681
+-24645
+-24609
+-24572
+-24535
+-24498
+-24461
+-24423
+-24385
+-24347
+-24309
+-24270
+-24230
+-24191
+-24151
+-24111
+-24071
+-24030
+-23989
+-23948
+-23906
+-23864
+-23822
+-23780
+-23737
+-23694
+-23650
+-23606
+-23562
+-23518
+-23473
+-23429
+-23383
+-23338
+-23292
+-23246
+-23199
+-23152
+-23105
+-23058
+-23010
+-22962
+-22914
+-22865
+-22816
+-22767
+-22718
+-22668
+-22618
+-22567
+-22516
+-22465
+-22414
+-22362
+-22310
+-22258
+-22205
+-22152
+-22099
+-22046
+-21992
+-21938
+-21883
+-21829
+-21773
+-21718
+-21662
+-21606
+-21550
+-21494
+-21437
+-21379
+-21322
+-21264
+-21206
+-21147
+-21089
+-21030
+-20970
+-20910
+-20850
+-20790
+-20730
+-20669
+-20607
+-20546
+-20484
+-20422
+-20359
+-20296
+-20233
+-20170
+-20106
+-20042
+-19978
+-19913
+-19848
+-19783
+-19718
+-19652
+-19585
+-19519
+-19452
+-19385
+-19318
+-19250
+-19182
+-19114
+-19045
+-18976
+-18907
+-18837
+-18767
+-18697
+-18626
+-18556
+-18484
+-18413
+-18341
+-18269
+-18197
+-18124
+-18051
+-17978
+-17904
+-17830
+-17756
+-17682
+-17607
+-17532
+-17456
+-17381
+-17305
+-17228
+-17152
+-17075
+-16997
+-16920
+-16842
+-16764
+-16685
+-16606
+-16527
+-16448
+-16368
+-16288
+-16208
+-16127
+-16046
+-15965
+-15883
+-15801
+-15719
+-15637
+-15554
+-15471
+-15387
+-15304
+-15220
+-15135
+-15051
+-14966
+-14880
+-14795
+-14709
+-14623
+-14536
+-14450
+-14363
+-14275
+-14188
+-14100
+-14011
+-13923
+-13834
+-13745
+-13655
+-13566
+-13476
+-13385
+-13294
+-13203
+-13112
+-13021
+-12929
+-12837
+-12744
+-12651
+-12558
+-12465
+-12371
+-12277
+-12183
+-12088
+-11993
+-11898
+-11803
+-11707
+-11611
+-11515
+-11418
+-11321
+-11224
+-11126
+-11028
+-10930
+-10832
+-10733
+-10634
+-10535
+-10435
+-10335
+-10235
+-10135
+-10034
+-9933
+-9831
+-9730
+-9628
+-9525
+-9423
+-9320
+-9217
+-9113
+-9010
+-8906
+-8801
+-8697
+-8592
+-8487
+-8381
+-8276
+-8170
+-8063
+-7957
+-7850
+-7743
+-7635
+-7527
+-7419
+-7311
+-7202
+-7094
+-6984
+-6875
+-6765
+-6655
+-6545
+-6434
+-6323
+-6212
+-6101
+-5989
+-5877
+-5765
+-5652
+-5539
+-5426
+-5313
+-5199
+-5085
+-4971
+-4856
+-4741
+-4626
+-4511
+-4395
+-4279
+-4163
+-4047
+-3930
+-3813
+-3696
+-3578
+-3460
+-3342
+-3224
+-3105
+-2986
+-2867
+-2747
+-2628
+-2508
+-2387
+-2267
+-2146
+-2025
+-1904
+-1782
+-1660
+-1538
+-1415
+-1293
+-1170
+-1046
+-923
+-799
+-675
+-551
+-426
+-301
+-176
+-51
+75
+201
+327
+453
+580
+707
+834
+961
+1089
+1217
+1345
+1474
+1602
+1731
+1860
+1990
+2120
+2250
+2380
+2510
+2641
+2772
+2904
+3035
+3167
+3299
+3431
+3564
+3696
+3829
+3963
+4096
+4230
+4364
+4498
+4633
+4767
+4902
+5037
+5173
+5309
+5445
+5581
+5717
+5854
+5991
+6128
+6265
+6403
+6541
+6679
+6817
+6956
+7095
+7234
+7373
+7512
+7652
+7792
+7932
+8073
+8213
+8354
+8495
+8637
+8778
+8920
+9062
+9205
+9347
+9490
+9633
+9776
+9919
+10063
+10207
+10351
+10495
+10640
+10784
+10929
+11074
+11220
+11365
+11511
+11657
+11803
+11950
+12097
+12243
+12391
+12538
+12685
+12833
+12981
+13129
+13278
+13426
+13575
+13724
+13873
+14023
+14172
+14322
+14472
+14622
+14773
+14923
+15074
+15225
+15376
+15528
+15680
+15831
+15983
+16136
+16288
+16441
+16594
+16747
+16900
+17053
+17207
+17361
+17515
+17669
+17823
+17978
+18132
+18287
+18442
+18598
+18753
+18909
+19065
+19221
+19377
+19533
+19690
+19847
+20004
+20161
+20318
+20476
+20633
+20791
+20949
+21107
+21266
+21424
+21583
+21742
+21901
+22060
+22220
+22379
+22539
+22699
+22859
+23019
+23179
+23340
+23501
+23662
+23823
+23984
+24145
+24307
+24469
+24630
+24792
+24955
+25117
+25279
+25442
+25605
+25768
+25931
+26094
+26258
+26421
+26585
+26749
+26913
+27077
+27241
+27406
+27570
+27735
+27900
+28065
+28230
+28395
+28561
+28726
+28892
+29058
+29224
+29390
+29556
+29723
+29889
+30056
+30223
+30390
+30557
+30724
+30891
+31059
+31226
+31394
+31562
+31730
+31898
+32066
+32234
+32403
+32571
+32740
+32909
+33078
+33247
+33416
+33585
+33755
+33924
+34094
+34264
+34434
+34604
+34774
+34944
+35114
+35285
+35455
+35626
+35796
+35967
+36138
+36309
+36480
+36652
+36823
+36994
+37166
+37337
+37509
+37681
+37853
+38025
+38197
+38369
+38542
+38714
+38886
+39059
+39232
+39404
+39577
+39750
+39923
+40096
+40269
+40443
+40616
+40789
+40963
+41136
+41310
+41484
+41658
+41831
+42005
+42179
+42353
+42528
+42702
+42876
+43051
+43225
+43400
+43574
+43749
+43923
+44098
+44273
+44448
+44623
+44798
+44973
+45148
+45323
+45499
+45674
+45849
+46025
+46200
+46376
+46551
+46727
+46902
+47078
+47254
+47430
+47606
+47782
+47957
+48133
+48309
+48486
+48662
+48838
+49014
+49190
+49367
+49543
+49719
+49896
+50072
+50248
+50425
+50601
+50778
+50954
+51131
+51308
+51484
+51661
+51838
+52014
+52191
+52368
+52544
+52721
+52898
+53075
+53252
+53429
+53605
+53782
+53959
+54136
+54313
+54490
+54667
+54844
+55021
+55198
+55375
+55552
+55729
+55906
+56083
+56260
+56437
+56614
+56790
+56967
+57144
+57321
+57498
+57675
+57852
+58029
+58206
+58383
+58560
+58737
+58914
+59090
+59267
+59444
+59621
+59798
+59974
+60151
+60328
+60505
+60681
+60858
+61035
+61211
+61388
+61564
+61741
+61917
+62094
+62270
+62447
+62623
+62799
+62976
+63152
+63328
+63504
+63680
+63857
+64033
+64209
+64385
+64561
+64736
+64912
+65088
+65264
+65439
+65615
+65791
+65966
+66142
+66317
+66493
+66668
+66843
+67018
+67194
+67369
+67544
+67719
+67894
+68068
+68243
+68418
+68593
+68767
+68942
+69116
+69291
+69465
+69639
+69813
+69987
+70161
+70335
+70509
+70683
+70857
+71030
+71204
+71377
+71551
+71724
+71897
+72071
+72244
+72417
+72589
+72762
+72935
+73108
+73280
+73452
+73625
+73797
+73969
+74141
+74313
+74485
+74657
+74828
+75000
+75171
+75343
+75514
+75685
+75856
+76027
+76198
+76369
+76539
+76710
+76880
+77050
+77220
+77391
+77560
+77730
+77900
+78070
+78239
+78408
+78577
+78747
+78916
+79084
+79253
+79422
+79590
+79758
+79927
+80095
+80263
+80431
+80598
+80766
+80933
+81100
+81268
+81435
+81601
+81768
+81935
+82101
+82268
+82434
+82600
+82766
+82931
+83097
+83262
+83428
+83593
+83758
+83923
+84087
+84252
+84416
+84580
+84744
+84908
+85072
+85236
+85399
+85562
+85726
+85889
+86051
+86214
+86376
+86539
+86701
+86863
+87025
+87186
+87348
+87509
+87670
+87831
+87992
+88152
+88313
+88473
+88633
+88793
+88953
+89112
+89272
+89431
+89590
+89748
+89907
+90065
+90224
+90382
+90540
+90697
+90855
+91012
+91169
+91326
+91483
+91639
+91796
+91952
+92108
+92263
+92419
+92574
+92729
+92884
+93039
+93193
+93348
+93502
+93656
+93809
+93963
+94116
+94269
+94422
+94575
+94727
+94879
+95031
+95183
+95335
+95486
+95637
+95788
+95939
+96089
+96240
+96390
+96539
+96689
+96838
+96988
+97137
+97285
+97434
+97582
+97730
+97878
+98025
+98172
+98320
+98466
+98613
+98759
+98905
+99051
+99197
+99342
+99488
+99633
+99777
+99922
+100066
+100210
+100354
+100497
+100640
+100783
+100926
+101069
+101211
+101353
+101494
+101636
+101777
+101918
+102059
+102199
+102340
+102479
+102619
+102759
+102898
+103037
+103175
+103314
+103452
+103590
+103727
+103865
+104002
+104138
+104275
+104411
+104547
+104683
+104818
+104954
+105089
+105223
+105358
+105492
+105625
+105759
+105892
+106025
+106158
+106290
+106422
+106554
+106686
+106817
+106948
+107079
+107209
+107340
+107469
+107599
+107728
+107857
+107986
+108115
+108243
+108370
+108498
+108625
+108752
+108879
+109005
+109131
+109257
+109383
+109508
+109633
+109757
+109882
+110006
+110129
+110253
+110376
+110499
+110621
+110743
+110865
+110987
+111108
+111229
+111349
+111470
+111590
+111710
+111829
+111948
+112067
+112185
+112303
+112421
+112539
+112656
+112773
+112889
+113005
+113121
+113237
+113352
+113467
+113582
+113696
+113810
+113924
+114037
+114150
+114263
+114375
+114487
+114599
+114710
+114821
+114932
+115042
+115152
+115262
+115372
+115481
+115589
+115698
+115806
+115913
+116021
+116128
+116235
+116341
+116447
+116553
+116658
+116763
+116868
+116972
+117076
+117180
+117283
+117386
+117488
+117591
+117693
+117794
+117895
+117996
+118097
+118197
+118297
+118396
+118495
+118594
+118692
+118790
+118888
+118985
+119082
+119179
+119275
+119371
+119467
+119562
+119657
+119751
+119846
+119939
+120033
+120126
+120218
+120311
+120403
+120494
+120586
+120676
+120767
+120857
+120947
+121036
+121125
+121214
+121302
+121390
+121478
+121565
+121652
+121738
+121824
+121910
+121996
+122081
+122165
+122249
+122333
+122417
+122500
+122583
+122665
+122747
+122828
+122910
+122991
+123071
+123151
+123231
+123310
+123389
+123468
+123546
+123624
+123701
+123778
+123855
+123931
+124007
+124082
+124158
+124232
+124307
+124381
+124454
+124527
+124600
+124673
+124745
+124816
+124887
+124958
+125029
+125099
+125169
+125238
+125307
+125375
+125443
+125511
+125578
+125645
+125712
+125778
+125844
+125909
+125974
+126039
+126103
+126167
+126230
+126293
+126356
+126418
+126480
+126541
+126602
+126662
+126723
+126782
+126842
+126901
+126959
+127018
+127075
+127133
+127190
+127246
+127302
+127358
+127413
+127468
+127523
+127577
+127631
+127684
+127737
+127790
+127842
+127893
+127945
+127996
+128046
+128096
+128146
+128195
+128244
+128292
+128340
+128388
+128435
+128482
+128528
+128574
+128620
+128665
+128710
+128754
+128798
+128841
+128884
+128927
+128969
+129011
+129052
+129094
+129134
+129174
+129214
+129253
+129292
+129331
+129369
+129407
+129444
+129481
+129517
+129553
+129589
+129624
+129659
+129693
+129727
+129760
+129793
+129826
+129858
+129890
+129922
+129953
+129983
+130013
+130043
+130072
+130101
+130130
+130158
+130185
+130213
+130239
+130266
+130292
+130317
+130342
+130367
+130391
+130415
+130438
+130461
+130484
+130506
+130528
+130549
+130570
+130590
+130610
+130630
+130649
+130668
+130686
+130704
+130721
+130738
+130755
+130771
+130787
+130802
+130817
+130832
+130846
+130859
+130873
+130885
+130898
+130910
+130921
+130932
+130943
+130953
+130963
+130972
+130981
+130990
+130998
+131006
+131013
+131020
+131026
+131032
+131038
+131043
+131048
+131052
+131056
+131059
+131062
+131065
+131067
+131068
+131070
+131071
+131071
+131071
+131071
+131070
+131068
+131067
+131065
+131062
+131059
+131056
+131052
+131048
+131043
+131038
+131032
+131026
+131020
+131013
+131006
+130998
+130990
+130981
+130972
+130963
+130953
+130943
+130932
+130921
+130910
+130898
+130885
+130873
+130859
+130846
+130832
+130817
+130802
+130787
+130771
+130755
+130738
+130721
+130704
+130686
+130668
+130649
+130630
+130610
+130590
+130570
+130549
+130528
+130506
+130484
+130461
+130438
+130415
+130391
+130367
+130342
+130317
+130292
+130266
+130239
+130213
+130185
+130158
+130130
+130101
+130072
+130043
+130013
+129983
+129953
+129922
+129890
+129858
+129826
+129793
+129760
+129727
+129693
+129659
+129624
+129589
+129553
+129517
+129481
+129444
+129407
+129369
+129331
+129292
+129253
+129214
+129174
+129134
+129094
+129052
+129011
+128969
+128927
+128884
+128841
+128798
+128754
+128710
+128665
+128620
+128574
+128528
+128482
+128435
+128388
+128340
+128292
+128244
+128195
+128146
+128096
+128046
+127996
+127945
+127893
+127842
+127790
+127737
+127684
+127631
+127577
+127523
+127468
+127413
+127358
+127302
+127246
+127190
+127133
+127075
+127018
+126959
+126901
+126842
+126782
+126723
+126662
+126602
+126541
+126480
+126418
+126356
+126293
+126230
+126167
+126103
+126039
+125974
+125909
+125844
+125778
+125712
+125645
+125578
+125511
+125443
+125375
+125307
+125238
+125169
+125099
+125029
+124958
+124887
+124816
+124745
+124673
+124600
+124527
+124454
+124381
+124307
+124232
+124158
+124082
+124007
+123931
+123855
+123778
+123701
+123624
+123546
+123468
+123389
+123310
+123231
+123151
+123071
+122991
+122910
+122828
+122747
+122665
+122583
+122500
+122417
+122333
+122249
+122165
+122081
+121996
+121910
+121824
+121738
+121652
+121565
+121478
+121390
+121302
+121214
+121125
+121036
+120947
+120857
+120767
+120676
+120586
+120494
+120403
+120311
+120218
+120126
+120033
+119939
+119846
+119751
+119657
+119562
+119467
+119371
+119275
+119179
+119082
+118985
+118888
+118790
+118692
+118594
+118495
+118396
+118297
+118197
+118097
+117996
+117895
+117794
+117693
+117591
+117488
+117386
+117283
+117180
+117076
+116972
+116868
+116763
+116658
+116553
+116447
+116341
+116235
+116128
+116021
+115913
+115806
+115698
+115589
+115481
+115372
+115262
+115152
+115042
+114932
+114821
+114710
+114599
+114487
+114375
+114263
+114150
+114037
+113924
+113810
+113696
+113582
+113467
+113352
+113237
+113121
+113005
+112889
+112773
+112656
+112539
+112421
+112303
+112185
+112067
+111948
+111829
+111710
+111590
+111470
+111349
+111229
+111108
+110987
+110865
+110743
+110621
+110499
+110376
+110253
+110129
+110006
+109882
+109757
+109633
+109508
+109383
+109257
+109131
+109005
+108879
+108752
+108625
+108498
+108370
+108243
+108115
+107986
+107857
+107728
+107599
+107469
+107340
+107209
+107079
+106948
+106817
+106686
+106554
+106422
+106290
+106158
+106025
+105892
+105759
+105625
+105492
+105358
+105223
+105089
+104954
+104818
+104683
+104547
+104411
+104275
+104138
+104002
+103865
+103727
+103590
+103452
+103314
+103175
+103037
+102898
+102759
+102619
+102479
+102340
+102199
+102059
+101918
+101777
+101636
+101494
+101353
+101211
+101069
+100926
+100783
+100640
+100497
+100354
+100210
+100066
+99922
+99777
+99633
+99488
+99342
+99197
+99051
+98905
+98759
+98613
+98466
+98320
+98172
+98025
+97878
+97730
+97582
+97434
+97285
+97137
+96988
+96838
+96689
+96539
+96390
+96240
+96089
+95939
+95788
+95637
+95486
+95335
+95183
+95031
+94879
+94727
+94575
+94422
+94269
+94116
+93963
+93809
+93656
+93502
+93348
+93193
+93039
+92884
+92729
+92574
+92419
+92263
+92108
+91952
+91796
+91639
+91483
+91326
+91169
+91012
+90855
+90697
+90540
+90382
+90224
+90065
+89907
+89748
+89590
+89431
+89272
+89112
+88953
+88793
+88633
+88473
+88313
+88152
+87992
+87831
+87670
+87509
+87348
+87186
+87025
+86863
+86701
+86539
+86376
+86214
+86051
+85889
+85726
+85562
+85399
+85236
+85072
+84908
+84744
+84580
+84416
+84252
+84087
+83923
+83758
+83593
+83428
+83262
+83097
+82931
+82766
+82600
+82434
+82268
+82101
+81935
+81768
+81601
+81435
+81268
+81100
+80933
+80766
+80598
+80431
+80263
+80095
+79927
+79758
+79590
+79422
+79253
+79084
+78916
+78747
+78577
+78408
+78239
+78070
+77900
+77730
+77560
+77391
+77220
+77050
+76880
+76710
+76539
+76369
+76198
+76027
+75856
+75685
+75514
+75343
+75171
+75000
+74828
+74657
+74485
+74313
+74141
+73969
+73797
+73625
+73452
+73280
+73108
+72935
+72762
+72589
+72417
+72244
+72071
+71897
+71724
+71551
+71377
+71204
+71030
+70857
+70683
+70509
+70335
+70161
+69987
+69813
+69639
+69465
+69291
+69116
+68942
+68767
+68593
+68418
+68243
+68068
+67894
+67719
+67544
+67369
+67194
+67018
+66843
+66668
+66493
+66317
+66142
+65966
+65791
+65615
+65439
+65264
+65088
+64912
+64736
+64561
+64385
+64209
+64033
+63857
+63680
+63504
+63328
+63152
+62976
+62799
+62623
+62447
+62270
+62094
+61917
+61741
+61564
+61388
+61211
+61035
+60858
+60681
+60505
+60328
+60151
+59974
+59798
+59621
+59444
+59267
+59090
+58914
+58737
+58560
+58383
+58206
+58029
+57852
+57675
+57498
+57321
+57144
+56967
+56790
+56614
+56437
+56260
+56083
+55906
+55729
+55552
+55375
+55198
+55021
+54844
+54667
+54490
+54313
+54136
+53959
+53782
+53605
+53429
+53252
+53075
+52898
+52721
+52544
+52368
+52191
+52014
+51838
+51661
+51484
+51308
+51131
+50954
+50778
+50601
+50425
+50248
+50072
+49896
+49719
+49543
+49367
+49190
+49014
+48838
+48662
+48486
+48309
+48133
+47957
+47782
+47606
+47430
+47254
+47078
+46902
+46727
+46551
+46376
+46200
+46025
+45849
+45674
+45499
+45323
+45148
+44973
+44798
+44623
+44448
+44273
+44098
+43923
+43749
+43574
+43400
+43225
+43051
+42876
+42702
+42528
+42353
+42179
+42005
+41831
+41658
+41484
+41310
+41136
+40963
+40789
+40616
+40443
+40269
+40096
+39923
+39750
+39577
+39404
+39232
+39059
+38886
+38714
+38542
+38369
+38197
+38025
+37853
+37681
+37509
+37337
+37166
+36994
+36823
+36652
+36480
+36309
+36138
+35967
+35796
+35626
+35455
+35285
+35114
+34944
+34774
+34604
+34434
+34264
+34094
+33924
+33755
+33585
+33416
+33247
+33078
+32909
+32740
+32571
+32403
+32234
+32066
+31898
+31730
+31562
+31394
+31226
+31059
+30891
+30724
+30557
+30390
+30223
+30056
+29889
+29723
+29556
+29390
+29224
+29058
+28892
+28726
+28561
+28395
+28230
+28065
+27900
+27735
+27570
+27406
+27241
+27077
+26913
+26749
+26585
+26421
+26258
+26094
+25931
+25768
+25605
+25442
+25279
+25117
+24955
+24792
+24630
+24469
+24307
+24145
+23984
+23823
+23662
+23501
+23340
+23179
+23019
+22859
+22699
+22539
+22379
+22220
+22060
+21901
+21742
+21583
+21424
+21266
+21107
+20949
+20791
+20633
+20476
+20318
+20161
+20004
+19847
+19690
+19533
+19377
+19221
+19065
+18909
+18753
+18598
+18442
+18287
+18132
+17978
+17823
+17669
+17515
+17361
+17207
+17053
+16900
+16747
+16594
+16441
+16288
+16136
+15983
+15831
+15680
+15528
+15376
+15225
+15074
+14923
+14773
+14622
+14472
+14322
+14172
+14023
+13873
+13724
+13575
+13426
+13278
+13129
+12981
+12833
+12685
+12538
+12391
+12243
+12097
+11950
+11803
+11657
+11511
+11365
+11220
+11074
+10929
+10784
+10640
+10495
+10351
+10207
+10063
+9919
+9776
+9633
+9490
+9347
+9205
+9062
+8920
+8778
+8637
+8495
+8354
+8213
+8073
+7932
+7792
+7652
+7512
+7373
+7234
+7095
+6956
+6817
+6679
+6541
+6403
+6265
+6128
+5991
+5854
+5717
+5581
+5445
+5309
+5173
+5037
+4902
+4767
+4633
+4498
+4364
+4230
+4096
+3963
+3829
+3696
+3564
+3431
+3299
+3167
+3035
+2904
+2772
+2641
+2510
+2380
+2250
+2120
+1990
+1860
+1731
+1602
+1474
+1345
+1217
+1089
+961
+834
+707
+580
+453
+327
+201
+75
+-51
+-176
+-301
+-426
+-551
+-675
+-799
+-923
+-1046
+-1170
+-1293
+-1415
+-1538
+-1660
+-1782
+-1904
+-2025
+-2146
+-2267
+-2387
+-2508
+-2628
+-2747
+-2867
+-2986
+-3105
+-3224
+-3342
+-3460
+-3578
+-3696
+-3813
+-3930
+-4047
+-4163
+-4279
+-4395
+-4511
+-4626
+-4741
+-4856
+-4971
+-5085
+-5199
+-5313
+-5426
+-5539
+-5652
+-5765
+-5877
+-5989
+-6101
+-6212
+-6323
+-6434
+-6545
+-6655
+-6765
+-6875
+-6984
+-7094
+-7202
+-7311
+-7419
+-7527
+-7635
+-7743
+-7850
+-7957
+-8063
+-8170
+-8276
+-8381
+-8487
+-8592
+-8697
+-8801
+-8906
+-9010
+-9113
+-9217
+-9320
+-9423
+-9525
+-9628
+-9730
+-9831
+-9933
+-10034
+-10135
+-10235
+-10335
+-10435
+-10535
+-10634
+-10733
+-10832
+-10930
+-11028
+-11126
+-11224
+-11321
+-11418
+-11515
+-11611
+-11707
+-11803
+-11898
+-11993
+-12088
+-12183
+-12277
+-12371
+-12465
+-12558
+-12651
+-12744
+-12837
+-12929
+-13021
+-13112
+-13203
+-13294
+-13385
+-13476
+-13566
+-13655
+-13745
+-13834
+-13923
+-14011
+-14100
+-14188
+-14275
+-14363
+-14450
+-14536
+-14623
+-14709
+-14795
+-14880
+-14966
+-15051
+-15135
+-15220
+-15304
+-15387
+-15471
+-15554
+-15637
+-15719
+-15801
+-15883
+-15965
+-16046
+-16127
+-16208
+-16288
+-16368
+-16448
+-16527
+-16606
+-16685
+-16764
+-16842
+-16920
+-16997
+-17075
+-17152
+-17228
+-17305
+-17381
+-17456
+-17532
+-17607
+-17682
+-17756
+-17830
+-17904
+-17978
+-18051
+-18124
+-18197
+-18269
+-18341
+-18413
+-18484
+-18556
+-18626
+-18697
+-18767
+-18837
+-18907
+-18976
+-19045
+-19114
+-19182
+-19250
+-19318
+-19385
+-19452
+-19519
+-19585
+-19652
+-19718
+-19783
+-19848
+-19913
+-19978
+-20042
+-20106
+-20170
+-20233
+-20296
+-20359
+-20422
+-20484
+-20546
+-20607
+-20669
+-20730
+-20790
+-20850
+-20910
+-20970
+-21030
+-21089
+-21147
+-21206
+-21264
+-21322
+-21379
+-21437
+-21494
+-21550
+-21606
+-21662
+-21718
+-21773
+-21829
+-21883
+-21938
+-21992
+-22046
+-22099
+-22152
+-22205
+-22258
+-22310
+-22362
+-22414
+-22465
+-22516
+-22567
+-22618
+-22668
+-22718
+-22767
+-22816
+-22865
+-22914
+-22962
+-23010
+-23058
+-23105
+-23152
+-23199
+-23246
+-23292
+-23338
+-23383
+-23429
+-23473
+-23518
+-23562
+-23606
+-23650
+-23694
+-23737
+-23780
+-23822
+-23864
+-23906
+-23948
+-23989
+-24030
+-24071
+-24111
+-24151
+-24191
+-24230
+-24270
+-24309
+-24347
+-24385
+-24423
+-24461
+-24498
+-24535
+-24572
+-24609
+-24645
+-24681
+-24716
+-24752
+-24787
+-24821
+-24856
+-24890
+-24923
+-24957
+-24990
+-25023
+-25055
+-25088
+-25120
+-25151
+-25183
+-25214
+-25245
+-25275
+-25305
+-25335
+-25365
+-25394
+-25423
+-25452
+-25480
+-25508
+-25536
+-25564
+-25591
+-25618
+-25645
+-25671
+-25697
+-25723
+-25748
+-25774
+-25798
+-25823
+-25847
+-25871
+-25895
+-25919
+-25942
+-25965
+-25987
+-26009
+-26031
+-26053
+-26075
+-26096
+-26117
+-26137
+-26157
+-26177
+-26197
+-26216
+-26236
+-26254
+-26273
+-26291
+-26309
+-26327
+-26344
+-26361
+-26378
+-26395
+-26411
+-26427
+-26443
+-26458
+-26473
+-26488
+-26503
+-26517
+-26531
+-26545
+-26558
+-26571
+-26584
+-26597
+-26609
+-26621
+-26633
+-26644
+-26656
+-26667
+-26677
+-26688
+-26698
+-26708
+-26717
+-26726
+-26735
+-26744
+-26753
+-26761
+-26769
+-26776
+-26784
+-26791
+-26798
+-26804
+-26810
+-26816
+-26822
+-26828
+-26833
+-26838
+-26842
+-26847
+-26851
+-26855
+-26858
+-26862
+-26865
+-26867
+-26870
+-26872
+-26874
+-26876
+-26877
+-26879
+-26880
+-26880
+-26881
+-26881
+-26881
+-26880
+-26880
+-26879
+-26877
+-26876
+-26874
+-26872
+-26870
+-26868
+-26865
+-26862
+-26859
+-26855
+-26852
+-26848
+-26843
+-26839
+-26834
+-26829
+-26824
+-26818
+-26812
+-26806
+-26800
+-26794
+-26787
+-26780
+-26772
+-26765
+-26757
+-26749
+-26741
+-26732
+-26723
+-26714
+-26705
+-26696
+-26686
+-26676
+-26666
+-26655
+-26644
+-26633
+-26622
+-26611
+-26599
+-26587
+-26575
+-26562
+-26550
+-26537
+-26524
+-26510
+-26496
+-26483
+-26469
+-26454
+-26440
+-26425
+-26410
+-26394
+-26379
+-26363
+-26347
+-26331
+-26314
+-26298
+-26281
+-26264
+-26246
+-26229
+-26211
+-26193
+-26174
+-26156
+-26137
+-26118
+-26099
+-26080
+-26060
+-26040
+-26020
+-26000
+-25979
+-25958
+-25937
+-25916
+-25895
+-25873
+-25851
+-25829
+-25807
+-25784
+-25761
+-25738
+-25715
+-25692
+-25668
+-25644
+-25620
+-25596
+-25572
+-25547
+-25522
+-25497
+-25471
+-25446
+-25420
+-25394
+-25368
+-25342
+-25315
+-25288
+-25261
+-25234
+-25207
+-25179
+-25151
+-25123
+-25095
+-25066
+-25038
+-25009
+-24980
+-24951
+-24921
+-24892
+-24862
+-24832
+-24801
+-24771
+-24740
+-24709
+-24678
+-24647
+-24616
+-24584
+-24552
+-24520
+-24488
+-24456
+-24423
+-24390
+-24357
+-24324
+-24291
+-24257
+-24224
+-24190
+-24156
+-24121
+-24087
+-24052
+-24017
+-23982
+-23947
+-23912
+-23876
+-23840
+-23804
+-23768
+-23732
+-23695
+-23659
+-23622
+-23585
+-23548
+-23510
+-23473
+-23435
+-23397
+-23359
+-23321
+-23282
+-23244
+-23205
+-23166
+-23127
+-23088
+-23048
+-23009
+-22969
+-22929
+-22889
+-22849
+-22808
+-22768
+-22727
+-22686
+-22645
+-22603
+-22562
+-22520
+-22479
+-22437
+-22395
+-22352
+-22310
+-22267
+-22225
+-22182
+-22139
+-22096
+-22052
+-22009
+-21965
+-21921
+-21877
+-21833
+-21789
+-21745
+-21700
+-21655
+-21611
+-21566
+-21520
+-21475
+-21430
+-21384
+-21338
+-21293
+-21247
+-21200
+-21154
+-21108
+-21061
+-21014
+-20967
+-20920
+-20873
+-20826
+-20779
+-20731
+-20683
+-20635
+-20587
+-20539
+-20491
+-20443
+-20394
+-20346
+-20297
+-20248
+-20199
+-20150
+-20100
+-20051
+-20001
+-19952
+-19902
+-19852
+-19802
+-19752
+-19702
+-19651
+-19601
+-19550
+-19499
+-19448
+-19397
+-19346
+-19295
+-19243
+-19192
+-19140
+-19089
+-19037
+-18985
+-18933
+-18880
+-18828
+-18776
+-18723
+-18670
+-18618
+-18565
+-18512
+-18459
+-18406
+-18352
+-18299
+-18245
+-18192
+-18138
+-18084
+-18030
+-17976
+-17922
+-17868
+-17813
+-17759
+-17705
+-17650
+-17595
+-17540
+-17485
+-17430
+-17375
+-17320
+-17265
+-17209
+-17154
+-17098
+-17042
+-16987
+-16931
+-16875
+-16819
+-16763
+-16706
+-16650
+-16594
+-16537
+-16481
+-16424
+-16367
+-16310
+-16253
+-16196
+-16139
+-16082
+-16025
+-15968
+-15910
+-15853
+-15795
+-15737
+-15680
+-15622
+-15564
+-15506
+-15448
+-15390
+-15332
+-15274
+-15215
+-15157
+-15098
+-15040
+-14981
+-14923
+-14864
+-14805
+-14746
+-14687
+-14628
+-14569
+-14510
+-14451
+-14392
+-14332
+-14273
+-14213
+-14154
+-14094
+-14035
+-13975
+-13915
+-13856
+-13796
+-13736
+-13676
+-13616
+-13556
+-13496
+-13435
+-13375
+-13315
+-13255
+-13194
+-13134
+-13073
+-13013
+-12952
+-12891
+-12831
+-12770
+-12709
+-12648
+-12588
+-12527
+-12466
+-12405
+-12344
+-12283
+-12221
+-12160
+-12099
+-12038
+-11977
+-11915
+-11854
+-11793
+-11731
+-11670
+-11608
+-11547
+-11485
+-11424
+-11362
+-11300
+-11239
+-11177
+-11115
+-11053
+-10991
+-10930
+-10868
+-10806
+-10744
+-10682
+-10620
+-10558
+-10496
+-10434
+-10372
+-10310
+-10248
+-10186
+-10124
+-10061
+-9999
+-9937
+-9875
+-9813
+-9750
+-9688
+-9626
+-9563
+-9501
+-9439
+-9376
+-9314
+-9252
+-9189
+-9127
+-9065
+-9002
+-8940
+-8877
+-8815
+-8753
+-8690
+-8628
+-8565
+-8503
+-8440
+-8378
+-8315
+-8253
+-8190
+-8128
+-8065
+-8003
+-7941
+-7878
+-7816
+-7753
+-7691
+-7628
+-7566
+-7503
+-7441
+-7378
+-7316
+-7254
+-7191
+-7129
+-7066
+-7004
+-6942
+-6879
+-6817
+-6754
+-6692
+-6630
+-6568
+-6505
+-6443
+-6381
+-6318
+-6256
+-6194
+-6132
+-6070
+-6007
+-5945
+-5883
+-5821
+-5759
+-5697
+-5635
+-5573
+-5511
+-5449
+-5387
+-5325
+-5263
+-5201
+-5139
+-5077
+-5016
+-4954
+-4892
+-4830
+-4769
+-4707
+-4645
+-4584
+-4522
+-4461
+-4399
+-4338
+-4276
+-4215
+-4153
+-4092
+-4031
+-3970
+-3908
+-3847
+-3786
+-3725
+-3664
+-3603
+-3542
+-3481
+-3420
+-3359
+-3298
+-3237
+-3177
+-3116
+-3055
+-2995
+-2934
+-2874
+-2813
+-2753
+-2692
+-2632
+-2572
+-2511
+-2451
+-2391
+-2331
+-2271
+-2211
+-2151
+-2091
+-2031
+-1971
+-1912
+-1852
+-1792
+-1733
+-1673
+-1614
+-1554
+-1495
+-1436
+-1377
+-1317
+-1258
+-1199
+-1140
+-1081
+-1022
+-964
+-905
+-846
+-788
+-729
+-670
+-612
+-554
+-495
+-437
+-379
+-321
+-263
+-205
+-147
+-89
+-31
+26
+84
+142
+199
+257
+314
+371
+428
+486
+543
+600
+656
+713
+770
+827
+883
+940
+996
+1053
+1109
+1165
+1221
+1278
+1334
+1389
+1445
+1501
+1557
+1612
+1668
+1723
+1779
+1834
+1889
+1944
+1999
+2054
+2109
+2164
+2218
+2273
+2327
+2382
+2436
+2490
+2545
+2599
+2653
+2706
+2760
+2814
+2868
+2921
+2974
+3028
+3081
+3134
+3187
+3240
+3293
+3346
+3399
+3451
+3504
+3556
+3608
+3661
+3713
+3765
+3817
+3868
+3920
+3972
+4023
+4075
+4126
+4177
+4228
+4279
+4330
+4381
+4432
+4482
+4533
+4583
+4634
+4684
+4734
+4784
+4834
+4883
+4933
+4983
+5032
+5082
+5131
+5180
+5229
+5278
+5327
+5375
+5424
+5473
+5521
+5569
+5617
+5665
+5713
+5761
+5809
+5856
+5904
+5951
+5999
+6046
+6093
+6140
+6187
+6233
+6280
+6326
+6373
+6419
+6465
+6511
+6557
+6603
+6648
+6694
+6739
+6785
+6830
+6875
+6920
+6965
+7009
+7054
+7098
+7143
+7187
+7231
+7275
+7319
+7363
+7406
+7450
+7493
+7537
+7580
+7623
+7666
+7708
+7751
+7794
+7836
+7878
+7920
+7962
+8004
+8046
+8088
+8129
+8171
+8212
+8253
+8294
+8335
+8376
+8416
+8457
+8497
+8538
+8578
+8618
+8657
+8697
+8737
+8776
+8816
+8855
+8894
+8933
+8972
+9010
+9049
+9087
+9126
+9164
+9202
+9240
+9278
+9315
+9353
+9390
+9427
+9464
+9501
+9538
+9575
+9611
+9648
+9684
+9720
+9756
+9792
+9828
+9864
+9899
+9934
+9970
+10005
+10040
+10074
+10109
+10144
+10178
+10212
+10246
+10280
+10314
+10348
+10382
+10415
+10448
+10481
+10514
+10547
+10580
+10613
+10645
+10677
+10710
+10742
+10773
+10805
+10837
+10868
+10900
+10931
+10962
+10993
+11024
+11054
+11085
+11115
+11145
+11175
+11205
+11235
+11265
+11294
+11323
+11353
+11382
+11411
+11439
+11468
+11497
+11525
+11553
+11581
+11609
+11637
+11665
+11692
+11719
+11747
+11774
+11801
+11827
+11854
+11880
+11907
+11933
+11959
+11985
+12011
+12036
+12062
+12087
+12112
+12137
+12162
+12187
+12211
+12236
+12260
+12284
+12308
+12332
+12356
+12380
+12403
+12426
+12449
+12472
+12495
+12518
+12541
+12563
+12585
+12607
+12629
+12651
+12673
+12694
+12716
+12737
+12758
+12779
+12800
+12820
+12841
+12861
+12881
+12902
+12921
+12941
+12961
+12980
+13000
+13019
+13038
+13057
+13075
+13094
+13112
+13131
+13149
+13167
+13185
+13202
+13220
+13237
+13255
+13272
+13289
+13306
+13322
+13339
+13355
+13371
+13388
+13403
+13419
+13435
+13450
+13466
+13481
+13496
+13511
+13526
+13540
+13555
+13569
+13583
+13597
+13611
+13625
+13639
+13652
+13665
+13678
+13691
+13704
+13717
+13730
+13742
+13754
+13766
+13778
+13790
+13802
+13813
+13825
+13836
+13847
+13858
+13869
+13880
+13890
+13900
+13911
+13921
+13931
+13940
+13950
+13960
+13969
+13978
+13987
+13996
+14005
+14014
+14022
+14030
+14039
+14047
+14054
+14062
+14070
+14077
+14085
+14092
+14099
+14106
+14112
+14119
+14126
+14132
+14138
+14144
+14150
+14156
+14161
+14167
+14172
+14177
+14182
+14187
+14192
+14196
+14201
+14205
+14209
+14213
+14217
+14221
+14225
+14228
+14231
+14235
+14238
+14241
+14243
+14246
+14248
+14251
+14253
+14255
+14257
+14259
+14260
+14262
+14263
+14264
+14266
+14266
+14267
+14268
+14268
+14269
+14269
+14269
+14269
+14269
+14269
+14268
+14268
+14267
+14266
+14265
+14264
+14263
+14261
+14260
+14258
+14256
+14254
+14252
+14250
+14248
+14245
+14243
+14240
+14237
+14234
+14231
+14227
+14224
+14220
+14217
+14213
+14209
+14205
+14201
+14196
+14192
+14187
+14182
+14177
+14172
+14167
+14162
+14156
+14151
+14145
+14139
+14133
+14127
+14121
+14115
+14108
+14102
+14095
+14088
+14081
+14074
+14067
+14059
+14052
+14044
+14037
+14029
+14021
+14013
+14004
+13996
+13987
+13979
+13970
+13961
+13952
+13943
+13934
+13924
+13915
+13905
+13895
+13885
+13875
+13865
+13855
+13845
+13834
+13823
+13813
+13802
+13791
+13780
+13768
+13757
+13746
+13734
+13722
+13710
+13698
+13686
+13674
+13662
+13649
+13637
+13624
+13611
+13598
+13585
+13572
+13559
+13546
+13532
+13518
+13505
+13491
+13477
+13463
+13449
+13434
+13420
+13405
+13391
+13376
+13361
+13346
+13331
+13316
+13300
+13285
+13269
+13254
+13238
+13222
+13206
+13190
+13173
+13157
+13141
+13124
+13107
+13091
+13074
+13057
+13040
+13022
+13005
+12988
+12970
+12952
+12935
+12917
+12899
+12881
+12863
+12844
+12826
+12807
+12789
+12770
+12751
+12732
+12713
+12694
+12675
+12656
+12636
+12617
+12597
+12577
+12557
+12537
+12517
+12497
+12477
+12457
+12436
+12416
+12395
+12374
+12353
+12333
+12312
+12290
+12269
+12248
+12226
+12205
+12183
+12162
+12140
+12118
+12096
+12074
+12052
+12029
+12007
+11985
+11962
+11939
+11917
+11894
+11871
+11848
+11825
+11802
+11778
+11755
+11731
+11708
+11684
+11661
+11637
+11613
+11589
+11565
+11541
+11516
+11492
+11468
+11443
+11418
+11394
+11369
+11344
+11319
+11294
+11269
+11244
+11219
+11193
+11168
+11142
+11117
+11091
+11065
+11039
+11013
+10987
+10961
+10935
+10909
+10883
+10856
+10830
+10803
+10777
+10750
+10723
+10696
+10669
+10642
+10615
+10588
+10561
+10534
+10506
+10479
+10451
+10424
+10396
+10368
+10340
+10312
+10284
+10256
+10228
+10200
+10172
+10144
+10115
+10087
+10058
+10030
+10001
+9972
+9943
+9915
+9886
+9857
+9828
+9798
+9769
+9740
+9711
+9681
+9652
+9622
+9593
+9563
+9533
+9504
+9474
+9444
+9414
+9384
+9354
+9324
+9294
+9263
+9233
+9203
+9172
+9142
+9111
+9081
+9050
+9019
+8988
+8958
+8927
+8896
+8865
+8834
+8803
+8771
+8740
+8709
+8678
+8646
+8615
+8583
+8552
+8520
+8489
+8457
+8425
+8394
+8362
+8330
+8298
+8266
+8234
+8202
+8170
+8138
+8106
+8073
+8041
+8009
+7976
+7944
+7911
+7879
+7846
+7814
+7781
+7748
+7716
+7683
+7650
+7617
+7584
+7551
+7518
+7485
+7452
+7419
+7386
+7353
+7320
+7286
+7253
+7220
+7186
+7153
+7120
+7086
+7053
+7019
+6986
+6952
+6918
+6885
+6851
+6817
+6783
+6750
+6716
+6682
+6648
+6614
+6580
+6546
+6512
+6478
+6444
+6410
+6376
+6342
+6308
+6273
+6239
+6205
+6171
+6136
+6102
+6068
+6033
+5999
+5964
+5930
+5895
+5861
+5826
+5792
+5757
+5723
+5688
+5653
+5619
+5584
+5549
+5515
+5480
+5445
+5410
+5376
+5341
+5306
+5271
+5236
+5201
+5166
+5132
+5097
+5062
+5027
+4992
+4957
+4922
+4887
+4852
+4817
+4782
+4747
+4712
+4676
+4641
+4606
+4571
+4536
+4501
+4466
+4431
+4395
+4360
+4325
+4290
+4255
+4220
+4184
+4149
+4114
+4079
+4044
+4008
+3973
+3938
+3903
+3867
+3832
+3797
+3762
+3726
+3691
+3656
+3621
+3585
+3550
+3515
+3480
+3444
+3409
+3374
+3339
+3303
+3268
+3233
+3198
+3163
+3127
+3092
+3057
+3022
+2986
+2951
+2916
+2881
+2846
+2810
+2775
+2740
+2705
+2670
+2635
+2600
+2564
+2529
+2494
+2459
+2424
+2389
+2354
+2319
+2284
+2249
+2214
+2179
+2144
+2109
+2074
+2039
+2004
+1969
+1934
+1899
+1864
+1830
+1795
+1760
+1725
+1690
+1655
+1621
+1586
+1551
+1517
+1482
+1447
+1413
+1378
+1343
+1309
+1274
+1240
+1205
+1171
+1136
+1102
+1067
+1033
+998
+964
+930
+895
+861
+827
+793
+758
+724
+690
+656
+622
+588
+554
+520
+486
+452
+418
+384
+350
+316
+282
+248
+215
+181
+147
+113
+80
+46
+13
+-21
+-54
+-88
+-121
+-155
+-188
+-222
+-255
+-288
+-321
+-355
+-388
+-421
+-454
+-487
+-520
+-553
+-586
+-619
+-652
+-685
+-718
+-751
+-783
+-816
+-849
+-881
+-914
+-946
+-979
+-1011
+-1044
+-1076
+-1109
+-1141
+-1173
+-1205
+-1237
+-1270
+-1302
+-1334
+-1366
+-1398
+-1430
+-1461
+-1493
+-1525
+-1557
+-1589
+-1620
+-1652
+-1683
+-1715
+-1746
+-1778
+-1809
+-1840
+-1872
+-1903
+-1934
+-1965
+-1996
+-2027
+-2058
+-2089
+-2120
+-2151
+-2182
+-2213
+-2243
+-2274
+-2304
+-2335
+-2366
+-2396
+-2426
+-2457
+-2487
+-2517
+-2547
+-2577
+-2608
+-2638
+-2668
+-2697
+-2727
+-2757
+-2787
+-2817
+-2846
+-2876
+-2905
+-2935
+-2964
+-2994
+-3023
+-3052
+-3081
+-3110
+-3139
+-3168
+-3197
+-3226
+-3255
+-3284
+-3313
+-3341
+-3370
+-3398
+-3427
+-3455
+-3484
+-3512
+-3540
+-3569
+-3597
+-3625
+-3653
+-3681
+-3709
+-3736
+-3764
+-3792
+-3819
+-3847
+-3875
+-3902
+-3929
+-3957
+-3984
+-4011
+-4038
+-4065
+-4092
+-4119
+-4146
+-4173
+-4200
+-4226
+-4253
+-4280
+-4306
+-4332
+-4359
+-4385
+-4411
+-4437
+-4464
+-4490
+-4516
+-4541
+-4567
+-4593
+-4619
+-4644
+-4670
+-4695
+-4721
+-4746
+-4771
+-4797
+-4822
+-4847
+-4872
+-4897
+-4921
+-4946
+-4971
+-4996
+-5020
+-5045
+-5069
+-5093
+-5118
+-5142
+-5166
+-5190
+-5214
+-5238
+-5262
+-5286
+-5309
+-5333
+-5357
+-5380
+-5403
+-5427
+-5450
+-5473
+-5496
+-5519
+-5542
+-5565
+-5588
+-5611
+-5634
+-5656
+-5679
+-5701
+-5724
+-5746
+-5768
+-5790
+-5812
+-5834
+-5856
+-5878
+-5900
+-5921
+-5943
+-5965
+-5986
+-6007
+-6029
+-6050
+-6071
+-6092
+-6113
+-6134
+-6155
+-6176
+-6196
+-6217
+-6238
+-6258
+-6278
+-6299
+-6319
+-6339
+-6359
+-6379
+-6399
+-6419
+-6439
+-6458
+-6478
+-6497
+-6517
+-6536
+-6555
+-6574
+-6594
+-6613
+-6632
+-6650
+-6669
+-6688
+-6706
+-6725
+-6743
+-6762
+-6780
+-6798
+-6816
+-6835
+-6852
+-6870
+-6888
+-6906
+-6923
+-6941
+-6959
+-6976
+-6993
+-7010
+-7028
+-7045
+-7062
+-7079
+-7095
+-7112
+-7129
+-7145
+-7162
+-7178
+-7194
+-7211
+-7227
+-7243
+-7259
+-7275
+-7291
+-7306
+-7322
+-7337
+-7353
+-7368
+-7384
+-7399
+-7414
+-7429
+-7444
+-7459
+-7474
+-7488
+-7503
+-7518
+-7532
+-7546
+-7561
+-7575
+-7589
+-7603
+-7617
+-7631
+-7645
+-7658
+-7672
+-7685
+-7699
+-7712
+-7725
+-7739
+-7752
+-7765
+-7778
+-7790
+-7803
+-7816
+-7828
+-7841
+-7853
+-7866
+-7878
+-7890
+-7902
+-7914
+-7926
+-7938
+-7950
+-7961
+-7973
+-7984
+-7996
+-8007
+-8018
+-8029
+-8040
+-8051
+-8062
+-8073
+-8083
+-8094
+-8104
+-8115
+-8125
+-8135
+-8146
+-8156
+-8166
+-8176
+-8185
+-8195
+-8205
+-8214
+-8224
+-8233
+-8242
+-8252
+-8261
+-8270
+-8279
+-8288
+-8296
+-8305
+-8314
+-8322
+-8331
+-8339
+-8347
+-8355
+-8363
+-8371
+-8379
+-8387
+-8395
+-8403
+-8410
+-8418
+-8425
+-8432
+-8440
+-8447
+-8454
+-8461
+-8468
+-8474
+-8481
+-8488
+-8494
+-8501
+-8507
+-8513
+-8519
+-8525
+-8531
+-8537
+-8543
+-8549
+-8555
+-8560
+-8566
+-8571
+-8576
+-8582
+-8587
+-8592
+-8597
+-8602
+-8606
+-8611
+-8616
+-8620
+-8625
+-8629
+-8633
+-8638
+-8642
+-8646
+-8650
+-8653
+-8657
+-8661
+-8665
+-8668
+-8671
+-8675
+-8678
+-8681
+-8684
+-8687
+-8690
+-8693
+-8696
+-8698
+-8701
+-8704
+-8706
+-8708
+-8711
+-8713
+-8715
+-8717
+-8719
+-8720
+-8722
+-8724
+-8725
+-8727
+-8728
+-8730
+-8731
+-8732
+-8733
+-8734
+-8735
+-8736
+-8736
+-8737
+-8738
+-8738
+-8738
+-8739
+-8739
+-8739
+-8739
+-8739
+-8739
+-8739
+-8739
+-8738
+-8738
+-8737
+-8737
+-8736
+-8735
+-8734
+-8734
+-8733
+-8731
+-8730
+-8729
+-8728
+-8726
+-8725
+-8723
+-8722
+-8720
+-8718
+-8716
+-8714
+-8712
+-8710
+-8708
+-8705
+-8703
+-8701
+-8698
+-8695
+-8693
+-8690
+-8687
+-8684
+-8681
+-8678
+-8675
+-8672
+-8668
+-8665
+-8661
+-8658
+-8654
+-8650
+-8647
+-8643
+-8639
+-8635
+-8630
+-8626
+-8622
+-8618
+-8613
+-8609
+-8604
+-8599
+-8595
+-8590
+-8585
+-8580
+-8575
+-8570
+-8565
+-8559
+-8554
+-8549
+-8543
+-8538
+-8532
+-8526
+-8520
+-8514
+-8509
+-8503
+-8496
+-8490
+-8484
+-8478
+-8471
+-8465
+-8458
+-8452
+-8445
+-8438
+-8431
+-8424
+-8417
+-8410
+-8403
+-8396
+-8389
+-8381
+-8374
+-8366
+-8359
+-8351
+-8343
+-8336
+-8328
+-8320
+-8312
+-8304
+-8296
+-8287
+-8279
+-8271
+-8262
+-8254
+-8245
+-8237
+-8228
+-8219
+-8210
+-8201
+-8192
+-8183
+-8174
+-8165
+-8156
+-8146
+-8137
+-8128
+-8118
+-8108
+-8099
+-8089
+-8079
+-8069
+-8059
+-8049
+-8039
+-8029
+-8019
+-8009
+-7998
+-7988
+-7978
+-7967
+-7956
+-7946
+-7935
+-7924
+-7913
+-7902
+-7891
+-7880
+-7869
+-7858
+-7847
+-7835
+-7824
+-7813
+-7801
+-7790
+-7778
+-7766
+-7754
+-7743
+-7731
+-7719
+-7707
+-7695
+-7683
+-7670
+-7658
+-7646
+-7634
+-7621
+-7609
+-7596
+-7583
+-7571
+-7558
+-7545
+-7532
+-7519
+-7506
+-7493
+-7480
+-7467
+-7454
+-7441
+-7427
+-7414
+-7401
+-7387
+-7374
+-7360
+-7346
+-7333
+-7319
+-7305
+-7291
+-7277
+-7263
+-7249
+-7235
+-7221
+-7207
+-7192
+-7178
+-7164
+-7149
+-7135
+-7120
+-7105
+-7091
+-7076
+-7061
+-7046
+-7032
+-7017
+-7002
+-6987
+-6972
+-6956
+-6941
+-6926
+-6911
+-6895
+-6880
+-6864
+-6849
+-6833
+-6818
+-6802
+-6786
+-6771
+-6755
+-6739
+-6723
+-6707
+-6691
+-6675
+-6659
+-6643
+-6627
+-6611
+-6594
+-6578
+-6562
+-6545
+-6529
+-6512
+-6496
+-6479
+-6462
+-6446
+-6429
+-6412
+-6395
+-6378
+-6361
+-6344
+-6327
+-6310
+-6293
+-6276
+-6259
+-6242
+-6224
+-6207
+-6190
+-6172
+-6155
+-6137
+-6120
+-6102
+-6085
+-6067
+-6049
+-6032
+-6014
+-5996
+-5978
+-5960
+-5942
+-5924
+-5906
+-5888
+-5870
+-5852
+-5834
+-5816
+-5797
+-5779
+-5761
+-5742
+-5724
+-5705
+-5687
+-5668
+-5650
+-5631
+-5613
+-5594
+-5575
+-5557
+-5538
+-5519
+-5500
+-5481
+-5462
+-5443
+-5424
+-5405
+-5386
+-5367
+-5348
+-5329
+-5310
+-5291
+-5271
+-5252
+-5233
+-5214
+-5194
+-5175
+-5155
+-5136
+-5116
+-5097
+-5077
+-5058
+-5038
+-5018
+-4999
+-4979
+-4959
+-4940
+-4920
+-4900
+-4880
+-4860
+-4840
+-4820
+-4800
+-4780
+-4760
+-4740
+-4720
+-4700
+-4680
+-4660
+-4640
+-4619
+-4599
+-4579
+-4559
+-4538
+-4518
+-4498
+-4477
+-4457
+-4437
+-4416
+-4396
+-4375
+-4355
+-4334
+-4314
+-4293
+-4272
+-4252
+-4231
+-4210
+-4190
+-4169
+-4148
+-4128
+-4107
+-4086
+-4065
+-4044
+-4024
+-4003
+-3982
+-3961
+-3940
+-3919
+-3898
+-3877
+-3856
+-3835
+-3814
+-3793
+-3772
+-3751
+-3730
+-3709
+-3687
+-3666
+-3645
+-3624
+-3603
+-3582
+-3560
+-3539
+-3518
+-3497
+-3475
+-3454
+-3433
+-3411
+-3390
+-3369
+-3347
+-3326
+-3305
+-3283
+-3262
+-3240
+-3219
+-3197
+-3176
+-3155
+-3133
+-3112
+-3090
+-3069
+-3047
+-3026
+-3004
+-2982
+-2961
+-2939
+-2918
+-2896
+-2875
+-2853
+-2831
+-2810
+-2788
+-2767
+-2745
+-2723
+-2702
+-2680
+-2658
+-2637
+-2615
+-2593
+-2572
+-2550
+-2528
+-2507
+-2485
+-2463
+-2442
+-2420
+-2398
+-2376
+-2355
+-2333
+-2311
+-2290
+-2268
+-2246
+-2224
+-2203
+-2181
+-2159
+-2137
+-2116
+-2094
+-2072
+-2050
+-2029
+-2007
+-1985
+-1963
+-1942
+-1920
+-1898
+-1877
+-1855
+-1833
+-1811
+-1790
+-1768
+-1746
+-1724
+-1703
+-1681
+-1659
+-1638
+-1616
+-1594
+-1572
+-1551
+-1529
+-1507
+-1486
+-1464
+-1442
+-1421
+-1399
+-1377
+-1356
+-1334
+-1313
+-1291
+-1269
+-1248
+-1226
+-1204
+-1183
+-1161
+-1140
+-1118
+-1097
+-1075
+-1054
+-1032
+-1010
+-989
+-967
+-946
+-924
+-903
+-882
+-860
+-839
+-817
+-796
+-774
+-753
+-732
+-710
+-689
+-668
+-646
+-625
+-604
+-582
+-561
+-540
+-518
+-497
+-476
+-455
+-434
+-412
+-391
+-370
+-349
+-328
+-307
+-286
+-264
+-243
+-222
+-201
+-180
+-159
+-138
+-117
+-96
+-75
+-55
+-34
+-13
+8
+29
+50
+71
+91
+112
+133
+154
+174
+195
+216
+236
+257
+278
+298
+319
+339
+360
+380
+401
+421
+442
+462
+483
+503
+523
+544
+564
+584
+605
+625
+645
+665
+685
+706
+726
+746
+766
+786
+806
+826
+846
+866
+886
+906
+926
+945
+965
+985
+1005
+1025
+1044
+1064
+1084
+1103
+1123
+1143
+1162
+1182
+1201
+1221
+1240
+1259
+1279
+1298
+1318
+1337
+1356
+1375
+1395
+1414
+1433
+1452
+1471
+1490
+1509
+1528
+1547
+1566
+1585
+1604
+1623
+1642
+1661
+1679
+1698
+1717
+1735
+1754
+1773
+1791
+1810
+1828
+1847
+1865
+1884
+1902
+1920
+1939
+1957
+1975
+1993
+2011
+2030
+2048
+2066
+2084
+2102
+2120
+2138
+2156
+2173
+2191
+2209
+2227
+2245
+2262
+2280
+2297
+2315
+2333
+2350
+2368
+2385
+2402
+2420
+2437
+2454
+2472
+2489
+2506
+2523
+2540
+2557
+2574
+2591
+2608
+2625
+2642
+2659
+2676
+2692
+2709
+2726
+2742
+2759
+2775
+2792
+2808
+2825
+2841
+2858
+2874
+2890
+2906
+2923
+2939
+2955
+2971
+2987
+3003
+3019
+3035
+3051
+3066
+3082
+3098
+3114
+3129
+3145
+3160
+3176
+3191
+3207
+3222
+3238
+3253
+3268
+3283
+3299
+3314
+3329
+3344
+3359
+3374
+3389
+3404
+3419
+3433
+3448
+3463
+3477
+3492
+3507
+3521
+3536
+3550
+3564
+3579
+3593
+3607
+3622
+3636
+3650
+3664
+3678
+3692
+3706
+3720
+3734
+3747
+3761
+3775
+3789
+3802
+3816
+3829
+3843
+3856
+3870
+3883
+3896
+3909
+3923
+3936
+3949
+3962
+3975
+3988
+4001
+4014
+4027
+4039
+4052
+4065
+4077
+4090
+4102
+4115
+4127
+4140
+4152
+4164
+4177
+4189
+4201
+4213
+4225
+4237
+4249
+4261
+4273
+4285
+4296
+4308
+4320
+4331
+4343
+4354
+4366
+4377
+4389
+4400
+4411
+4423
+4434
+4445
+4456
+4467
+4478
+4489
+4500
+4510
+4521
+4532
+4543
+4553
+4564
+4574
+4585
+4595
+4605
+4616
+4626
+4636
+4646
+4657
+4667
+4677
+4687
+4696
+4706
+4716
+4726
+4736
+4745
+4755
+4764
+4774
+4783
+4793
+4802
+4811
+4820
+4830
+4839
+4848
+4857
+4866
+4875
+4884
+4893
+4901
+4910
+4919
+4927
+4936
+4944
+4953
+4961
+4970
+4978
+4986
+4994
+5002
+5011
+5019
+5027
+5035
+5042
+5050
+5058
+5066
+5073
+5081
+5089
+5096
+5104
+5111
+5118
+5126
+5133
+5140
+5147
+5154
+5161
+5168
+5175
+5182
+5189
+5196
+5203
+5209
+5216
+5223
+5229
+5236
+5242
+5248
+5255
+5261
+5267
+5273
+5279
+5285
+5291
+5297
+5303
+5309
+5315
+5321
+5326
+5332
+5337
+5343
+5348
+5354
+5359
+5364
+5370
+5375
+5380
+5385
+5390
+5395
+5400
+5405
+5410
+5415
+5419
+5424
+5429
+5433
+5438
+5442
+5447
+5451
+5455
+5459
+5464
+5468
+5472
+5476
+5480
+5484
+5488
+5492
+5495
+5499
+5503
+5506
+5510
+5513
+5517
+5520
+5524
+5527
+5530
+5533
+5537
+5540
+5543
+5546
+5549
+5551
+5554
+5557
+5560
+5562
+5565
+5568
+5570
+5573
+5575
+5577
+5580
+5582
+5584
+5586
+5588
+5590
+5592
+5594
+5596
+5598
+5600
+5602
+5603
+5605
+5607
+5608
+5610
+5611
+5612
+5614
+5615
+5616
+5617
+5619
+5620
+5621
+5622
+5623
+5623
+5624
+5625
+5626
+5626
+5627
+5628
+5628
+5628
+5629
+5629
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5630
+5629
+5629
+5629
+5628
+5628
+5627
+5626
+5626
+5625
+5624
+5624
+5623
+5622
+5621
+5620
+5619
+5618
+5617
+5615
+5614
+5613
+5612
+5610
+5609
+5607
+5606
+5604
+5603
+5601
+5599
+5597
+5596
+5594
+5592
+5590
+5588
+5586
+5584
+5581
+5579
+5577
+5575
+5572
+5570
+5567
+5565
+5562
+5560
+5557
+5554
+5552
+5549
+5546
+5543
+5540
+5537
+5534
+5531
+5528
+5525
+5522
+5519
+5515
+5512
+5509
+5505
+5502
+5498
+5495
+5491
+5487
+5484
+5480
+5476
+5472
+5468
+5464
+5460
+5456
+5452
+5448
+5444
+5440
+5436
+5431
+5427
+5423
+5418
+5414
+5409
+5405
+5400
+5396
+5391
+5386
+5381
+5376
+5372
+5367
+5362
+5357
+5352
+5347
+5342
+5336
+5331
+5326
+5321
+5315
+5310
+5305
+5299
+5294
+5288
+5282
+5277
+5271
+5265
+5260
+5254
+5248
+5242
+5236
+5230
+5224
+5218
+5212
+5206
+5200
+5194
+5187
+5181
+5175
+5168
+5162
+5156
+5149
+5142
+5136
+5129
+5123
+5116
+5109
+5102
+5096
+5089
+5082
+5075
+5068
+5061
+5054
+5047
+5040
+5033
+5025
+5018
+5011
+5004
+4996
+4989
+4982
+4974
+4967
+4959
+4951
+4944
+4936
+4929
+4921
+4913
+4905
+4897
+4890
+4882
+4874
+4866
+4858
+4850
+4842
+4833
+4825
+4817
+4809
+4801
+4792
+4784
+4776
+4767
+4759
+4750
+4742
+4733
+4725
+4716
+4708
+4699
+4690
+4681
+4673
+4664
+4655
+4646
+4637
+4628
+4619
+4610
+4601
+4592
+4583
+4574
+4565
+4556
+4546
+4537
+4528
+4518
+4509
+4500
+4490
+4481
+4471
+4462
+4452
+4443
+4433
+4423
+4414
+4404
+4394
+4384
+4375
+4365
+4355
+4345
+4335
+4325
+4315
+4305
+4295
+4285
+4275
+4265
+4255
+4245
+4234
+4224
+4214
+4204
+4193
+4183
+4173
+4162
+4152
+4141
+4131
+4120
+4110
+4099
+4089
+4078
+4068
+4057
+4046
+4035
+4025
+4014
+4003
+3992
+3981
+3971
+3960
+3949
+3938
+3927
+3916
+3905
+3894
+3883
+3872
+3860
+3849
+3838
+3827
+3816
+3804
+3793
+3782
+3771
+3759
+3748
+3737
+3725
+3714
+3702
+3691
+3679
+3668
+3656
+3645
+3633
+3622
+3610
+3598
+3587
+3575
+3563
+3551
+3540
+3528
+3516
+3504
+3492
+3481
+3469
+3457
+3445
+3433
+3421
+3409
+3397
+3385
+3373
+3361
+3349
+3337
+3325
+3313
+3300
+3288
+3276
+3264
+3252
+3239
+3227
+3215
+3203
+3190
+3178
+3166
+3153
+3141
+3129
+3116
+3104
+3091
+3079
+3066
+3054
+3041
+3029
+3016
+3004
+2991
+2979
+2966
+2954
+2941
+2928
+2916
+2903
+2890
+2878
+2865
+2852
+2839
+2827
+2814
+2801
+2788
+2776
+2763
+2750
+2737
+2724
+2711
+2699
+2686
+2673
+2660
+2647
+2634
+2621
+2608
+2595
+2582
+2569
+2556
+2543
+2530
+2517
+2504
+2491
+2478
+2465
+2452
+2439
+2425
+2412
+2399
+2386
+2373
+2360
+2347
+2333
+2320
+2307
+2294
+2281
+2267
+2254
+2241
+2228
+2215
+2201
+2188
+2175
+2161
+2148
+2135
+2122
+2108
+2095
+2082
+2068
+2055
+2042
+2028
+2015
+2002
+1988
+1975
+1961
+1948
+1935
+1921
+1908
+1895
+1881
+1868
+1854
+1841
+1827
+1814
+1801
+1787
+1774
+1760
+1747
+1733
+1720
+1707
+1693
+1680
+1666
+1653
+1639
+1626
+1612
+1599
+1585
+1572
+1558
+1545
+1531
+1518
+1504
+1491
+1477
+1464
+1450
+1437
+1423
+1410
+1397
+1383
+1370
+1356
+1343
+1329
+1316
+1302
+1289
+1275
+1262
+1248
+1235
+1221
+1208
+1194
+1181
+1167
+1154
+1140
+1127
+1113
+1100
+1086
+1073
+1059
+1046
+1032
+1019
+1006
+992
+979
+965
+952
+938
+925
+911
+898
+885
+871
+858
+844
+831
+818
+804
+791
+777
+764
+751
+737
+724
+710
+697
+684
+670
+657
+644
+630
+617
+604
+590
+577
+564
+551
+537
+524
+511
+497
+484
+471
+458
+445
+431
+418
+405
+392
+378
+365
+352
+339
+326
+313
+299
+286
+273
+260
+247
+234
+221
+208
+195
+182
+169
+155
+142
+129
+116
+103
+90
+77
+64
+52
+39
+26
+13
+0
+-13
+-26
+-39
+-52
+-65
+-77
+-90
+-103
+-116
+-129
+-141
+-154
+-167
+-180
+-193
+-205
+-218
+-231
+-243
+-256
+-269
+-281
+-294
+-307
+-319
+-332
+-344
+-357
+-369
+-382
+-395
+-407
+-420
+-432
+-444
+-457
+-469
+-482
+-494
+-507
+-519
+-531
+-544
+-556
+-568
+-581
+-593
+-605
+-617
+-630
+-642
+-654
+-666
+-678
+-691
+-703
+-715
+-727
+-739
+-751
+-763
+-775
+-787
+-799
+-811
+-823
+-835
+-847
+-859
+-871
+-883
+-895
+-907
+-918
+-930
+-942
+-954
+-966
+-977
+-989
+-1001
+-1012
+-1024
+-1036
+-1047
+-1059
+-1071
+-1082
+-1094
+-1105
+-1117
+-1128
+-1140
+-1151
+-1163
+-1174
+-1186
+-1197
+-1208
+-1220
+-1231
+-1242
+-1254
+-1265
+-1276
+-1287
+-1298
+-1310
+-1321
+-1332
+-1343
+-1354
+-1365
+-1376
+-1387
+-1398
+-1409
+-1420
+-1431
+-1442
+-1453
+-1464
+-1475
+-1486
+-1496
+-1507
+-1518
+-1529
+-1540
+-1550
+-1561
+-1572
+-1582
+-1593
+-1603
+-1614
+-1625
+-1635
+-1646
+-1656
+-1667
+-1677
+-1687
+-1698
+-1708
+-1719
+-1729
+-1739
+-1749
+-1760
+-1770
+-1780
+-1790
+-1801
+-1811
+-1821
+-1831
+-1841
+-1851
+-1861
+-1871
+-1881
+-1891
+-1901
+-1911
+-1921
+-1930
+-1940
+-1950
+-1960
+-1970
+-1979
+-1989
+-1999
+-2008
+-2018
+-2028
+-2037
+-2047
+-2056
+-2066
+-2075
+-2085
+-2094
+-2103
+-2113
+-2122
+-2131
+-2141
+-2150
+-2159
+-2168
+-2178
+-2187
+-2196
+-2205
+-2214
+-2223
+-2232
+-2241
+-2250
+-2259
+-2268
+-2277
+-2286
+-2295
+-2304
+-2312
+-2321
+-2330
+-2339
+-2347
+-2356
+-2365
+-2373
+-2382
+-2390
+-2399
+-2407
+-2416
+-2424
+-2433
+-2441
+-2450
+-2458
+-2466
+-2474
+-2483
+-2491
+-2499
+-2507
+-2515
+-2524
+-2532
+-2540
+-2548
+-2556
+-2564
+-2572
+-2580
+-2588
+-2595
+-2603
+-2611
+-2619
+-2627
+-2634
+-2642
+-2650
+-2657
+-2665
+-2673
+-2680
+-2688
+-2695
+-2703
+-2710
+-2717
+-2725
+-2732
+-2740
+-2747
+-2754
+-2761
+-2769
+-2776
+-2783
+-2790
+-2797
+-2804
+-2811
+-2818
+-2825
+-2832
+-2839
+-2846
+-2853
+-2860
+-2867
+-2873
+-2880
+-2887
+-2893
+-2900
+-2907
+-2913
+-2920
+-2926
+-2933
+-2939
+-2946
+-2952
+-2959
+-2965
+-2971
+-2978
+-2984
+-2990
+-2997
+-3003
+-3009
+-3015
+-3021
+-3027
+-3033
+-3039
+-3045
+-3051
+-3057
+-3063
+-3069
+-3075
+-3080
+-3086
+-3092
+-3098
+-3103
+-3109
+-3115
+-3120
+-3126
+-3131
+-3137
+-3142
+-3148
+-3153
+-3159
+-3164
+-3169
+-3174
+-3180
+-3185
+-3190
+-3195
+-3200
+-3206
+-3211
+-3216
+-3221
+-3226
+-3231
+-3236
+-3241
+-3245
+-3250
+-3255
+-3260
+-3265
+-3269
+-3274
+-3279
+-3283
+-3288
+-3292
+-3297
+-3301
+-3306
+-3310
+-3315
+-3319
+-3323
+-3328
+-3332
+-3336
+-3341
+-3345
+-3349
+-3353
+-3357
+-3361
+-3365
+-3369
+-3373
+-3377
+-3381
+-3385
+-3389
+-3393
+-3397
+-3400
+-3404
+-3408
+-3411
+-3415
+-3419
+-3422
+-3426
+-3429
+-3433
+-3436
+-3440
+-3443
+-3447
+-3450
+-3453
+-3457
+-3460
+-3463
+-3466
+-3469
+-3473
+-3476
+-3479
+-3482
+-3485
+-3488
+-3491
+-3494
+-3496
+-3499
+-3502
+-3505
+-3508
+-3510
+-3513
+-3516
+-3519
+-3521
+-3524
+-3526
+-3529
+-3531
+-3534
+-3536
+-3539
+-3541
+-3543
+-3546
+-3548
+-3550
+-3552
+-3555
+-3557
+-3559
+-3561
+-3563
+-3565
+-3567
+-3569
+-3571
+-3573
+-3575
+-3577
+-3579
+-3580
+-3582
+-3584
+-3586
+-3587
+-3589
+-3591
+-3592
+-3594
+-3595
+-3597
+-3598
+-3600
+-3601
+-3603
+-3604
+-3605
+-3607
+-3608
+-3609
+-3610
+-3612
+-3613
+-3614
+-3615
+-3616
+-3617
+-3618
+-3619
+-3620
+-3621
+-3622
+-3623
+-3624
+-3624
+-3625
+-3626
+-3627
+-3627
+-3628
+-3629
+-3629
+-3630
+-3630
+-3631
+-3631
+-3632
+-3632
+-3633
+-3633
+-3633
+-3634
+-3634
+-3634
+-3634
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3635
+-3634
+-3634
+-3634
+-3634
+-3633
+-3633
+-3633
+-3632
+-3632
+-3631
+-3631
+-3630
+-3630
+-3629
+-3629
+-3628
+-3627
+-3627
+-3626
+-3625
+-3625
+-3624
+-3623
+-3622
+-3621
+-3620
+-3619
+-3619
+-3618
+-3617
+-3616
+-3615
+-3613
+-3612
+-3611
+-3610
+-3609
+-3608
+-3606
+-3605
+-3604
+-3603
+-3601
+-3600
+-3598
+-3597
+-3596
+-3594
+-3593
+-3591
+-3589
+-3588
+-3586
+-3585
+-3583
+-3581
+-3580
+-3578
+-3576
+-3574
+-3572
+-3571
+-3569
+-3567
+-3565
+-3563
+-3561
+-3559
+-3557
+-3555
+-3553
+-3551
+-3549
+-3547
+-3544
+-3542
+-3540
+-3538
+-3535
+-3533
+-3531
+-3528
+-3526
+-3524
+-3521
+-3519
+-3516
+-3514
+-3511
+-3509
+-3506
+-3504
+-3501
+-3498
+-3496
+-3493
+-3490
+-3488
+-3485
+-3482
+-3479
+-3476
+-3474
+-3471
+-3468
+-3465
+-3462
+-3459
+-3456
+-3453
+-3450
+-3447
+-3444
+-3440
+-3437
+-3434
+-3431
+-3428
+-3425
+-3421
+-3418
+-3415
+-3411
+-3408
+-3405
+-3401
+-3398
+-3394
+-3391
+-3387
+-3384
+-3380
+-3377
+-3373
+-3370
+-3366
+-3362
+-3359
+-3355
+-3351
+-3348
+-3344
+-3340
+-3336
+-3333
+-3329
+-3325
+-3321
+-3317
+-3313
+-3309
+-3305
+-3301
+-3297
+-3293
+-3289
+-3285
+-3281
+-3277
+-3273
+-3269
+-3264
+-3260
+-3256
+-3252
+-3248
+-3243
+-3239
+-3235
+-3230
+-3226
+-3222
+-3217
+-3213
+-3208
+-3204
+-3199
+-3195
+-3190
+-3186
+-3181
+-3177
+-3172
+-3168
+-3163
+-3158
+-3154
+-3149
+-3144
+-3139
+-3135
+-3130
+-3125
+-3120
+-3116
+-3111
+-3106
+-3101
+-3096
+-3091
+-3086
+-3081
+-3076
+-3071
+-3066
+-3061
+-3056
+-3051
+-3046
+-3041
+-3036
+-3031
+-3026
+-3020
+-3015
+-3010
+-3005
+-3000
+-2994
+-2989
+-2984
+-2978
+-2973
+-2968
+-2962
+-2957
+-2952
+-2946
+-2941
+-2935
+-2930
+-2924
+-2919
+-2913
+-2908
+-2902
+-2897
+-2891
+-2886
+-2880
+-2874
+-2869
+-2863
+-2857
+-2852
+-2846
+-2840
+-2835
+-2829
+-2823
+-2817
+-2812
+-2806
+-2800
+-2794
+-2788
+-2782
+-2776
+-2771
+-2765
+-2759
+-2753
+-2747
+-2741
+-2735
+-2729
+-2723
+-2717
+-2711
+-2705
+-2699
+-2693
+-2686
+-2680
+-2674
+-2668
+-2662
+-2656
+-2650
+-2643
+-2637
+-2631
+-2625
+-2618
+-2612
+-2606
+-2600
+-2593
+-2587
+-2581
+-2574
+-2568
+-2562
+-2555
+-2549
+-2543
+-2536
+-2530
+-2523
+-2517
+-2510
+-2504
+-2497
+-2491
+-2484
+-2478
+-2471
+-2465
+-2458
+-2452
+-2445
+-2438
+-2432
+-2425
+-2419
+-2412
+-2405
+-2399
+-2392
+-2385
+-2379
+-2372
+-2365
+-2359
+-2352
+-2345
+-2338
+-2332
+-2325
+-2318
+-2311
+-2304
+-2298
+-2291
+-2284
+-2277
+-2270
+-2263
+-2256
+-2250
+-2243
+-2236
+-2229
+-2222
+-2215
+-2208
+-2201
+-2194
+-2187
+-2180
+-2173
+-2166
+-2159
+-2152
+-2145
+-2138
+-2131
+-2124
+-2117
+-2110
+-2103
+-2096
+-2089
+-2082
+-2075
+-2068
+-2060
+-2053
+-2046
+-2039
+-2032
+-2025
+-2018
+-2010
+-2003
+-1996
+-1989
+-1982
+-1974
+-1967
+-1960
+-1953
+-1946
+-1938
+-1931
+-1924
+-1917
+-1909
+-1902
+-1895
+-1887
+-1880
+-1873
+-1866
+-1858
+-1851
+-1844
+-1836
+-1829
+-1822
+-1814
+-1807
+-1800
+-1792
+-1785
+-1777
+-1770
+-1763
+-1755
+-1748
+-1741
+-1733
+-1726
+-1718
+-1711
+-1703
+-1696
+-1689
+-1681
+-1674
+-1666
+-1659
+-1651
+-1644
+-1636
+-1629
+-1622
+-1614
+-1607
+-1599
+-1592
+-1584
+-1577
+-1569
+-1562
+-1554
+-1547
+-1539
+-1532
+-1524
+-1517
+-1509
+-1502
+-1494
+-1486
+-1479
+-1471
+-1464
+-1456
+-1449
+-1441
+-1434
+-1426
+-1419
+-1411
+-1403
+-1396
+-1388
+-1381
+-1373
+-1366
+-1358
+-1351
+-1343
+-1335
+-1328
+-1320
+-1313
+-1305
+-1297
+-1290
+-1282
+-1275
+-1267
+-1260
+-1252
+-1244
+-1237
+-1229
+-1222
+-1214
+-1206
+-1199
+-1191
+-1184
+-1176
+-1168
+-1161
+-1153
+-1146
+-1138
+-1130
+-1123
+-1115
+-1108
+-1100
+-1092
+-1085
+-1077
+-1070
+-1062
+-1054
+-1047
+-1039
+-1032
+-1024
+-1016
+-1009
+-1001
+-994
+-986
+-978
+-971
+-963
+-956
+-948
+-941
+-933
+-925
+-918
+-910
+-903
+-895
+-887
+-880
+-872
+-865
+-857
+-850
+-842
+-834
+-827
+-819
+-812
+-804
+-797
+-789
+-782
+-774
+-767
+-759
+-751
+-744
+-736
+-729
+-721
+-714
+-706
+-699
+-691
+-684
+-676
+-669
+-661
+-654
+-646
+-639
+-631
+-624
+-616
+-609
+-601
+-594
+-586
+-579
+-572
+-564
+-557
+-549
+-542
+-534
+-527
+-519
+-512
+-505
+-497
+-490
+-482
+-475
+-468
+-460
+-453
+-445
+-438
+-431
+-423
+-416
+-409
+-401
+-394
+-387
+-379
+-372
+-365
+-357
+-350
+-343
+-335
+-328
+-321
+-313
+-306
+-299
+-292
+-284
+-277
+-270
+-263
+-255
+-248
+-241
+-234
+-226
+-219
+-212
+-205
+-198
+-190
+-183
+-176
+-169
+-162
+-155
+-147
+-140
+-133
+-126
+-119
+-112
+-105
+-98
+-90
+-83
+-76
+-69
+-62
+-55
+-48
+-41
+-34
+-27
+-20
+-13
+-6
+1
+8
+15
+22
+29
+36
+43
+50
+57
+64
+71
+78
+84
+91
+98
+105
+112
+119
+126
+133
+139
+146
+153
+160
+167
+174
+180
+187
+194
+201
+207
+214
+221
+228
+234
+241
+248
+254
+261
+268
+274
+281
+288
+294
+301
+308
+314
+321
+327
+334
+341
+347
+354
+360
+367
+373
+380
+386
+393
+399
+406
+412
+419
+425
+432
+438
+445
+451
+457
+464
+470
+476
+483
+489
+496
+502
+508
+514
+521
+527
+533
+540
+546
+552
+558
+565
+571
+577
+583
+589
+596
+602
+608
+614
+620
+626
+632
+638
+644
+651
+657
+663
+669
+675
+681
+687
+693
+699
+705
+711
+717
+723
+729
+734
+740
+746
+752
+758
+764
+770
+776
+781
+787
+793
+799
+805
+810
+816
+822
+828
+833
+839
+845
+850
+856
+862
+867
+873
+879
+884
+890
+895
+901
+907
+912
+918
+923
+929
+934
+940
+945
+951
+956
+962
+967
+972
+978
+983
+989
+994
+999
+1005
+1010
+1015
+1021
+1026
+1031
+1037
+1042
+1047
+1052
+1057
+1063
+1068
+1073
+1078
+1083
+1089
+1094
+1099
+1104
+1109
+1114
+1119
+1124
+1129
+1134
+1139
+1144
+1149
+1154
+1159
+1164
+1169
+1174
+1179
+1184
+1189
+1194
+1198
+1203
+1208
+1213
+1218
+1223
+1227
+1232
+1237
+1242
+1246
+1251
+1256
+1260
+1265
+1270
+1274
+1279
+1284
+1288
+1293
+1298
+1302
+1307
+1311
+1316
+1320
+1325
+1329
+1334
+1338
+1343
+1347
+1351
+1356
+1360
+1365
+1369
+1373
+1378
+1382
+1386
+1391
+1395
+1399
+1403
+1408
+1412
+1416
+1420
+1425
+1429
+1433
+1437
+1441
+1445
+1449
+1454
+1458
+1462
+1466
+1470
+1474
+1478
+1482
+1486
+1490
+1494
+1498
+1502
+1506
+1509
+1513
+1517
+1521
+1525
+1529
+1533
+1536
+1540
+1544
+1548
+1551
+1555
+1559
+1563
+1566
+1570
+1574
+1577
+1581
+1585
+1588
+1592
+1595
+1599
+1602
+1606
+1610
+1613
+1617
+1620
+1624
+1627
+1630
+1634
+1637
+1641
+1644
+1647
+1651
+1654
+1657
+1661
+1664
+1667
+1671
+1674
+1677
+1680
+1684
+1687
+1690
+1693
+1696
+1700
+1703
+1706
+1709
+1712
+1715
+1718
+1721
+1724
+1727
+1730
+1733
+1736
+1739
+1742
+1745
+1748
+1751
+1754
+1757
+1760
+1763
+1765
+1768
+1771
+1774
+1777
+1779
+1782
+1785
+1788
+1790
+1793
+1796
+1798
+1801
+1804
+1806
+1809
+1812
+1814
+1817
+1819
+1822
+1825
+1827
+1830
+1832
+1835
+1837
+1840
+1842
+1844
+1847
+1849
+1852
+1854
+1856
+1859
+1861
+1863
+1866
+1868
+1870
+1873
+1875
+1877
+1879
+1881
+1884
+1886
+1888
+1890
+1892
+1894
+1897
+1899
+1901
+1903
+1905
+1907
+1909
+1911
+1913
+1915
+1917
+1919
+1921
+1923
+1925
+1927
+1929
+1931
+1932
+1934
+1936
+1938
+1940
+1942
+1943
+1945
+1947
+1949
+1950
+1952
+1954
+1956
+1957
+1959
+1961
+1962
+1964
+1966
+1967
+1969
+1970
+1972
+1973
+1975
+1977
+1978
+1980
+1981
+1983
+1984
+1985
+1987
+1988
+1990
+1991
+1993
+1994
+1995
+1997
+1998
+1999
+2001
+2002
+2003
+2004
+2006
+2007
+2008
+2009
+2011
+2012
+2013
+2014
+2015
+2016
+2018
+2019
+2020
+2021
+2022
+2023
+2024
+2025
+2026
+2027
+2028
+2029
+2030
+2031
+2032
+2033
+2034
+2035
+2036
+2037
+2038
+2038
+2039
+2040
+2041
+2042
+2043
+2043
+2044
+2045
+2046
+2047
+2047
+2048
+2049
+2049
+2050
+2051
+2051
+2052
+2053
+2053
+2054
+2055
+2055
+2056
+2056
+2057
+2057
+2058
+2058
+2059
+2059
+2060
+2060
+2061
+2061
+2062
+2062
+2063
+2063
+2063
+2064
+2064
+2064
+2065
+2065
+2065
+2066
+2066
+2066
+2067
+2067
+2067
+2067
+2068
+2068
+2068
+2068
+2068
+2069
+2069
+2069
+2069
+2069
+2069
+2069
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2070
+2069
+2069
+2069
+2069
+2069
+2069
+2069
+2068
+2068
+2068
+2068
+2068
+2067
+2067
+2067
+2067
+2066
+2066
+2066
+2066
+2065
+2065
+2065
+2064
+2064
+2064
+2063
+2063
+2062
+2062
+2062
+2061
+2061
+2060
+2060
+2059
+2059
+2058
+2058
+2057
+2057
+2056
+2056
+2055
+2055
+2054
+2054
+2053
+2052
+2052
+2051
+2051
+2050
+2049
+2049
+2048
+2047
+2047
+2046
+2045
+2045
+2044
+2043
+2043
+2042
+2041
+2040
+2040
+2039
+2038
+2037
+2036
+2036
+2035
+2034
+2033
+2032
+2031
+2030
+2030
+2029
+2028
+2027
+2026
+2025
+2024
+2023
+2022
+2021
+2020
+2019
+2018
+2017
+2016
+2015
+2014
+2013
+2012
+2011
+2010
+2009
+2008
+2007
+2006
+2005
+2004
+2003
+2002
+2000
+1999
+1998
+1997
+1996
+1995
+1994
+1992
+1991
+1990
+1989
+1988
+1986
+1985
+1984
+1983
+1981
+1980
+1979
+1978
+1976
+1975
+1974
+1972
+1971
+1970
+1968
+1967
+1966
+1964
+1963
+1962
+1960
+1959
+1958
+1956
+1955
+1953
+1952
+1951
+1949
+1948
+1946
+1945
+1943
+1942
+1940
+1939
+1937
+1936
+1934
+1933
+1931
+1930
+1928
+1927
+1925
+1924
+1922
+1921
+1919
+1917
+1916
+1914
+1913
+1911
+1910
+1908
+1906
+1905
+1903
+1901
+1900
+1898
+1896
+1895
+1893
+1891
+1890
+1888
+1886
+1885
+1883
+1881
+1879
+1878
+1876
+1874
+1873
+1871
+1869
+1867
+1865
+1864
+1862
+1860
+1858
+1857
+1855
+1853
+1851
+1849
+1847
+1846
+1844
+1842
+1840
+1838
+1836
+1835
+1833
+1831
+1829
+1827
+1825
+1823
+1821
+1819
+1818
+1816
+1814
+1812
+1810
+1808
+1806
+1804
+1802
+1800
+1798
+1796
+1794
+1792
+1790
+1788
+1786
+1784
+1782
+1780
+1778
+1776
+1774
+1772
+1770
+1768
+1766
+1764
+1762
+1760
+1758
+1756
+1754
+1752
+1750
+1747
+1745
+1743
+1741
+1739
+1737
+1735
+1733
+1731
+1729
+1726
+1724
+1722
+1720
+1718
+1716
+1714
+1711
+1709
+1707
+1705
+1703
+1701
+1698
+1696
+1694
+1692
+1690
+1688
+1685
+1683
+1681
+1679
+1677
+1674
+1672
+1670
+1668
+1665
+1663
+1661
+1659
+1656
+1654
+1652
+1650
+1647
+1645
+1643
+1641
+1638
+1636
+1634
+1632
+1629
+1627
+1625
+1622
+1620
+1618
+1616
+1613
+1611
+1609
+1606
+1604
+1602
+1599
+1597
+1595
+1592
+1590
+1588
+1585
+1583
+1581
+1578
+1576
+1574
+1571
+1569
+1567
+1564
+1562
+1560
+1557
+1555
+1553
+1550
+1548
+1545
+1543
+1541
+1538
+1536
+1534
+1531
+1529
+1526
+1524
+1522
+1519
+1517
+1514
+1512
+1510
+1507
+1505
+1502
+1500
+1497
+1495
+1493
+1490
+1488
+1485
+1483
+1481
+1478
+1476
+1473
+1471
+1468
+1466
+1463
+1461
+1459
+1456
+1454
+1451
+1449
+1446
+1444
+1442
+1439
+1437
+1434
+1432
+1429
+1427
+1424
+1422
+1419
+1417
+1414
+1412
+1410
+1407
+1405
+1402
+1400
+1397
+1395
+1392
+1390
+1387
+1385
+1382
+1380
+1377
+1375
+1372
+1370
+1367
+1365
+1363
+1360
+1358
+1355
+1353
+1350
+1348
+1345
+1343
+1340
+1338
+1335
+1333
+1330
+1328
+1325
+1323
+1320
+1318
+1315
+1313
+1310
+1308
+1305
+1303
+1300
+1298
+1295
+1293
+1290
+1288
+1285
+1283
+1280
+1278
+1275
+1273
+1270
+1268
+1265
+1263
+1260
+1258
+1255
+1253
+1250
+1248
+1245
+1243
+1240
+1238
+1235
+1233
+1230
+1228
+1225
+1223
+1220
+1218
+1216
+1213
+1211
+1208
+1206
+1203
+1201
+1198
+1196
+1193
+1191
+1188
+1186
+1183
+1181
+1178
+1176
+1173
+1171
+1168
+1166
+1163
+1161
+1158
+1156
+1153
+1151
+1149
+1146
+1144
+1141
+1139
+1136
+1134
+1131
+1129
+1126
+1124
+1121
+1119
+1116
+1114
+1112
+1109
+1107
+1104
+1102
+1099
+1097
+1094
+1092
+1089
+1087
+1085
+1082
+1080
+1077
+1075
+1072
+1070
+1067
+1065
+1063
+1060
+1058
+1055
+1053
+1050
+1048
+1046
+1043
+1041
+1038
+1036
+1034
+1031
+1029
+1026
+1024
+1021
+1019
+1017
+1014
+1012
+1009
+1007
+1005
+1002
+1000
+997
+995
+993
+990
+988
+985
+983
+981
+978
+976
+974
+971
+969
+966
+964
+962
+959
+957
+955
+952
+950
+948
+945
+943
+941
+938
+936
+933
+931
+929
+926
+924
+922
+919
+917
+915
+912
+910
+908
+906
+903
+901
+899
+896
+894
+892
+889
+887
+885
+883
+880
+878
+876
+873
+871
+869
+867
+864
+862
+860
+857
+855
+853
+851
+848
+846
+844
+842
+839
+837
+835
+833
+830
+828
+826
+824
+821
+819
+817
+815
+813
+810
+808
+806
+804
+801
+799
+797
+795
+793
+790
+788
+786
+784
+782
+780
+777
+775
+773
+771
+769
+767
+764
+762
+760
+758
+756
+754
+751
+749
+747
+745
+743
+741
+739
+737
+734
+732
+730
+728
+726
+724
+722
+720
+718
+715
+713
+711
+709
+707
+705
+703
+701
+699
+697
+695
+693
+691
+688
+686
+684
+682
+680
+678
+676
+674
+672
+670
+668
+666
+664
+662
+660
+658
+656
+654
+652
+650
+648
+646
+644
+642
+640
+638
+636
+634
+632
+630
+628
+626
+624
+622
+620
+618
+616
+615
+613
+611
+609
+607
+605
+603
+601
+599
+597
+595
+593
+591
+590
+588
+586
+584
+582
+580
+578
+576
+574
+573
+571
+569
+567
+565
+563
+561
+560
+558
+556
+554
+552
+550
+549
+547
+545
+543
+541
+539
+538
+536
+534
+532
+530
+529
+527
+525
+523
+522
+520
+518
+516
+514
+513
+511
+509
+507
+506
+504
+502
+501
+499
+497
+495
+494
+492
+490
+488
+487
+485
+483
+482
+480
+478
+477
+475
+473
+471
+470
+468
+466
+465
+463
+461
+460
+458
+457
+455
+453
+452
+450
+448
+447
+445
+444
+442
+440
+439
+437
+436
+434
+432
+431
+429
+428
+426
+424
+423
+421
+420
+418
+417
+415
+413
+412
+410
+409
+407
+406
+404
+403
+401
+400
+398
+397
+395
+394
+392
+391
+389
+388
+386
+385
+383
+382
+380
+379
+377
+376
+374
+373
+371
+370
+368
+367
+366
+364
+363
+361
+360
+358
+357
+356
+354
+353
+351
+350
+349
+347
+346
+344
+343
+342
+340
+339
+338
+336
+335
+333
+332
+331
+329
+328
+327
+325
+324
+323
+321
+320
+319
+317
+316
+315
+313
+312
+311
+309
+308
+307
+306
+304
+303
+302
+301
+299
+298
+297
+295
+294
+293
+292
+290
+289
+288
+287
+285
+284
+283
+282
+280
+279
+278
+277
+276
+274
+273
+272
+271
+270
+269
+267
+266
+265
+264
+263
+262
+260
+259
+258
+257
+256
+255
+253
+252
+251
+250
+249
+248
+247
+245
+244
+243
+242
+241
+240
+239
+238
+237
+236
+234
+233
+232
+231
+230
+229
+228
+227
+226
+225
+224
+223
+222
+221
+220
+218
+217
+216
+215
+214
+213
+212
+211
+210
+209
+208
+207
+206
+205
+204
+203
+202
+201
+200
+199
+198
+197
+197
+196
+195
+194
+193
+192
+191
+190
+189
+188
+187
+186
+185
+184
+183
+182
+181
+180
+179
+178
+178
+177
+176
+175
+174
+173
+172
+171
+171
+170
+169
+168
+167
+166
+165
+165
+164
+163
+162
+161
+160
+159
+158
+158
+157
+156
+155
+154
+153
+152
+152
+151
+150
+149
+148
+148
+147
+146
+145
+145
+144
+143
+142
+142
+141
+140
+139
+138
+138
+137
+136
+135
+135
+134
+133
+132
+131
+131
+130
+129
+128
+128
+127
+126
+125
+125
+124
+123
+123
+122
+121
+121
+120
+119
+119
+118
+117
+117
+116
+115
+115
+114
+113
+113
+112
+111
+110
+110
+109
+108
+108
+107
+106
+106
+105
+104
+104
+103
+102
+102
+101
+101
+100
+100
+99
+98
+98
+97
+97
+96
+96
+95
+94
+94
+93
+93
+92
+91
+91
+90
+89
+89
+88
+87
+87
+86
+85
+85
+84
+84
+83
+83
+82
+82
+81
+81
+81
+80
+80
+79
+79
+78
+78
+77
+77
+76
+76
+75
+74
+74
+73
+73
+72
+71
+71
+70
+69
+69
+68
+68
+67
+67
+66
+66
+66
+66
+65
+65
+65
+64
+64
+64
+64
+63
+63
+62
+62
+61
+61
+60
+60
+59
+58
+57
+57
+56
+55
+55
+54
+53
+53
+52
+52
+51
+51
+51
+51
+51
+51
+51
+51
+52
+52
+52
+52
+52
+52
+52
+51
+51
+50
+49
+48
+47
+46
+44
+43
+41
+40
+38
+37
+35
+34
+33
+33
+32
+32
+33
+34
+36
+38
+41
+44
+48
+53
+58
+63
+69
+76
+83
+90
+97
+104
+111
+118
+125
+132
+138
+143
+148
+152
+156
+158
+160
+161
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_0.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_0.mif
new file mode 100644
index 0000000000000000000000000000000000000000..3e66dd979a0be6791249fde9df7397fafd366c0f
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_0.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  69a ; 
+ 1   :  698 ; 
+ 2   :  695 ; 
+ 3   :  693 ; 
+ 4   :  691 ; 
+ 5   :  68f ; 
+ 6   :  68d ; 
+ 7   :  68a ; 
+ 8   :  688 ; 
+ 9   :  686 ; 
+ a   :  684 ; 
+ b   :  681 ; 
+ c   :  67f ; 
+ d   :  67d ; 
+ e   :  67b ; 
+ f   :  678 ; 
+ 10   :  676 ; 
+ 11   :  674 ; 
+ 12   :  672 ; 
+ 13   :  66f ; 
+ 14   :  66d ; 
+ 15   :  66b ; 
+ 16   :  669 ; 
+ 17   :  666 ; 
+ 18   :  664 ; 
+ 19   :  662 ; 
+ 1a   :  660 ; 
+ 1b   :  65d ; 
+ 1c   :  65b ; 
+ 1d   :  659 ; 
+ 1e   :  656 ; 
+ 1f   :  654 ; 
+ 20   :  652 ; 
+ 21   :  650 ; 
+ 22   :  64d ; 
+ 23   :  64b ; 
+ 24   :  649 ; 
+ 25   :  646 ; 
+ 26   :  644 ; 
+ 27   :  642 ; 
+ 28   :  63f ; 
+ 29   :  63d ; 
+ 2a   :  63b ; 
+ 2b   :  638 ; 
+ 2c   :  636 ; 
+ 2d   :  634 ; 
+ 2e   :  631 ; 
+ 2f   :  62f ; 
+ 30   :  62d ; 
+ 31   :  62a ; 
+ 32   :  628 ; 
+ 33   :  626 ; 
+ 34   :  623 ; 
+ 35   :  621 ; 
+ 36   :  61f ; 
+ 37   :  61c ; 
+ 38   :  61a ; 
+ 39   :  618 ; 
+ 3a   :  615 ; 
+ 3b   :  613 ; 
+ 3c   :  611 ; 
+ 3d   :  60e ; 
+ 3e   :  60c ; 
+ 3f   :  609 ; 
+ 40   :  607 ; 
+ 41   :  605 ; 
+ 42   :  602 ; 
+ 43   :  600 ; 
+ 44   :  5fe ; 
+ 45   :  5fb ; 
+ 46   :  5f9 ; 
+ 47   :  5f6 ; 
+ 48   :  5f4 ; 
+ 49   :  5f2 ; 
+ 4a   :  5ef ; 
+ 4b   :  5ed ; 
+ 4c   :  5ea ; 
+ 4d   :  5e8 ; 
+ 4e   :  5e6 ; 
+ 4f   :  5e3 ; 
+ 50   :  5e1 ; 
+ 51   :  5de ; 
+ 52   :  5dc ; 
+ 53   :  5d9 ; 
+ 54   :  5d7 ; 
+ 55   :  5d5 ; 
+ 56   :  5d2 ; 
+ 57   :  5d0 ; 
+ 58   :  5cd ; 
+ 59   :  5cb ; 
+ 5a   :  5c9 ; 
+ 5b   :  5c6 ; 
+ 5c   :  5c4 ; 
+ 5d   :  5c1 ; 
+ 5e   :  5bf ; 
+ 5f   :  5bc ; 
+ 60   :  5ba ; 
+ 61   :  5b7 ; 
+ 62   :  5b5 ; 
+ 63   :  5b3 ; 
+ 64   :  5b0 ; 
+ 65   :  5ae ; 
+ 66   :  5ab ; 
+ 67   :  5a9 ; 
+ 68   :  5a6 ; 
+ 69   :  5a4 ; 
+ 6a   :  5a2 ; 
+ 6b   :  59f ; 
+ 6c   :  59d ; 
+ 6d   :  59a ; 
+ 6e   :  598 ; 
+ 6f   :  595 ; 
+ 70   :  593 ; 
+ 71   :  590 ; 
+ 72   :  58e ; 
+ 73   :  58b ; 
+ 74   :  589 ; 
+ 75   :  586 ; 
+ 76   :  584 ; 
+ 77   :  582 ; 
+ 78   :  57f ; 
+ 79   :  57d ; 
+ 7a   :  57a ; 
+ 7b   :  578 ; 
+ 7c   :  575 ; 
+ 7d   :  573 ; 
+ 7e   :  570 ; 
+ 7f   :  56e ; 
+ 80   :  56b ; 
+ 81   :  569 ; 
+ 82   :  566 ; 
+ 83   :  564 ; 
+ 84   :  561 ; 
+ 85   :  55f ; 
+ 86   :  55c ; 
+ 87   :  55a ; 
+ 88   :  557 ; 
+ 89   :  555 ; 
+ 8a   :  553 ; 
+ 8b   :  550 ; 
+ 8c   :  54e ; 
+ 8d   :  54b ; 
+ 8e   :  549 ; 
+ 8f   :  546 ; 
+ 90   :  544 ; 
+ 91   :  541 ; 
+ 92   :  53f ; 
+ 93   :  53c ; 
+ 94   :  53a ; 
+ 95   :  537 ; 
+ 96   :  535 ; 
+ 97   :  532 ; 
+ 98   :  530 ; 
+ 99   :  52d ; 
+ 9a   :  52b ; 
+ 9b   :  528 ; 
+ 9c   :  526 ; 
+ 9d   :  523 ; 
+ 9e   :  521 ; 
+ 9f   :  51e ; 
+ a0   :  51c ; 
+ a1   :  519 ; 
+ a2   :  517 ; 
+ a3   :  514 ; 
+ a4   :  512 ; 
+ a5   :  50f ; 
+ a6   :  50d ; 
+ a7   :  50a ; 
+ a8   :  508 ; 
+ a9   :  505 ; 
+ aa   :  503 ; 
+ ab   :  500 ; 
+ ac   :  4fe ; 
+ ad   :  4fb ; 
+ ae   :  4f9 ; 
+ af   :  4f6 ; 
+ b0   :  4f4 ; 
+ b1   :  4f1 ; 
+ b2   :  4ef ; 
+ b3   :  4ec ; 
+ b4   :  4ea ; 
+ b5   :  4e7 ; 
+ b6   :  4e5 ; 
+ b7   :  4e2 ; 
+ b8   :  4e0 ; 
+ b9   :  4dd ; 
+ ba   :  4db ; 
+ bb   :  4d8 ; 
+ bc   :  4d6 ; 
+ bd   :  4d3 ; 
+ be   :  4d1 ; 
+ bf   :  4ce ; 
+ c0   :  4cc ; 
+ c1   :  4c9 ; 
+ c2   :  4c7 ; 
+ c3   :  4c4 ; 
+ c4   :  4c2 ; 
+ c5   :  4c0 ; 
+ c6   :  4bd ; 
+ c7   :  4bb ; 
+ c8   :  4b8 ; 
+ c9   :  4b6 ; 
+ ca   :  4b3 ; 
+ cb   :  4b1 ; 
+ cc   :  4ae ; 
+ cd   :  4ac ; 
+ ce   :  4a9 ; 
+ cf   :  4a7 ; 
+ d0   :  4a4 ; 
+ d1   :  4a2 ; 
+ d2   :  49f ; 
+ d3   :  49d ; 
+ d4   :  49a ; 
+ d5   :  498 ; 
+ d6   :  495 ; 
+ d7   :  493 ; 
+ d8   :  490 ; 
+ d9   :  48e ; 
+ da   :  48b ; 
+ db   :  489 ; 
+ dc   :  486 ; 
+ dd   :  484 ; 
+ de   :  481 ; 
+ df   :  47f ; 
+ e0   :  47d ; 
+ e1   :  47a ; 
+ e2   :  478 ; 
+ e3   :  475 ; 
+ e4   :  473 ; 
+ e5   :  470 ; 
+ e6   :  46e ; 
+ e7   :  46b ; 
+ e8   :  469 ; 
+ e9   :  466 ; 
+ ea   :  464 ; 
+ eb   :  461 ; 
+ ec   :  45f ; 
+ ed   :  45c ; 
+ ee   :  45a ; 
+ ef   :  458 ; 
+ f0   :  455 ; 
+ f1   :  453 ; 
+ f2   :  450 ; 
+ f3   :  44e ; 
+ f4   :  44b ; 
+ f5   :  449 ; 
+ f6   :  446 ; 
+ f7   :  444 ; 
+ f8   :  441 ; 
+ f9   :  43f ; 
+ fa   :  43d ; 
+ fb   :  43a ; 
+ fc   :  438 ; 
+ fd   :  435 ; 
+ fe   :  433 ; 
+ ff   :  430 ; 
+ 100   :  42e ; 
+ 101   :  42b ; 
+ 102   :  429 ; 
+ 103   :  427 ; 
+ 104   :  424 ; 
+ 105   :  422 ; 
+ 106   :  41f ; 
+ 107   :  41d ; 
+ 108   :  41a ; 
+ 109   :  418 ; 
+ 10a   :  416 ; 
+ 10b   :  413 ; 
+ 10c   :  411 ; 
+ 10d   :  40e ; 
+ 10e   :  40c ; 
+ 10f   :  40a ; 
+ 110   :  407 ; 
+ 111   :  405 ; 
+ 112   :  402 ; 
+ 113   :  400 ; 
+ 114   :  3fd ; 
+ 115   :  3fb ; 
+ 116   :  3f9 ; 
+ 117   :  3f6 ; 
+ 118   :  3f4 ; 
+ 119   :  3f1 ; 
+ 11a   :  3ef ; 
+ 11b   :  3ed ; 
+ 11c   :  3ea ; 
+ 11d   :  3e8 ; 
+ 11e   :  3e5 ; 
+ 11f   :  3e3 ; 
+ 120   :  3e1 ; 
+ 121   :  3de ; 
+ 122   :  3dc ; 
+ 123   :  3d9 ; 
+ 124   :  3d7 ; 
+ 125   :  3d5 ; 
+ 126   :  3d2 ; 
+ 127   :  3d0 ; 
+ 128   :  3ce ; 
+ 129   :  3cb ; 
+ 12a   :  3c9 ; 
+ 12b   :  3c6 ; 
+ 12c   :  3c4 ; 
+ 12d   :  3c2 ; 
+ 12e   :  3bf ; 
+ 12f   :  3bd ; 
+ 130   :  3bb ; 
+ 131   :  3b8 ; 
+ 132   :  3b6 ; 
+ 133   :  3b4 ; 
+ 134   :  3b1 ; 
+ 135   :  3af ; 
+ 136   :  3ad ; 
+ 137   :  3aa ; 
+ 138   :  3a8 ; 
+ 139   :  3a5 ; 
+ 13a   :  3a3 ; 
+ 13b   :  3a1 ; 
+ 13c   :  39e ; 
+ 13d   :  39c ; 
+ 13e   :  39a ; 
+ 13f   :  397 ; 
+ 140   :  395 ; 
+ 141   :  393 ; 
+ 142   :  390 ; 
+ 143   :  38e ; 
+ 144   :  38c ; 
+ 145   :  38a ; 
+ 146   :  387 ; 
+ 147   :  385 ; 
+ 148   :  383 ; 
+ 149   :  380 ; 
+ 14a   :  37e ; 
+ 14b   :  37c ; 
+ 14c   :  379 ; 
+ 14d   :  377 ; 
+ 14e   :  375 ; 
+ 14f   :  373 ; 
+ 150   :  370 ; 
+ 151   :  36e ; 
+ 152   :  36c ; 
+ 153   :  369 ; 
+ 154   :  367 ; 
+ 155   :  365 ; 
+ 156   :  363 ; 
+ 157   :  360 ; 
+ 158   :  35e ; 
+ 159   :  35c ; 
+ 15a   :  359 ; 
+ 15b   :  357 ; 
+ 15c   :  355 ; 
+ 15d   :  353 ; 
+ 15e   :  350 ; 
+ 15f   :  34e ; 
+ 160   :  34c ; 
+ 161   :  34a ; 
+ 162   :  347 ; 
+ 163   :  345 ; 
+ 164   :  343 ; 
+ 165   :  341 ; 
+ 166   :  33e ; 
+ 167   :  33c ; 
+ 168   :  33a ; 
+ 169   :  338 ; 
+ 16a   :  335 ; 
+ 16b   :  333 ; 
+ 16c   :  331 ; 
+ 16d   :  32f ; 
+ 16e   :  32d ; 
+ 16f   :  32a ; 
+ 170   :  328 ; 
+ 171   :  326 ; 
+ 172   :  324 ; 
+ 173   :  321 ; 
+ 174   :  31f ; 
+ 175   :  31d ; 
+ 176   :  31b ; 
+ 177   :  319 ; 
+ 178   :  316 ; 
+ 179   :  314 ; 
+ 17a   :  312 ; 
+ 17b   :  310 ; 
+ 17c   :  30e ; 
+ 17d   :  30c ; 
+ 17e   :  309 ; 
+ 17f   :  307 ; 
+ 180   :  305 ; 
+ 181   :  303 ; 
+ 182   :  301 ; 
+ 183   :  2ff ; 
+ 184   :  2fc ; 
+ 185   :  2fa ; 
+ 186   :  2f8 ; 
+ 187   :  2f6 ; 
+ 188   :  2f4 ; 
+ 189   :  2f2 ; 
+ 18a   :  2ef ; 
+ 18b   :  2ed ; 
+ 18c   :  2eb ; 
+ 18d   :  2e9 ; 
+ 18e   :  2e7 ; 
+ 18f   :  2e5 ; 
+ 190   :  2e3 ; 
+ 191   :  2e1 ; 
+ 192   :  2de ; 
+ 193   :  2dc ; 
+ 194   :  2da ; 
+ 195   :  2d8 ; 
+ 196   :  2d6 ; 
+ 197   :  2d4 ; 
+ 198   :  2d2 ; 
+ 199   :  2d0 ; 
+ 19a   :  2ce ; 
+ 19b   :  2cb ; 
+ 19c   :  2c9 ; 
+ 19d   :  2c7 ; 
+ 19e   :  2c5 ; 
+ 19f   :  2c3 ; 
+ 1a0   :  2c1 ; 
+ 1a1   :  2bf ; 
+ 1a2   :  2bd ; 
+ 1a3   :  2bb ; 
+ 1a4   :  2b9 ; 
+ 1a5   :  2b7 ; 
+ 1a6   :  2b5 ; 
+ 1a7   :  2b3 ; 
+ 1a8   :  2b0 ; 
+ 1a9   :  2ae ; 
+ 1aa   :  2ac ; 
+ 1ab   :  2aa ; 
+ 1ac   :  2a8 ; 
+ 1ad   :  2a6 ; 
+ 1ae   :  2a4 ; 
+ 1af   :  2a2 ; 
+ 1b0   :  2a0 ; 
+ 1b1   :  29e ; 
+ 1b2   :  29c ; 
+ 1b3   :  29a ; 
+ 1b4   :  298 ; 
+ 1b5   :  296 ; 
+ 1b6   :  294 ; 
+ 1b7   :  292 ; 
+ 1b8   :  290 ; 
+ 1b9   :  28e ; 
+ 1ba   :  28c ; 
+ 1bb   :  28a ; 
+ 1bc   :  288 ; 
+ 1bd   :  286 ; 
+ 1be   :  284 ; 
+ 1bf   :  282 ; 
+ 1c0   :  280 ; 
+ 1c1   :  27e ; 
+ 1c2   :  27c ; 
+ 1c3   :  27a ; 
+ 1c4   :  278 ; 
+ 1c5   :  276 ; 
+ 1c6   :  274 ; 
+ 1c7   :  272 ; 
+ 1c8   :  270 ; 
+ 1c9   :  26e ; 
+ 1ca   :  26c ; 
+ 1cb   :  26a ; 
+ 1cc   :  268 ; 
+ 1cd   :  267 ; 
+ 1ce   :  265 ; 
+ 1cf   :  263 ; 
+ 1d0   :  261 ; 
+ 1d1   :  25f ; 
+ 1d2   :  25d ; 
+ 1d3   :  25b ; 
+ 1d4   :  259 ; 
+ 1d5   :  257 ; 
+ 1d6   :  255 ; 
+ 1d7   :  253 ; 
+ 1d8   :  251 ; 
+ 1d9   :  24f ; 
+ 1da   :  24e ; 
+ 1db   :  24c ; 
+ 1dc   :  24a ; 
+ 1dd   :  248 ; 
+ 1de   :  246 ; 
+ 1df   :  244 ; 
+ 1e0   :  242 ; 
+ 1e1   :  240 ; 
+ 1e2   :  23e ; 
+ 1e3   :  23d ; 
+ 1e4   :  23b ; 
+ 1e5   :  239 ; 
+ 1e6   :  237 ; 
+ 1e7   :  235 ; 
+ 1e8   :  233 ; 
+ 1e9   :  231 ; 
+ 1ea   :  230 ; 
+ 1eb   :  22e ; 
+ 1ec   :  22c ; 
+ 1ed   :  22a ; 
+ 1ee   :  228 ; 
+ 1ef   :  226 ; 
+ 1f0   :  225 ; 
+ 1f1   :  223 ; 
+ 1f2   :  221 ; 
+ 1f3   :  21f ; 
+ 1f4   :  21d ; 
+ 1f5   :  21b ; 
+ 1f6   :  21a ; 
+ 1f7   :  218 ; 
+ 1f8   :  216 ; 
+ 1f9   :  214 ; 
+ 1fa   :  212 ; 
+ 1fb   :  211 ; 
+ 1fc   :  20f ; 
+ 1fd   :  20d ; 
+ 1fe   :  20b ; 
+ 1ff   :  20a ; 
+ 200   :  208 ; 
+ 201   :  206 ; 
+ 202   :  204 ; 
+ 203   :  202 ; 
+ 204   :  201 ; 
+ 205   :  1ff ; 
+ 206   :  1fd ; 
+ 207   :  1fb ; 
+ 208   :  1fa ; 
+ 209   :  1f8 ; 
+ 20a   :  1f6 ; 
+ 20b   :  1f5 ; 
+ 20c   :  1f3 ; 
+ 20d   :  1f1 ; 
+ 20e   :  1ef ; 
+ 20f   :  1ee ; 
+ 210   :  1ec ; 
+ 211   :  1ea ; 
+ 212   :  1e8 ; 
+ 213   :  1e7 ; 
+ 214   :  1e5 ; 
+ 215   :  1e3 ; 
+ 216   :  1e2 ; 
+ 217   :  1e0 ; 
+ 218   :  1de ; 
+ 219   :  1dd ; 
+ 21a   :  1db ; 
+ 21b   :  1d9 ; 
+ 21c   :  1d7 ; 
+ 21d   :  1d6 ; 
+ 21e   :  1d4 ; 
+ 21f   :  1d2 ; 
+ 220   :  1d1 ; 
+ 221   :  1cf ; 
+ 222   :  1cd ; 
+ 223   :  1cc ; 
+ 224   :  1ca ; 
+ 225   :  1c9 ; 
+ 226   :  1c7 ; 
+ 227   :  1c5 ; 
+ 228   :  1c4 ; 
+ 229   :  1c2 ; 
+ 22a   :  1c0 ; 
+ 22b   :  1bf ; 
+ 22c   :  1bd ; 
+ 22d   :  1bc ; 
+ 22e   :  1ba ; 
+ 22f   :  1b8 ; 
+ 230   :  1b7 ; 
+ 231   :  1b5 ; 
+ 232   :  1b4 ; 
+ 233   :  1b2 ; 
+ 234   :  1b0 ; 
+ 235   :  1af ; 
+ 236   :  1ad ; 
+ 237   :  1ac ; 
+ 238   :  1aa ; 
+ 239   :  1a8 ; 
+ 23a   :  1a7 ; 
+ 23b   :  1a5 ; 
+ 23c   :  1a4 ; 
+ 23d   :  1a2 ; 
+ 23e   :  1a1 ; 
+ 23f   :  19f ; 
+ 240   :  19d ; 
+ 241   :  19c ; 
+ 242   :  19a ; 
+ 243   :  199 ; 
+ 244   :  197 ; 
+ 245   :  196 ; 
+ 246   :  194 ; 
+ 247   :  193 ; 
+ 248   :  191 ; 
+ 249   :  190 ; 
+ 24a   :  18e ; 
+ 24b   :  18d ; 
+ 24c   :  18b ; 
+ 24d   :  18a ; 
+ 24e   :  188 ; 
+ 24f   :  187 ; 
+ 250   :  185 ; 
+ 251   :  184 ; 
+ 252   :  182 ; 
+ 253   :  181 ; 
+ 254   :  17f ; 
+ 255   :  17e ; 
+ 256   :  17c ; 
+ 257   :  17b ; 
+ 258   :  179 ; 
+ 259   :  178 ; 
+ 25a   :  176 ; 
+ 25b   :  175 ; 
+ 25c   :  173 ; 
+ 25d   :  172 ; 
+ 25e   :  170 ; 
+ 25f   :  16f ; 
+ 260   :  16e ; 
+ 261   :  16c ; 
+ 262   :  16b ; 
+ 263   :  169 ; 
+ 264   :  168 ; 
+ 265   :  166 ; 
+ 266   :  165 ; 
+ 267   :  164 ; 
+ 268   :  162 ; 
+ 269   :  161 ; 
+ 26a   :  15f ; 
+ 26b   :  15e ; 
+ 26c   :  15d ; 
+ 26d   :  15b ; 
+ 26e   :  15a ; 
+ 26f   :  158 ; 
+ 270   :  157 ; 
+ 271   :  156 ; 
+ 272   :  154 ; 
+ 273   :  153 ; 
+ 274   :  152 ; 
+ 275   :  150 ; 
+ 276   :  14f ; 
+ 277   :  14d ; 
+ 278   :  14c ; 
+ 279   :  14b ; 
+ 27a   :  149 ; 
+ 27b   :  148 ; 
+ 27c   :  147 ; 
+ 27d   :  145 ; 
+ 27e   :  144 ; 
+ 27f   :  143 ; 
+ 280   :  141 ; 
+ 281   :  140 ; 
+ 282   :  13f ; 
+ 283   :  13d ; 
+ 284   :  13c ; 
+ 285   :  13b ; 
+ 286   :  139 ; 
+ 287   :  138 ; 
+ 288   :  137 ; 
+ 289   :  135 ; 
+ 28a   :  134 ; 
+ 28b   :  133 ; 
+ 28c   :  132 ; 
+ 28d   :  130 ; 
+ 28e   :  12f ; 
+ 28f   :  12e ; 
+ 290   :  12d ; 
+ 291   :  12b ; 
+ 292   :  12a ; 
+ 293   :  129 ; 
+ 294   :  127 ; 
+ 295   :  126 ; 
+ 296   :  125 ; 
+ 297   :  124 ; 
+ 298   :  122 ; 
+ 299   :  121 ; 
+ 29a   :  120 ; 
+ 29b   :  11f ; 
+ 29c   :  11d ; 
+ 29d   :  11c ; 
+ 29e   :  11b ; 
+ 29f   :  11a ; 
+ 2a0   :  118 ; 
+ 2a1   :  117 ; 
+ 2a2   :  116 ; 
+ 2a3   :  115 ; 
+ 2a4   :  114 ; 
+ 2a5   :  112 ; 
+ 2a6   :  111 ; 
+ 2a7   :  110 ; 
+ 2a8   :  10f ; 
+ 2a9   :  10e ; 
+ 2aa   :  10d ; 
+ 2ab   :  10b ; 
+ 2ac   :  10a ; 
+ 2ad   :  109 ; 
+ 2ae   :  108 ; 
+ 2af   :  107 ; 
+ 2b0   :  106 ; 
+ 2b1   :  104 ; 
+ 2b2   :  103 ; 
+ 2b3   :  102 ; 
+ 2b4   :  101 ; 
+ 2b5   :  100 ; 
+ 2b6   :  ff ; 
+ 2b7   :  fd ; 
+ 2b8   :  fc ; 
+ 2b9   :  fb ; 
+ 2ba   :  fa ; 
+ 2bb   :  f9 ; 
+ 2bc   :  f8 ; 
+ 2bd   :  f7 ; 
+ 2be   :  f5 ; 
+ 2bf   :  f4 ; 
+ 2c0   :  f3 ; 
+ 2c1   :  f2 ; 
+ 2c2   :  f1 ; 
+ 2c3   :  f0 ; 
+ 2c4   :  ef ; 
+ 2c5   :  ee ; 
+ 2c6   :  ed ; 
+ 2c7   :  ec ; 
+ 2c8   :  ea ; 
+ 2c9   :  e9 ; 
+ 2ca   :  e8 ; 
+ 2cb   :  e7 ; 
+ 2cc   :  e6 ; 
+ 2cd   :  e5 ; 
+ 2ce   :  e4 ; 
+ 2cf   :  e3 ; 
+ 2d0   :  e2 ; 
+ 2d1   :  e1 ; 
+ 2d2   :  e0 ; 
+ 2d3   :  df ; 
+ 2d4   :  de ; 
+ 2d5   :  dd ; 
+ 2d6   :  dc ; 
+ 2d7   :  da ; 
+ 2d8   :  d9 ; 
+ 2d9   :  d8 ; 
+ 2da   :  d7 ; 
+ 2db   :  d6 ; 
+ 2dc   :  d5 ; 
+ 2dd   :  d4 ; 
+ 2de   :  d3 ; 
+ 2df   :  d2 ; 
+ 2e0   :  d1 ; 
+ 2e1   :  d0 ; 
+ 2e2   :  cf ; 
+ 2e3   :  ce ; 
+ 2e4   :  cd ; 
+ 2e5   :  cc ; 
+ 2e6   :  cb ; 
+ 2e7   :  ca ; 
+ 2e8   :  c9 ; 
+ 2e9   :  c8 ; 
+ 2ea   :  c7 ; 
+ 2eb   :  c6 ; 
+ 2ec   :  c5 ; 
+ 2ed   :  c5 ; 
+ 2ee   :  c4 ; 
+ 2ef   :  c3 ; 
+ 2f0   :  c2 ; 
+ 2f1   :  c1 ; 
+ 2f2   :  c0 ; 
+ 2f3   :  bf ; 
+ 2f4   :  be ; 
+ 2f5   :  bd ; 
+ 2f6   :  bc ; 
+ 2f7   :  bb ; 
+ 2f8   :  ba ; 
+ 2f9   :  b9 ; 
+ 2fa   :  b8 ; 
+ 2fb   :  b7 ; 
+ 2fc   :  b6 ; 
+ 2fd   :  b5 ; 
+ 2fe   :  b4 ; 
+ 2ff   :  b3 ; 
+ 300   :  b2 ; 
+ 301   :  b2 ; 
+ 302   :  b1 ; 
+ 303   :  b0 ; 
+ 304   :  af ; 
+ 305   :  ae ; 
+ 306   :  ad ; 
+ 307   :  ac ; 
+ 308   :  ab ; 
+ 309   :  ab ; 
+ 30a   :  aa ; 
+ 30b   :  a9 ; 
+ 30c   :  a8 ; 
+ 30d   :  a7 ; 
+ 30e   :  a6 ; 
+ 30f   :  a5 ; 
+ 310   :  a5 ; 
+ 311   :  a4 ; 
+ 312   :  a3 ; 
+ 313   :  a2 ; 
+ 314   :  a1 ; 
+ 315   :  a0 ; 
+ 316   :  9f ; 
+ 317   :  9e ; 
+ 318   :  9e ; 
+ 319   :  9d ; 
+ 31a   :  9c ; 
+ 31b   :  9b ; 
+ 31c   :  9a ; 
+ 31d   :  99 ; 
+ 31e   :  98 ; 
+ 31f   :  98 ; 
+ 320   :  97 ; 
+ 321   :  96 ; 
+ 322   :  95 ; 
+ 323   :  94 ; 
+ 324   :  94 ; 
+ 325   :  93 ; 
+ 326   :  92 ; 
+ 327   :  91 ; 
+ 328   :  91 ; 
+ 329   :  90 ; 
+ 32a   :  8f ; 
+ 32b   :  8e ; 
+ 32c   :  8e ; 
+ 32d   :  8d ; 
+ 32e   :  8c ; 
+ 32f   :  8b ; 
+ 330   :  8a ; 
+ 331   :  8a ; 
+ 332   :  89 ; 
+ 333   :  88 ; 
+ 334   :  87 ; 
+ 335   :  87 ; 
+ 336   :  86 ; 
+ 337   :  85 ; 
+ 338   :  84 ; 
+ 339   :  83 ; 
+ 33a   :  83 ; 
+ 33b   :  82 ; 
+ 33c   :  81 ; 
+ 33d   :  80 ; 
+ 33e   :  80 ; 
+ 33f   :  7f ; 
+ 340   :  7e ; 
+ 341   :  7d ; 
+ 342   :  7d ; 
+ 343   :  7c ; 
+ 344   :  7b ; 
+ 345   :  7b ; 
+ 346   :  7a ; 
+ 347   :  79 ; 
+ 348   :  79 ; 
+ 349   :  78 ; 
+ 34a   :  77 ; 
+ 34b   :  77 ; 
+ 34c   :  76 ; 
+ 34d   :  75 ; 
+ 34e   :  75 ; 
+ 34f   :  74 ; 
+ 350   :  73 ; 
+ 351   :  73 ; 
+ 352   :  72 ; 
+ 353   :  71 ; 
+ 354   :  71 ; 
+ 355   :  70 ; 
+ 356   :  6f ; 
+ 357   :  6e ; 
+ 358   :  6e ; 
+ 359   :  6d ; 
+ 35a   :  6c ; 
+ 35b   :  6c ; 
+ 35c   :  6b ; 
+ 35d   :  6a ; 
+ 35e   :  6a ; 
+ 35f   :  69 ; 
+ 360   :  68 ; 
+ 361   :  68 ; 
+ 362   :  67 ; 
+ 363   :  66 ; 
+ 364   :  66 ; 
+ 365   :  65 ; 
+ 366   :  65 ; 
+ 367   :  64 ; 
+ 368   :  64 ; 
+ 369   :  63 ; 
+ 36a   :  62 ; 
+ 36b   :  62 ; 
+ 36c   :  61 ; 
+ 36d   :  61 ; 
+ 36e   :  60 ; 
+ 36f   :  60 ; 
+ 370   :  5f ; 
+ 371   :  5e ; 
+ 372   :  5e ; 
+ 373   :  5d ; 
+ 374   :  5d ; 
+ 375   :  5c ; 
+ 376   :  5b ; 
+ 377   :  5b ; 
+ 378   :  5a ; 
+ 379   :  59 ; 
+ 37a   :  59 ; 
+ 37b   :  58 ; 
+ 37c   :  57 ; 
+ 37d   :  57 ; 
+ 37e   :  56 ; 
+ 37f   :  55 ; 
+ 380   :  55 ; 
+ 381   :  54 ; 
+ 382   :  54 ; 
+ 383   :  53 ; 
+ 384   :  53 ; 
+ 385   :  52 ; 
+ 386   :  52 ; 
+ 387   :  51 ; 
+ 388   :  51 ; 
+ 389   :  51 ; 
+ 38a   :  50 ; 
+ 38b   :  50 ; 
+ 38c   :  4f ; 
+ 38d   :  4f ; 
+ 38e   :  4e ; 
+ 38f   :  4e ; 
+ 390   :  4d ; 
+ 391   :  4d ; 
+ 392   :  4c ; 
+ 393   :  4c ; 
+ 394   :  4b ; 
+ 395   :  4a ; 
+ 396   :  4a ; 
+ 397   :  49 ; 
+ 398   :  49 ; 
+ 399   :  48 ; 
+ 39a   :  47 ; 
+ 39b   :  47 ; 
+ 39c   :  46 ; 
+ 39d   :  45 ; 
+ 39e   :  45 ; 
+ 39f   :  44 ; 
+ 3a0   :  44 ; 
+ 3a1   :  43 ; 
+ 3a2   :  43 ; 
+ 3a3   :  42 ; 
+ 3a4   :  42 ; 
+ 3a5   :  42 ; 
+ 3a6   :  42 ; 
+ 3a7   :  41 ; 
+ 3a8   :  41 ; 
+ 3a9   :  41 ; 
+ 3aa   :  40 ; 
+ 3ab   :  40 ; 
+ 3ac   :  40 ; 
+ 3ad   :  40 ; 
+ 3ae   :  3f ; 
+ 3af   :  3f ; 
+ 3b0   :  3e ; 
+ 3b1   :  3e ; 
+ 3b2   :  3d ; 
+ 3b3   :  3d ; 
+ 3b4   :  3c ; 
+ 3b5   :  3c ; 
+ 3b6   :  3b ; 
+ 3b7   :  3a ; 
+ 3b8   :  39 ; 
+ 3b9   :  39 ; 
+ 3ba   :  38 ; 
+ 3bb   :  37 ; 
+ 3bc   :  37 ; 
+ 3bd   :  36 ; 
+ 3be   :  35 ; 
+ 3bf   :  35 ; 
+ 3c0   :  34 ; 
+ 3c1   :  34 ; 
+ 3c2   :  33 ; 
+ 3c3   :  33 ; 
+ 3c4   :  33 ; 
+ 3c5   :  33 ; 
+ 3c6   :  33 ; 
+ 3c7   :  33 ; 
+ 3c8   :  33 ; 
+ 3c9   :  33 ; 
+ 3ca   :  34 ; 
+ 3cb   :  34 ; 
+ 3cc   :  34 ; 
+ 3cd   :  34 ; 
+ 3ce   :  34 ; 
+ 3cf   :  34 ; 
+ 3d0   :  34 ; 
+ 3d1   :  33 ; 
+ 3d2   :  33 ; 
+ 3d3   :  32 ; 
+ 3d4   :  31 ; 
+ 3d5   :  30 ; 
+ 3d6   :  2f ; 
+ 3d7   :  2e ; 
+ 3d8   :  2c ; 
+ 3d9   :  2b ; 
+ 3da   :  29 ; 
+ 3db   :  28 ; 
+ 3dc   :  26 ; 
+ 3dd   :  25 ; 
+ 3de   :  23 ; 
+ 3df   :  22 ; 
+ 3e0   :  21 ; 
+ 3e1   :  21 ; 
+ 3e2   :  20 ; 
+ 3e3   :  20 ; 
+ 3e4   :  21 ; 
+ 3e5   :  22 ; 
+ 3e6   :  24 ; 
+ 3e7   :  26 ; 
+ 3e8   :  29 ; 
+ 3e9   :  2c ; 
+ 3ea   :  30 ; 
+ 3eb   :  35 ; 
+ 3ec   :  3a ; 
+ 3ed   :  3f ; 
+ 3ee   :  45 ; 
+ 3ef   :  4c ; 
+ 3f0   :  53 ; 
+ 3f1   :  5a ; 
+ 3f2   :  61 ; 
+ 3f3   :  68 ; 
+ 3f4   :  6f ; 
+ 3f5   :  76 ; 
+ 3f6   :  7d ; 
+ 3f7   :  84 ; 
+ 3f8   :  8a ; 
+ 3f9   :  8f ; 
+ 3fa   :  94 ; 
+ 3fb   :  98 ; 
+ 3fc   :  9c ; 
+ 3fd   :  9e ; 
+ 3fe   :  a0 ; 
+ 3ff   :  a1 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_1.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_1.mif
new file mode 100644
index 0000000000000000000000000000000000000000..695f3b34e1d18c4c16ed94db8bd347141e299ee7
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_1.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3fb77 ; 
+ 1   :  3fb7f ; 
+ 2   :  3fb86 ; 
+ 3   :  3fb8e ; 
+ 4   :  3fb96 ; 
+ 5   :  3fb9d ; 
+ 6   :  3fba5 ; 
+ 7   :  3fbac ; 
+ 8   :  3fbb4 ; 
+ 9   :  3fbbc ; 
+ a   :  3fbc3 ; 
+ b   :  3fbcb ; 
+ c   :  3fbd2 ; 
+ d   :  3fbda ; 
+ e   :  3fbe2 ; 
+ f   :  3fbe9 ; 
+ 10   :  3fbf1 ; 
+ 11   :  3fbf8 ; 
+ 12   :  3fc00 ; 
+ 13   :  3fc08 ; 
+ 14   :  3fc0f ; 
+ 15   :  3fc17 ; 
+ 16   :  3fc1e ; 
+ 17   :  3fc26 ; 
+ 18   :  3fc2e ; 
+ 19   :  3fc35 ; 
+ 1a   :  3fc3d ; 
+ 1b   :  3fc44 ; 
+ 1c   :  3fc4c ; 
+ 1d   :  3fc53 ; 
+ 1e   :  3fc5b ; 
+ 1f   :  3fc63 ; 
+ 20   :  3fc6a ; 
+ 21   :  3fc72 ; 
+ 22   :  3fc79 ; 
+ 23   :  3fc81 ; 
+ 24   :  3fc89 ; 
+ 25   :  3fc90 ; 
+ 26   :  3fc98 ; 
+ 27   :  3fc9f ; 
+ 28   :  3fca7 ; 
+ 29   :  3fcae ; 
+ 2a   :  3fcb6 ; 
+ 2b   :  3fcbe ; 
+ 2c   :  3fcc5 ; 
+ 2d   :  3fccd ; 
+ 2e   :  3fcd4 ; 
+ 2f   :  3fcdc ; 
+ 30   :  3fce3 ; 
+ 31   :  3fceb ; 
+ 32   :  3fcf2 ; 
+ 33   :  3fcfa ; 
+ 34   :  3fd01 ; 
+ 35   :  3fd09 ; 
+ 36   :  3fd11 ; 
+ 37   :  3fd18 ; 
+ 38   :  3fd20 ; 
+ 39   :  3fd27 ; 
+ 3a   :  3fd2f ; 
+ 3b   :  3fd36 ; 
+ 3c   :  3fd3e ; 
+ 3d   :  3fd45 ; 
+ 3e   :  3fd4d ; 
+ 3f   :  3fd54 ; 
+ 40   :  3fd5c ; 
+ 41   :  3fd63 ; 
+ 42   :  3fd6b ; 
+ 43   :  3fd72 ; 
+ 44   :  3fd7a ; 
+ 45   :  3fd81 ; 
+ 46   :  3fd89 ; 
+ 47   :  3fd90 ; 
+ 48   :  3fd98 ; 
+ 49   :  3fd9f ; 
+ 4a   :  3fda7 ; 
+ 4b   :  3fdae ; 
+ 4c   :  3fdb6 ; 
+ 4d   :  3fdbd ; 
+ 4e   :  3fdc4 ; 
+ 4f   :  3fdcc ; 
+ 50   :  3fdd3 ; 
+ 51   :  3fddb ; 
+ 52   :  3fde2 ; 
+ 53   :  3fdea ; 
+ 54   :  3fdf1 ; 
+ 55   :  3fdf9 ; 
+ 56   :  3fe00 ; 
+ 57   :  3fe07 ; 
+ 58   :  3fe0f ; 
+ 59   :  3fe16 ; 
+ 5a   :  3fe1e ; 
+ 5b   :  3fe25 ; 
+ 5c   :  3fe2c ; 
+ 5d   :  3fe34 ; 
+ 5e   :  3fe3b ; 
+ 5f   :  3fe43 ; 
+ 60   :  3fe4a ; 
+ 61   :  3fe51 ; 
+ 62   :  3fe59 ; 
+ 63   :  3fe60 ; 
+ 64   :  3fe67 ; 
+ 65   :  3fe6f ; 
+ 66   :  3fe76 ; 
+ 67   :  3fe7d ; 
+ 68   :  3fe85 ; 
+ 69   :  3fe8c ; 
+ 6a   :  3fe93 ; 
+ 6b   :  3fe9b ; 
+ 6c   :  3fea2 ; 
+ 6d   :  3fea9 ; 
+ 6e   :  3feb1 ; 
+ 6f   :  3feb8 ; 
+ 70   :  3febf ; 
+ 71   :  3fec7 ; 
+ 72   :  3fece ; 
+ 73   :  3fed5 ; 
+ 74   :  3fedc ; 
+ 75   :  3fee4 ; 
+ 76   :  3feeb ; 
+ 77   :  3fef2 ; 
+ 78   :  3fef9 ; 
+ 79   :  3ff01 ; 
+ 7a   :  3ff08 ; 
+ 7b   :  3ff0f ; 
+ 7c   :  3ff16 ; 
+ 7d   :  3ff1e ; 
+ 7e   :  3ff25 ; 
+ 7f   :  3ff2c ; 
+ 80   :  3ff33 ; 
+ 81   :  3ff3a ; 
+ 82   :  3ff42 ; 
+ 83   :  3ff49 ; 
+ 84   :  3ff50 ; 
+ 85   :  3ff57 ; 
+ 86   :  3ff5e ; 
+ 87   :  3ff65 ; 
+ 88   :  3ff6d ; 
+ 89   :  3ff74 ; 
+ 8a   :  3ff7b ; 
+ 8b   :  3ff82 ; 
+ 8c   :  3ff89 ; 
+ 8d   :  3ff90 ; 
+ 8e   :  3ff97 ; 
+ 8f   :  3ff9e ; 
+ 90   :  3ffa6 ; 
+ 91   :  3ffad ; 
+ 92   :  3ffb4 ; 
+ 93   :  3ffbb ; 
+ 94   :  3ffc2 ; 
+ 95   :  3ffc9 ; 
+ 96   :  3ffd0 ; 
+ 97   :  3ffd7 ; 
+ 98   :  3ffde ; 
+ 99   :  3ffe5 ; 
+ 9a   :  3ffec ; 
+ 9b   :  3fff3 ; 
+ 9c   :  3fffa ; 
+ 9d   :  1 ; 
+ 9e   :  8 ; 
+ 9f   :  f ; 
+ a0   :  16 ; 
+ a1   :  1d ; 
+ a2   :  24 ; 
+ a3   :  2b ; 
+ a4   :  32 ; 
+ a5   :  39 ; 
+ a6   :  40 ; 
+ a7   :  47 ; 
+ a8   :  4e ; 
+ a9   :  54 ; 
+ aa   :  5b ; 
+ ab   :  62 ; 
+ ac   :  69 ; 
+ ad   :  70 ; 
+ ae   :  77 ; 
+ af   :  7e ; 
+ b0   :  85 ; 
+ b1   :  8b ; 
+ b2   :  92 ; 
+ b3   :  99 ; 
+ b4   :  a0 ; 
+ b5   :  a7 ; 
+ b6   :  ae ; 
+ b7   :  b4 ; 
+ b8   :  bb ; 
+ b9   :  c2 ; 
+ ba   :  c9 ; 
+ bb   :  cf ; 
+ bc   :  d6 ; 
+ bd   :  dd ; 
+ be   :  e4 ; 
+ bf   :  ea ; 
+ c0   :  f1 ; 
+ c1   :  f8 ; 
+ c2   :  fe ; 
+ c3   :  105 ; 
+ c4   :  10c ; 
+ c5   :  112 ; 
+ c6   :  119 ; 
+ c7   :  120 ; 
+ c8   :  126 ; 
+ c9   :  12d ; 
+ ca   :  134 ; 
+ cb   :  13a ; 
+ cc   :  141 ; 
+ cd   :  147 ; 
+ ce   :  14e ; 
+ cf   :  155 ; 
+ d0   :  15b ; 
+ d1   :  162 ; 
+ d2   :  168 ; 
+ d3   :  16f ; 
+ d4   :  175 ; 
+ d5   :  17c ; 
+ d6   :  182 ; 
+ d7   :  189 ; 
+ d8   :  18f ; 
+ d9   :  196 ; 
+ da   :  19c ; 
+ db   :  1a3 ; 
+ dc   :  1a9 ; 
+ dd   :  1b0 ; 
+ de   :  1b6 ; 
+ df   :  1bd ; 
+ e0   :  1c3 ; 
+ e1   :  1c9 ; 
+ e2   :  1d0 ; 
+ e3   :  1d6 ; 
+ e4   :  1dc ; 
+ e5   :  1e3 ; 
+ e6   :  1e9 ; 
+ e7   :  1f0 ; 
+ e8   :  1f6 ; 
+ e9   :  1fc ; 
+ ea   :  202 ; 
+ eb   :  209 ; 
+ ec   :  20f ; 
+ ed   :  215 ; 
+ ee   :  21c ; 
+ ef   :  222 ; 
+ f0   :  228 ; 
+ f1   :  22e ; 
+ f2   :  235 ; 
+ f3   :  23b ; 
+ f4   :  241 ; 
+ f5   :  247 ; 
+ f6   :  24d ; 
+ f7   :  254 ; 
+ f8   :  25a ; 
+ f9   :  260 ; 
+ fa   :  266 ; 
+ fb   :  26c ; 
+ fc   :  272 ; 
+ fd   :  278 ; 
+ fe   :  27e ; 
+ ff   :  284 ; 
+ 100   :  28b ; 
+ 101   :  291 ; 
+ 102   :  297 ; 
+ 103   :  29d ; 
+ 104   :  2a3 ; 
+ 105   :  2a9 ; 
+ 106   :  2af ; 
+ 107   :  2b5 ; 
+ 108   :  2bb ; 
+ 109   :  2c1 ; 
+ 10a   :  2c7 ; 
+ 10b   :  2cd ; 
+ 10c   :  2d3 ; 
+ 10d   :  2d9 ; 
+ 10e   :  2de ; 
+ 10f   :  2e4 ; 
+ 110   :  2ea ; 
+ 111   :  2f0 ; 
+ 112   :  2f6 ; 
+ 113   :  2fc ; 
+ 114   :  302 ; 
+ 115   :  308 ; 
+ 116   :  30d ; 
+ 117   :  313 ; 
+ 118   :  319 ; 
+ 119   :  31f ; 
+ 11a   :  325 ; 
+ 11b   :  32a ; 
+ 11c   :  330 ; 
+ 11d   :  336 ; 
+ 11e   :  33c ; 
+ 11f   :  341 ; 
+ 120   :  347 ; 
+ 121   :  34d ; 
+ 122   :  352 ; 
+ 123   :  358 ; 
+ 124   :  35e ; 
+ 125   :  363 ; 
+ 126   :  369 ; 
+ 127   :  36f ; 
+ 128   :  374 ; 
+ 129   :  37a ; 
+ 12a   :  37f ; 
+ 12b   :  385 ; 
+ 12c   :  38b ; 
+ 12d   :  390 ; 
+ 12e   :  396 ; 
+ 12f   :  39b ; 
+ 130   :  3a1 ; 
+ 131   :  3a6 ; 
+ 132   :  3ac ; 
+ 133   :  3b1 ; 
+ 134   :  3b7 ; 
+ 135   :  3bc ; 
+ 136   :  3c2 ; 
+ 137   :  3c7 ; 
+ 138   :  3cc ; 
+ 139   :  3d2 ; 
+ 13a   :  3d7 ; 
+ 13b   :  3dd ; 
+ 13c   :  3e2 ; 
+ 13d   :  3e7 ; 
+ 13e   :  3ed ; 
+ 13f   :  3f2 ; 
+ 140   :  3f7 ; 
+ 141   :  3fd ; 
+ 142   :  402 ; 
+ 143   :  407 ; 
+ 144   :  40d ; 
+ 145   :  412 ; 
+ 146   :  417 ; 
+ 147   :  41c ; 
+ 148   :  421 ; 
+ 149   :  427 ; 
+ 14a   :  42c ; 
+ 14b   :  431 ; 
+ 14c   :  436 ; 
+ 14d   :  43b ; 
+ 14e   :  441 ; 
+ 14f   :  446 ; 
+ 150   :  44b ; 
+ 151   :  450 ; 
+ 152   :  455 ; 
+ 153   :  45a ; 
+ 154   :  45f ; 
+ 155   :  464 ; 
+ 156   :  469 ; 
+ 157   :  46e ; 
+ 158   :  473 ; 
+ 159   :  478 ; 
+ 15a   :  47d ; 
+ 15b   :  482 ; 
+ 15c   :  487 ; 
+ 15d   :  48c ; 
+ 15e   :  491 ; 
+ 15f   :  496 ; 
+ 160   :  49b ; 
+ 161   :  4a0 ; 
+ 162   :  4a5 ; 
+ 163   :  4aa ; 
+ 164   :  4ae ; 
+ 165   :  4b3 ; 
+ 166   :  4b8 ; 
+ 167   :  4bd ; 
+ 168   :  4c2 ; 
+ 169   :  4c7 ; 
+ 16a   :  4cb ; 
+ 16b   :  4d0 ; 
+ 16c   :  4d5 ; 
+ 16d   :  4da ; 
+ 16e   :  4de ; 
+ 16f   :  4e3 ; 
+ 170   :  4e8 ; 
+ 171   :  4ec ; 
+ 172   :  4f1 ; 
+ 173   :  4f6 ; 
+ 174   :  4fa ; 
+ 175   :  4ff ; 
+ 176   :  504 ; 
+ 177   :  508 ; 
+ 178   :  50d ; 
+ 179   :  512 ; 
+ 17a   :  516 ; 
+ 17b   :  51b ; 
+ 17c   :  51f ; 
+ 17d   :  524 ; 
+ 17e   :  528 ; 
+ 17f   :  52d ; 
+ 180   :  531 ; 
+ 181   :  536 ; 
+ 182   :  53a ; 
+ 183   :  53f ; 
+ 184   :  543 ; 
+ 185   :  547 ; 
+ 186   :  54c ; 
+ 187   :  550 ; 
+ 188   :  555 ; 
+ 189   :  559 ; 
+ 18a   :  55d ; 
+ 18b   :  562 ; 
+ 18c   :  566 ; 
+ 18d   :  56a ; 
+ 18e   :  56f ; 
+ 18f   :  573 ; 
+ 190   :  577 ; 
+ 191   :  57b ; 
+ 192   :  580 ; 
+ 193   :  584 ; 
+ 194   :  588 ; 
+ 195   :  58c ; 
+ 196   :  591 ; 
+ 197   :  595 ; 
+ 198   :  599 ; 
+ 199   :  59d ; 
+ 19a   :  5a1 ; 
+ 19b   :  5a5 ; 
+ 19c   :  5a9 ; 
+ 19d   :  5ae ; 
+ 19e   :  5b2 ; 
+ 19f   :  5b6 ; 
+ 1a0   :  5ba ; 
+ 1a1   :  5be ; 
+ 1a2   :  5c2 ; 
+ 1a3   :  5c6 ; 
+ 1a4   :  5ca ; 
+ 1a5   :  5ce ; 
+ 1a6   :  5d2 ; 
+ 1a7   :  5d6 ; 
+ 1a8   :  5da ; 
+ 1a9   :  5de ; 
+ 1aa   :  5e2 ; 
+ 1ab   :  5e5 ; 
+ 1ac   :  5e9 ; 
+ 1ad   :  5ed ; 
+ 1ae   :  5f1 ; 
+ 1af   :  5f5 ; 
+ 1b0   :  5f9 ; 
+ 1b1   :  5fd ; 
+ 1b2   :  600 ; 
+ 1b3   :  604 ; 
+ 1b4   :  608 ; 
+ 1b5   :  60c ; 
+ 1b6   :  60f ; 
+ 1b7   :  613 ; 
+ 1b8   :  617 ; 
+ 1b9   :  61b ; 
+ 1ba   :  61e ; 
+ 1bb   :  622 ; 
+ 1bc   :  626 ; 
+ 1bd   :  629 ; 
+ 1be   :  62d ; 
+ 1bf   :  631 ; 
+ 1c0   :  634 ; 
+ 1c1   :  638 ; 
+ 1c2   :  63b ; 
+ 1c3   :  63f ; 
+ 1c4   :  642 ; 
+ 1c5   :  646 ; 
+ 1c6   :  64a ; 
+ 1c7   :  64d ; 
+ 1c8   :  651 ; 
+ 1c9   :  654 ; 
+ 1ca   :  658 ; 
+ 1cb   :  65b ; 
+ 1cc   :  65e ; 
+ 1cd   :  662 ; 
+ 1ce   :  665 ; 
+ 1cf   :  669 ; 
+ 1d0   :  66c ; 
+ 1d1   :  66f ; 
+ 1d2   :  673 ; 
+ 1d3   :  676 ; 
+ 1d4   :  679 ; 
+ 1d5   :  67d ; 
+ 1d6   :  680 ; 
+ 1d7   :  683 ; 
+ 1d8   :  687 ; 
+ 1d9   :  68a ; 
+ 1da   :  68d ; 
+ 1db   :  690 ; 
+ 1dc   :  694 ; 
+ 1dd   :  697 ; 
+ 1de   :  69a ; 
+ 1df   :  69d ; 
+ 1e0   :  6a0 ; 
+ 1e1   :  6a4 ; 
+ 1e2   :  6a7 ; 
+ 1e3   :  6aa ; 
+ 1e4   :  6ad ; 
+ 1e5   :  6b0 ; 
+ 1e6   :  6b3 ; 
+ 1e7   :  6b6 ; 
+ 1e8   :  6b9 ; 
+ 1e9   :  6bc ; 
+ 1ea   :  6bf ; 
+ 1eb   :  6c2 ; 
+ 1ec   :  6c5 ; 
+ 1ed   :  6c8 ; 
+ 1ee   :  6cb ; 
+ 1ef   :  6ce ; 
+ 1f0   :  6d1 ; 
+ 1f1   :  6d4 ; 
+ 1f2   :  6d7 ; 
+ 1f3   :  6da ; 
+ 1f4   :  6dd ; 
+ 1f5   :  6e0 ; 
+ 1f6   :  6e3 ; 
+ 1f7   :  6e5 ; 
+ 1f8   :  6e8 ; 
+ 1f9   :  6eb ; 
+ 1fa   :  6ee ; 
+ 1fb   :  6f1 ; 
+ 1fc   :  6f3 ; 
+ 1fd   :  6f6 ; 
+ 1fe   :  6f9 ; 
+ 1ff   :  6fc ; 
+ 200   :  6fe ; 
+ 201   :  701 ; 
+ 202   :  704 ; 
+ 203   :  706 ; 
+ 204   :  709 ; 
+ 205   :  70c ; 
+ 206   :  70e ; 
+ 207   :  711 ; 
+ 208   :  714 ; 
+ 209   :  716 ; 
+ 20a   :  719 ; 
+ 20b   :  71b ; 
+ 20c   :  71e ; 
+ 20d   :  721 ; 
+ 20e   :  723 ; 
+ 20f   :  726 ; 
+ 210   :  728 ; 
+ 211   :  72b ; 
+ 212   :  72d ; 
+ 213   :  730 ; 
+ 214   :  732 ; 
+ 215   :  734 ; 
+ 216   :  737 ; 
+ 217   :  739 ; 
+ 218   :  73c ; 
+ 219   :  73e ; 
+ 21a   :  740 ; 
+ 21b   :  743 ; 
+ 21c   :  745 ; 
+ 21d   :  747 ; 
+ 21e   :  74a ; 
+ 21f   :  74c ; 
+ 220   :  74e ; 
+ 221   :  751 ; 
+ 222   :  753 ; 
+ 223   :  755 ; 
+ 224   :  757 ; 
+ 225   :  759 ; 
+ 226   :  75c ; 
+ 227   :  75e ; 
+ 228   :  760 ; 
+ 229   :  762 ; 
+ 22a   :  764 ; 
+ 22b   :  766 ; 
+ 22c   :  769 ; 
+ 22d   :  76b ; 
+ 22e   :  76d ; 
+ 22f   :  76f ; 
+ 230   :  771 ; 
+ 231   :  773 ; 
+ 232   :  775 ; 
+ 233   :  777 ; 
+ 234   :  779 ; 
+ 235   :  77b ; 
+ 236   :  77d ; 
+ 237   :  77f ; 
+ 238   :  781 ; 
+ 239   :  783 ; 
+ 23a   :  785 ; 
+ 23b   :  787 ; 
+ 23c   :  789 ; 
+ 23d   :  78b ; 
+ 23e   :  78c ; 
+ 23f   :  78e ; 
+ 240   :  790 ; 
+ 241   :  792 ; 
+ 242   :  794 ; 
+ 243   :  796 ; 
+ 244   :  797 ; 
+ 245   :  799 ; 
+ 246   :  79b ; 
+ 247   :  79d ; 
+ 248   :  79e ; 
+ 249   :  7a0 ; 
+ 24a   :  7a2 ; 
+ 24b   :  7a4 ; 
+ 24c   :  7a5 ; 
+ 24d   :  7a7 ; 
+ 24e   :  7a9 ; 
+ 24f   :  7aa ; 
+ 250   :  7ac ; 
+ 251   :  7ae ; 
+ 252   :  7af ; 
+ 253   :  7b1 ; 
+ 254   :  7b2 ; 
+ 255   :  7b4 ; 
+ 256   :  7b5 ; 
+ 257   :  7b7 ; 
+ 258   :  7b9 ; 
+ 259   :  7ba ; 
+ 25a   :  7bc ; 
+ 25b   :  7bd ; 
+ 25c   :  7bf ; 
+ 25d   :  7c0 ; 
+ 25e   :  7c1 ; 
+ 25f   :  7c3 ; 
+ 260   :  7c4 ; 
+ 261   :  7c6 ; 
+ 262   :  7c7 ; 
+ 263   :  7c9 ; 
+ 264   :  7ca ; 
+ 265   :  7cb ; 
+ 266   :  7cd ; 
+ 267   :  7ce ; 
+ 268   :  7cf ; 
+ 269   :  7d1 ; 
+ 26a   :  7d2 ; 
+ 26b   :  7d3 ; 
+ 26c   :  7d4 ; 
+ 26d   :  7d6 ; 
+ 26e   :  7d7 ; 
+ 26f   :  7d8 ; 
+ 270   :  7d9 ; 
+ 271   :  7db ; 
+ 272   :  7dc ; 
+ 273   :  7dd ; 
+ 274   :  7de ; 
+ 275   :  7df ; 
+ 276   :  7e0 ; 
+ 277   :  7e2 ; 
+ 278   :  7e3 ; 
+ 279   :  7e4 ; 
+ 27a   :  7e5 ; 
+ 27b   :  7e6 ; 
+ 27c   :  7e7 ; 
+ 27d   :  7e8 ; 
+ 27e   :  7e9 ; 
+ 27f   :  7ea ; 
+ 280   :  7eb ; 
+ 281   :  7ec ; 
+ 282   :  7ed ; 
+ 283   :  7ee ; 
+ 284   :  7ef ; 
+ 285   :  7f0 ; 
+ 286   :  7f1 ; 
+ 287   :  7f2 ; 
+ 288   :  7f3 ; 
+ 289   :  7f4 ; 
+ 28a   :  7f5 ; 
+ 28b   :  7f6 ; 
+ 28c   :  7f6 ; 
+ 28d   :  7f7 ; 
+ 28e   :  7f8 ; 
+ 28f   :  7f9 ; 
+ 290   :  7fa ; 
+ 291   :  7fb ; 
+ 292   :  7fb ; 
+ 293   :  7fc ; 
+ 294   :  7fd ; 
+ 295   :  7fe ; 
+ 296   :  7ff ; 
+ 297   :  7ff ; 
+ 298   :  800 ; 
+ 299   :  801 ; 
+ 29a   :  801 ; 
+ 29b   :  802 ; 
+ 29c   :  803 ; 
+ 29d   :  803 ; 
+ 29e   :  804 ; 
+ 29f   :  805 ; 
+ 2a0   :  805 ; 
+ 2a1   :  806 ; 
+ 2a2   :  807 ; 
+ 2a3   :  807 ; 
+ 2a4   :  808 ; 
+ 2a5   :  808 ; 
+ 2a6   :  809 ; 
+ 2a7   :  809 ; 
+ 2a8   :  80a ; 
+ 2a9   :  80a ; 
+ 2aa   :  80b ; 
+ 2ab   :  80b ; 
+ 2ac   :  80c ; 
+ 2ad   :  80c ; 
+ 2ae   :  80d ; 
+ 2af   :  80d ; 
+ 2b0   :  80e ; 
+ 2b1   :  80e ; 
+ 2b2   :  80f ; 
+ 2b3   :  80f ; 
+ 2b4   :  80f ; 
+ 2b5   :  810 ; 
+ 2b6   :  810 ; 
+ 2b7   :  810 ; 
+ 2b8   :  811 ; 
+ 2b9   :  811 ; 
+ 2ba   :  811 ; 
+ 2bb   :  812 ; 
+ 2bc   :  812 ; 
+ 2bd   :  812 ; 
+ 2be   :  813 ; 
+ 2bf   :  813 ; 
+ 2c0   :  813 ; 
+ 2c1   :  813 ; 
+ 2c2   :  814 ; 
+ 2c3   :  814 ; 
+ 2c4   :  814 ; 
+ 2c5   :  814 ; 
+ 2c6   :  814 ; 
+ 2c7   :  815 ; 
+ 2c8   :  815 ; 
+ 2c9   :  815 ; 
+ 2ca   :  815 ; 
+ 2cb   :  815 ; 
+ 2cc   :  815 ; 
+ 2cd   :  815 ; 
+ 2ce   :  816 ; 
+ 2cf   :  816 ; 
+ 2d0   :  816 ; 
+ 2d1   :  816 ; 
+ 2d2   :  816 ; 
+ 2d3   :  816 ; 
+ 2d4   :  816 ; 
+ 2d5   :  816 ; 
+ 2d6   :  816 ; 
+ 2d7   :  816 ; 
+ 2d8   :  816 ; 
+ 2d9   :  816 ; 
+ 2da   :  816 ; 
+ 2db   :  816 ; 
+ 2dc   :  816 ; 
+ 2dd   :  816 ; 
+ 2de   :  816 ; 
+ 2df   :  816 ; 
+ 2e0   :  815 ; 
+ 2e1   :  815 ; 
+ 2e2   :  815 ; 
+ 2e3   :  815 ; 
+ 2e4   :  815 ; 
+ 2e5   :  815 ; 
+ 2e6   :  815 ; 
+ 2e7   :  814 ; 
+ 2e8   :  814 ; 
+ 2e9   :  814 ; 
+ 2ea   :  814 ; 
+ 2eb   :  814 ; 
+ 2ec   :  813 ; 
+ 2ed   :  813 ; 
+ 2ee   :  813 ; 
+ 2ef   :  813 ; 
+ 2f0   :  812 ; 
+ 2f1   :  812 ; 
+ 2f2   :  812 ; 
+ 2f3   :  812 ; 
+ 2f4   :  811 ; 
+ 2f5   :  811 ; 
+ 2f6   :  811 ; 
+ 2f7   :  810 ; 
+ 2f8   :  810 ; 
+ 2f9   :  810 ; 
+ 2fa   :  80f ; 
+ 2fb   :  80f ; 
+ 2fc   :  80e ; 
+ 2fd   :  80e ; 
+ 2fe   :  80e ; 
+ 2ff   :  80d ; 
+ 300   :  80d ; 
+ 301   :  80c ; 
+ 302   :  80c ; 
+ 303   :  80b ; 
+ 304   :  80b ; 
+ 305   :  80a ; 
+ 306   :  80a ; 
+ 307   :  809 ; 
+ 308   :  809 ; 
+ 309   :  808 ; 
+ 30a   :  808 ; 
+ 30b   :  807 ; 
+ 30c   :  807 ; 
+ 30d   :  806 ; 
+ 30e   :  806 ; 
+ 30f   :  805 ; 
+ 310   :  804 ; 
+ 311   :  804 ; 
+ 312   :  803 ; 
+ 313   :  803 ; 
+ 314   :  802 ; 
+ 315   :  801 ; 
+ 316   :  801 ; 
+ 317   :  800 ; 
+ 318   :  7ff ; 
+ 319   :  7ff ; 
+ 31a   :  7fe ; 
+ 31b   :  7fd ; 
+ 31c   :  7fd ; 
+ 31d   :  7fc ; 
+ 31e   :  7fb ; 
+ 31f   :  7fb ; 
+ 320   :  7fa ; 
+ 321   :  7f9 ; 
+ 322   :  7f8 ; 
+ 323   :  7f8 ; 
+ 324   :  7f7 ; 
+ 325   :  7f6 ; 
+ 326   :  7f5 ; 
+ 327   :  7f4 ; 
+ 328   :  7f4 ; 
+ 329   :  7f3 ; 
+ 32a   :  7f2 ; 
+ 32b   :  7f1 ; 
+ 32c   :  7f0 ; 
+ 32d   :  7ef ; 
+ 32e   :  7ee ; 
+ 32f   :  7ee ; 
+ 330   :  7ed ; 
+ 331   :  7ec ; 
+ 332   :  7eb ; 
+ 333   :  7ea ; 
+ 334   :  7e9 ; 
+ 335   :  7e8 ; 
+ 336   :  7e7 ; 
+ 337   :  7e6 ; 
+ 338   :  7e5 ; 
+ 339   :  7e4 ; 
+ 33a   :  7e3 ; 
+ 33b   :  7e2 ; 
+ 33c   :  7e1 ; 
+ 33d   :  7e0 ; 
+ 33e   :  7df ; 
+ 33f   :  7de ; 
+ 340   :  7dd ; 
+ 341   :  7dc ; 
+ 342   :  7db ; 
+ 343   :  7da ; 
+ 344   :  7d9 ; 
+ 345   :  7d8 ; 
+ 346   :  7d7 ; 
+ 347   :  7d6 ; 
+ 348   :  7d5 ; 
+ 349   :  7d4 ; 
+ 34a   :  7d3 ; 
+ 34b   :  7d2 ; 
+ 34c   :  7d0 ; 
+ 34d   :  7cf ; 
+ 34e   :  7ce ; 
+ 34f   :  7cd ; 
+ 350   :  7cc ; 
+ 351   :  7cb ; 
+ 352   :  7ca ; 
+ 353   :  7c8 ; 
+ 354   :  7c7 ; 
+ 355   :  7c6 ; 
+ 356   :  7c5 ; 
+ 357   :  7c4 ; 
+ 358   :  7c2 ; 
+ 359   :  7c1 ; 
+ 35a   :  7c0 ; 
+ 35b   :  7bf ; 
+ 35c   :  7bd ; 
+ 35d   :  7bc ; 
+ 35e   :  7bb ; 
+ 35f   :  7ba ; 
+ 360   :  7b8 ; 
+ 361   :  7b7 ; 
+ 362   :  7b6 ; 
+ 363   :  7b4 ; 
+ 364   :  7b3 ; 
+ 365   :  7b2 ; 
+ 366   :  7b0 ; 
+ 367   :  7af ; 
+ 368   :  7ae ; 
+ 369   :  7ac ; 
+ 36a   :  7ab ; 
+ 36b   :  7aa ; 
+ 36c   :  7a8 ; 
+ 36d   :  7a7 ; 
+ 36e   :  7a6 ; 
+ 36f   :  7a4 ; 
+ 370   :  7a3 ; 
+ 371   :  7a1 ; 
+ 372   :  7a0 ; 
+ 373   :  79f ; 
+ 374   :  79d ; 
+ 375   :  79c ; 
+ 376   :  79a ; 
+ 377   :  799 ; 
+ 378   :  797 ; 
+ 379   :  796 ; 
+ 37a   :  794 ; 
+ 37b   :  793 ; 
+ 37c   :  791 ; 
+ 37d   :  790 ; 
+ 37e   :  78e ; 
+ 37f   :  78d ; 
+ 380   :  78b ; 
+ 381   :  78a ; 
+ 382   :  788 ; 
+ 383   :  787 ; 
+ 384   :  785 ; 
+ 385   :  784 ; 
+ 386   :  782 ; 
+ 387   :  781 ; 
+ 388   :  77f ; 
+ 389   :  77d ; 
+ 38a   :  77c ; 
+ 38b   :  77a ; 
+ 38c   :  779 ; 
+ 38d   :  777 ; 
+ 38e   :  776 ; 
+ 38f   :  774 ; 
+ 390   :  772 ; 
+ 391   :  771 ; 
+ 392   :  76f ; 
+ 393   :  76d ; 
+ 394   :  76c ; 
+ 395   :  76a ; 
+ 396   :  768 ; 
+ 397   :  767 ; 
+ 398   :  765 ; 
+ 399   :  763 ; 
+ 39a   :  762 ; 
+ 39b   :  760 ; 
+ 39c   :  75e ; 
+ 39d   :  75d ; 
+ 39e   :  75b ; 
+ 39f   :  759 ; 
+ 3a0   :  757 ; 
+ 3a1   :  756 ; 
+ 3a2   :  754 ; 
+ 3a3   :  752 ; 
+ 3a4   :  751 ; 
+ 3a5   :  74f ; 
+ 3a6   :  74d ; 
+ 3a7   :  74b ; 
+ 3a8   :  749 ; 
+ 3a9   :  748 ; 
+ 3aa   :  746 ; 
+ 3ab   :  744 ; 
+ 3ac   :  742 ; 
+ 3ad   :  741 ; 
+ 3ae   :  73f ; 
+ 3af   :  73d ; 
+ 3b0   :  73b ; 
+ 3b1   :  739 ; 
+ 3b2   :  737 ; 
+ 3b3   :  736 ; 
+ 3b4   :  734 ; 
+ 3b5   :  732 ; 
+ 3b6   :  730 ; 
+ 3b7   :  72e ; 
+ 3b8   :  72c ; 
+ 3b9   :  72b ; 
+ 3ba   :  729 ; 
+ 3bb   :  727 ; 
+ 3bc   :  725 ; 
+ 3bd   :  723 ; 
+ 3be   :  721 ; 
+ 3bf   :  71f ; 
+ 3c0   :  71d ; 
+ 3c1   :  71b ; 
+ 3c2   :  71a ; 
+ 3c3   :  718 ; 
+ 3c4   :  716 ; 
+ 3c5   :  714 ; 
+ 3c6   :  712 ; 
+ 3c7   :  710 ; 
+ 3c8   :  70e ; 
+ 3c9   :  70c ; 
+ 3ca   :  70a ; 
+ 3cb   :  708 ; 
+ 3cc   :  706 ; 
+ 3cd   :  704 ; 
+ 3ce   :  702 ; 
+ 3cf   :  700 ; 
+ 3d0   :  6fe ; 
+ 3d1   :  6fc ; 
+ 3d2   :  6fa ; 
+ 3d3   :  6f8 ; 
+ 3d4   :  6f6 ; 
+ 3d5   :  6f4 ; 
+ 3d6   :  6f2 ; 
+ 3d7   :  6f0 ; 
+ 3d8   :  6ee ; 
+ 3d9   :  6ec ; 
+ 3da   :  6ea ; 
+ 3db   :  6e8 ; 
+ 3dc   :  6e6 ; 
+ 3dd   :  6e4 ; 
+ 3de   :  6e2 ; 
+ 3df   :  6e0 ; 
+ 3e0   :  6de ; 
+ 3e1   :  6dc ; 
+ 3e2   :  6da ; 
+ 3e3   :  6d8 ; 
+ 3e4   :  6d6 ; 
+ 3e5   :  6d3 ; 
+ 3e6   :  6d1 ; 
+ 3e7   :  6cf ; 
+ 3e8   :  6cd ; 
+ 3e9   :  6cb ; 
+ 3ea   :  6c9 ; 
+ 3eb   :  6c7 ; 
+ 3ec   :  6c5 ; 
+ 3ed   :  6c3 ; 
+ 3ee   :  6c1 ; 
+ 3ef   :  6be ; 
+ 3f0   :  6bc ; 
+ 3f1   :  6ba ; 
+ 3f2   :  6b8 ; 
+ 3f3   :  6b6 ; 
+ 3f4   :  6b4 ; 
+ 3f5   :  6b2 ; 
+ 3f6   :  6af ; 
+ 3f7   :  6ad ; 
+ 3f8   :  6ab ; 
+ 3f9   :  6a9 ; 
+ 3fa   :  6a7 ; 
+ 3fb   :  6a5 ; 
+ 3fc   :  6a2 ; 
+ 3fd   :  6a0 ; 
+ 3fe   :  69e ; 
+ 3ff   :  69c ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_10.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_10.mif
new file mode 100644
index 0000000000000000000000000000000000000000..73823d4e2e458c9b495fd04328cb1e90d6879798
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_10.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3fcf1 ; 
+ 1   :  3fd11 ; 
+ 2   :  3fd32 ; 
+ 3   :  3fd53 ; 
+ 4   :  3fd74 ; 
+ 5   :  3fd95 ; 
+ 6   :  3fdb6 ; 
+ 7   :  3fdd7 ; 
+ 8   :  3fdf8 ; 
+ 9   :  3fe19 ; 
+ a   :  3fe3a ; 
+ b   :  3fe5b ; 
+ c   :  3fe7c ; 
+ d   :  3fe9d ; 
+ e   :  3febf ; 
+ f   :  3fee0 ; 
+ 10   :  3ff01 ; 
+ 11   :  3ff22 ; 
+ 12   :  3ff44 ; 
+ 13   :  3ff65 ; 
+ 14   :  3ff87 ; 
+ 15   :  3ffa8 ; 
+ 16   :  3ffca ; 
+ 17   :  3ffeb ; 
+ 18   :  d ; 
+ 19   :  2e ; 
+ 1a   :  50 ; 
+ 1b   :  71 ; 
+ 1c   :  93 ; 
+ 1d   :  b5 ; 
+ 1e   :  d7 ; 
+ 1f   :  f8 ; 
+ 20   :  11a ; 
+ 21   :  13c ; 
+ 22   :  15e ; 
+ 23   :  180 ; 
+ 24   :  1a2 ; 
+ 25   :  1c4 ; 
+ 26   :  1e6 ; 
+ 27   :  208 ; 
+ 28   :  22a ; 
+ 29   :  24c ; 
+ 2a   :  26e ; 
+ 2b   :  290 ; 
+ 2c   :  2b2 ; 
+ 2d   :  2d4 ; 
+ 2e   :  2f6 ; 
+ 2f   :  319 ; 
+ 30   :  33b ; 
+ 31   :  35d ; 
+ 32   :  37f ; 
+ 33   :  3a2 ; 
+ 34   :  3c4 ; 
+ 35   :  3e6 ; 
+ 36   :  409 ; 
+ 37   :  42b ; 
+ 38   :  44e ; 
+ 39   :  470 ; 
+ 3a   :  493 ; 
+ 3b   :  4b5 ; 
+ 3c   :  4d8 ; 
+ 3d   :  4fa ; 
+ 3e   :  51d ; 
+ 3f   :  53f ; 
+ 40   :  562 ; 
+ 41   :  585 ; 
+ 42   :  5a7 ; 
+ 43   :  5ca ; 
+ 44   :  5ed ; 
+ 45   :  60f ; 
+ 46   :  632 ; 
+ 47   :  655 ; 
+ 48   :  677 ; 
+ 49   :  69a ; 
+ 4a   :  6bd ; 
+ 4b   :  6e0 ; 
+ 4c   :  703 ; 
+ 4d   :  726 ; 
+ 4e   :  748 ; 
+ 4f   :  76b ; 
+ 50   :  78e ; 
+ 51   :  7b1 ; 
+ 52   :  7d4 ; 
+ 53   :  7f7 ; 
+ 54   :  81a ; 
+ 55   :  83d ; 
+ 56   :  860 ; 
+ 57   :  883 ; 
+ 58   :  8a6 ; 
+ 59   :  8c9 ; 
+ 5a   :  8ec ; 
+ 5b   :  90f ; 
+ 5c   :  932 ; 
+ 5d   :  955 ; 
+ 5e   :  978 ; 
+ 5f   :  99b ; 
+ 60   :  9be ; 
+ 61   :  9e1 ; 
+ 62   :  a04 ; 
+ 63   :  a28 ; 
+ 64   :  a4b ; 
+ 65   :  a6e ; 
+ 66   :  a91 ; 
+ 67   :  ab4 ; 
+ 68   :  ad7 ; 
+ 69   :  afa ; 
+ 6a   :  b1e ; 
+ 6b   :  b41 ; 
+ 6c   :  b64 ; 
+ 6d   :  b87 ; 
+ 6e   :  baa ; 
+ 6f   :  bce ; 
+ 70   :  bf1 ; 
+ 71   :  c14 ; 
+ 72   :  c37 ; 
+ 73   :  c5b ; 
+ 74   :  c7e ; 
+ 75   :  ca1 ; 
+ 76   :  cc4 ; 
+ 77   :  ce7 ; 
+ 78   :  d0b ; 
+ 79   :  d2e ; 
+ 7a   :  d51 ; 
+ 7b   :  d74 ; 
+ 7c   :  d98 ; 
+ 7d   :  dbb ; 
+ 7e   :  dde ; 
+ 7f   :  e01 ; 
+ 80   :  e25 ; 
+ 81   :  e48 ; 
+ 82   :  e6b ; 
+ 83   :  e8e ; 
+ 84   :  eb2 ; 
+ 85   :  ed5 ; 
+ 86   :  ef8 ; 
+ 87   :  f1b ; 
+ 88   :  f3f ; 
+ 89   :  f62 ; 
+ 8a   :  f85 ; 
+ 8b   :  fa8 ; 
+ 8c   :  fcc ; 
+ 8d   :  fef ; 
+ 8e   :  1012 ; 
+ 8f   :  1035 ; 
+ 90   :  1058 ; 
+ 91   :  107c ; 
+ 92   :  109f ; 
+ 93   :  10c2 ; 
+ 94   :  10e5 ; 
+ 95   :  1108 ; 
+ 96   :  112b ; 
+ 97   :  114f ; 
+ 98   :  1172 ; 
+ 99   :  1195 ; 
+ 9a   :  11b8 ; 
+ 9b   :  11db ; 
+ 9c   :  11fe ; 
+ 9d   :  1221 ; 
+ 9e   :  1244 ; 
+ 9f   :  1268 ; 
+ a0   :  128b ; 
+ a1   :  12ae ; 
+ a2   :  12d1 ; 
+ a3   :  12f4 ; 
+ a4   :  1317 ; 
+ a5   :  133a ; 
+ a6   :  135d ; 
+ a7   :  1380 ; 
+ a8   :  13a3 ; 
+ a9   :  13c6 ; 
+ aa   :  13e9 ; 
+ ab   :  140c ; 
+ ac   :  142e ; 
+ ad   :  1451 ; 
+ ae   :  1474 ; 
+ af   :  1497 ; 
+ b0   :  14ba ; 
+ b1   :  14dd ; 
+ b2   :  1500 ; 
+ b3   :  1522 ; 
+ b4   :  1545 ; 
+ b5   :  1568 ; 
+ b6   :  158b ; 
+ b7   :  15ad ; 
+ b8   :  15d0 ; 
+ b9   :  15f3 ; 
+ ba   :  1615 ; 
+ bb   :  1638 ; 
+ bc   :  165b ; 
+ bd   :  167d ; 
+ be   :  16a0 ; 
+ bf   :  16c2 ; 
+ c0   :  16e5 ; 
+ c1   :  1707 ; 
+ c2   :  172a ; 
+ c3   :  174c ; 
+ c4   :  176f ; 
+ c5   :  1791 ; 
+ c6   :  17b4 ; 
+ c7   :  17d6 ; 
+ c8   :  17f8 ; 
+ c9   :  181b ; 
+ ca   :  183d ; 
+ cb   :  185f ; 
+ cc   :  1881 ; 
+ cd   :  18a4 ; 
+ ce   :  18c6 ; 
+ cf   :  18e8 ; 
+ d0   :  190a ; 
+ d1   :  192c ; 
+ d2   :  194e ; 
+ d3   :  1970 ; 
+ d4   :  1992 ; 
+ d5   :  19b4 ; 
+ d6   :  19d6 ; 
+ d7   :  19f8 ; 
+ d8   :  1a1a ; 
+ d9   :  1a3c ; 
+ da   :  1a5e ; 
+ db   :  1a7f ; 
+ dc   :  1aa1 ; 
+ dd   :  1ac3 ; 
+ de   :  1ae5 ; 
+ df   :  1b06 ; 
+ e0   :  1b28 ; 
+ e1   :  1b4a ; 
+ e2   :  1b6b ; 
+ e3   :  1b8d ; 
+ e4   :  1bae ; 
+ e5   :  1bd0 ; 
+ e6   :  1bf1 ; 
+ e7   :  1c12 ; 
+ e8   :  1c34 ; 
+ e9   :  1c55 ; 
+ ea   :  1c76 ; 
+ eb   :  1c98 ; 
+ ec   :  1cb9 ; 
+ ed   :  1cda ; 
+ ee   :  1cfb ; 
+ ef   :  1d1c ; 
+ f0   :  1d3d ; 
+ f1   :  1d5e ; 
+ f2   :  1d7f ; 
+ f3   :  1da0 ; 
+ f4   :  1dc1 ; 
+ f5   :  1de2 ; 
+ f6   :  1e03 ; 
+ f7   :  1e24 ; 
+ f8   :  1e44 ; 
+ f9   :  1e65 ; 
+ fa   :  1e86 ; 
+ fb   :  1ea6 ; 
+ fc   :  1ec7 ; 
+ fd   :  1ee7 ; 
+ fe   :  1f08 ; 
+ ff   :  1f28 ; 
+ 100   :  1f49 ; 
+ 101   :  1f69 ; 
+ 102   :  1f89 ; 
+ 103   :  1faa ; 
+ 104   :  1fca ; 
+ 105   :  1fea ; 
+ 106   :  200a ; 
+ 107   :  202a ; 
+ 108   :  204a ; 
+ 109   :  206a ; 
+ 10a   :  208a ; 
+ 10b   :  20aa ; 
+ 10c   :  20ca ; 
+ 10d   :  20e9 ; 
+ 10e   :  2109 ; 
+ 10f   :  2129 ; 
+ 110   :  2148 ; 
+ 111   :  2168 ; 
+ 112   :  2187 ; 
+ 113   :  21a7 ; 
+ 114   :  21c6 ; 
+ 115   :  21e6 ; 
+ 116   :  2205 ; 
+ 117   :  2224 ; 
+ 118   :  2243 ; 
+ 119   :  2263 ; 
+ 11a   :  2282 ; 
+ 11b   :  22a1 ; 
+ 11c   :  22c0 ; 
+ 11d   :  22df ; 
+ 11e   :  22fe ; 
+ 11f   :  231c ; 
+ 120   :  233b ; 
+ 121   :  235a ; 
+ 122   :  2379 ; 
+ 123   :  2397 ; 
+ 124   :  23b6 ; 
+ 125   :  23d4 ; 
+ 126   :  23f3 ; 
+ 127   :  2411 ; 
+ 128   :  242f ; 
+ 129   :  244e ; 
+ 12a   :  246c ; 
+ 12b   :  248a ; 
+ 12c   :  24a8 ; 
+ 12d   :  24c6 ; 
+ 12e   :  24e4 ; 
+ 12f   :  2502 ; 
+ 130   :  2520 ; 
+ 131   :  253d ; 
+ 132   :  255b ; 
+ 133   :  2579 ; 
+ 134   :  2596 ; 
+ 135   :  25b4 ; 
+ 136   :  25d1 ; 
+ 137   :  25ef ; 
+ 138   :  260c ; 
+ 139   :  2629 ; 
+ 13a   :  2646 ; 
+ 13b   :  2664 ; 
+ 13c   :  2681 ; 
+ 13d   :  269e ; 
+ 13e   :  26bb ; 
+ 13f   :  26d7 ; 
+ 140   :  26f4 ; 
+ 141   :  2711 ; 
+ 142   :  272e ; 
+ 143   :  274a ; 
+ 144   :  2767 ; 
+ 145   :  2783 ; 
+ 146   :  27a0 ; 
+ 147   :  27bc ; 
+ 148   :  27d8 ; 
+ 149   :  27f4 ; 
+ 14a   :  2810 ; 
+ 14b   :  282c ; 
+ 14c   :  2848 ; 
+ 14d   :  2864 ; 
+ 14e   :  2880 ; 
+ 14f   :  289c ; 
+ 150   :  28b8 ; 
+ 151   :  28d3 ; 
+ 152   :  28ef ; 
+ 153   :  290a ; 
+ 154   :  2926 ; 
+ 155   :  2941 ; 
+ 156   :  295c ; 
+ 157   :  2977 ; 
+ 158   :  2992 ; 
+ 159   :  29ad ; 
+ 15a   :  29c8 ; 
+ 15b   :  29e3 ; 
+ 15c   :  29fe ; 
+ 15d   :  2a19 ; 
+ 15e   :  2a33 ; 
+ 15f   :  2a4e ; 
+ 160   :  2a68 ; 
+ 161   :  2a83 ; 
+ 162   :  2a9d ; 
+ 163   :  2ab7 ; 
+ 164   :  2ad1 ; 
+ 165   :  2aeb ; 
+ 166   :  2b05 ; 
+ 167   :  2b1f ; 
+ 168   :  2b39 ; 
+ 169   :  2b53 ; 
+ 16a   :  2b6d ; 
+ 16b   :  2b86 ; 
+ 16c   :  2ba0 ; 
+ 16d   :  2bb9 ; 
+ 16e   :  2bd3 ; 
+ 16f   :  2bec ; 
+ 170   :  2c05 ; 
+ 171   :  2c1e ; 
+ 172   :  2c37 ; 
+ 173   :  2c50 ; 
+ 174   :  2c69 ; 
+ 175   :  2c82 ; 
+ 176   :  2c9a ; 
+ 177   :  2cb3 ; 
+ 178   :  2ccc ; 
+ 179   :  2ce4 ; 
+ 17a   :  2cfc ; 
+ 17b   :  2d15 ; 
+ 17c   :  2d2d ; 
+ 17d   :  2d45 ; 
+ 17e   :  2d5d ; 
+ 17f   :  2d75 ; 
+ 180   :  2d8d ; 
+ 181   :  2da4 ; 
+ 182   :  2dbc ; 
+ 183   :  2dd3 ; 
+ 184   :  2deb ; 
+ 185   :  2e02 ; 
+ 186   :  2e1a ; 
+ 187   :  2e31 ; 
+ 188   :  2e48 ; 
+ 189   :  2e5f ; 
+ 18a   :  2e76 ; 
+ 18b   :  2e8d ; 
+ 18c   :  2ea3 ; 
+ 18d   :  2eba ; 
+ 18e   :  2ed1 ; 
+ 18f   :  2ee7 ; 
+ 190   :  2efd ; 
+ 191   :  2f14 ; 
+ 192   :  2f2a ; 
+ 193   :  2f40 ; 
+ 194   :  2f56 ; 
+ 195   :  2f6c ; 
+ 196   :  2f82 ; 
+ 197   :  2f97 ; 
+ 198   :  2fad ; 
+ 199   :  2fc2 ; 
+ 19a   :  2fd8 ; 
+ 19b   :  2fed ; 
+ 19c   :  3002 ; 
+ 19d   :  3018 ; 
+ 19e   :  302d ; 
+ 19f   :  3041 ; 
+ 1a0   :  3056 ; 
+ 1a1   :  306b ; 
+ 1a2   :  3080 ; 
+ 1a3   :  3094 ; 
+ 1a4   :  30a9 ; 
+ 1a5   :  30bd ; 
+ 1a6   :  30d1 ; 
+ 1a7   :  30e5 ; 
+ 1a8   :  30f9 ; 
+ 1a9   :  310d ; 
+ 1aa   :  3121 ; 
+ 1ab   :  3135 ; 
+ 1ac   :  3149 ; 
+ 1ad   :  315c ; 
+ 1ae   :  3170 ; 
+ 1af   :  3183 ; 
+ 1b0   :  3196 ; 
+ 1b1   :  31a9 ; 
+ 1b2   :  31bc ; 
+ 1b3   :  31cf ; 
+ 1b4   :  31e2 ; 
+ 1b5   :  31f5 ; 
+ 1b6   :  3207 ; 
+ 1b7   :  321a ; 
+ 1b8   :  322c ; 
+ 1b9   :  323f ; 
+ 1ba   :  3251 ; 
+ 1bb   :  3263 ; 
+ 1bc   :  3275 ; 
+ 1bd   :  3287 ; 
+ 1be   :  3298 ; 
+ 1bf   :  32aa ; 
+ 1c0   :  32bc ; 
+ 1c1   :  32cd ; 
+ 1c2   :  32de ; 
+ 1c3   :  32f0 ; 
+ 1c4   :  3301 ; 
+ 1c5   :  3312 ; 
+ 1c6   :  3323 ; 
+ 1c7   :  3333 ; 
+ 1c8   :  3344 ; 
+ 1c9   :  3355 ; 
+ 1ca   :  3365 ; 
+ 1cb   :  3375 ; 
+ 1cc   :  3386 ; 
+ 1cd   :  3396 ; 
+ 1ce   :  33a6 ; 
+ 1cf   :  33b6 ; 
+ 1d0   :  33c6 ; 
+ 1d1   :  33d5 ; 
+ 1d2   :  33e5 ; 
+ 1d3   :  33f4 ; 
+ 1d4   :  3404 ; 
+ 1d5   :  3413 ; 
+ 1d6   :  3422 ; 
+ 1d7   :  3431 ; 
+ 1d8   :  3440 ; 
+ 1d9   :  344f ; 
+ 1da   :  345d ; 
+ 1db   :  346c ; 
+ 1dc   :  347a ; 
+ 1dd   :  3489 ; 
+ 1de   :  3497 ; 
+ 1df   :  34a5 ; 
+ 1e0   :  34b3 ; 
+ 1e1   :  34c1 ; 
+ 1e2   :  34ce ; 
+ 1e3   :  34dc ; 
+ 1e4   :  34ea ; 
+ 1e5   :  34f7 ; 
+ 1e6   :  3504 ; 
+ 1e7   :  3511 ; 
+ 1e8   :  351e ; 
+ 1e9   :  352b ; 
+ 1ea   :  3538 ; 
+ 1eb   :  3545 ; 
+ 1ec   :  3551 ; 
+ 1ed   :  355e ; 
+ 1ee   :  356a ; 
+ 1ef   :  3576 ; 
+ 1f0   :  3582 ; 
+ 1f1   :  358e ; 
+ 1f2   :  359a ; 
+ 1f3   :  35a6 ; 
+ 1f4   :  35b2 ; 
+ 1f5   :  35bd ; 
+ 1f6   :  35c8 ; 
+ 1f7   :  35d4 ; 
+ 1f8   :  35df ; 
+ 1f9   :  35ea ; 
+ 1fa   :  35f5 ; 
+ 1fb   :  35ff ; 
+ 1fc   :  360a ; 
+ 1fd   :  3615 ; 
+ 1fe   :  361f ; 
+ 1ff   :  3629 ; 
+ 200   :  3633 ; 
+ 201   :  363d ; 
+ 202   :  3647 ; 
+ 203   :  3651 ; 
+ 204   :  365b ; 
+ 205   :  3664 ; 
+ 206   :  366e ; 
+ 207   :  3677 ; 
+ 208   :  3680 ; 
+ 209   :  3689 ; 
+ 20a   :  3692 ; 
+ 20b   :  369b ; 
+ 20c   :  36a3 ; 
+ 20d   :  36ac ; 
+ 20e   :  36b4 ; 
+ 20f   :  36bd ; 
+ 210   :  36c5 ; 
+ 211   :  36cd ; 
+ 212   :  36d5 ; 
+ 213   :  36dc ; 
+ 214   :  36e4 ; 
+ 215   :  36eb ; 
+ 216   :  36f3 ; 
+ 217   :  36fa ; 
+ 218   :  3701 ; 
+ 219   :  3708 ; 
+ 21a   :  370f ; 
+ 21b   :  3716 ; 
+ 21c   :  371c ; 
+ 21d   :  3723 ; 
+ 21e   :  3729 ; 
+ 21f   :  372f ; 
+ 220   :  3735 ; 
+ 221   :  373b ; 
+ 222   :  3741 ; 
+ 223   :  3747 ; 
+ 224   :  374c ; 
+ 225   :  3752 ; 
+ 226   :  3757 ; 
+ 227   :  375c ; 
+ 228   :  3761 ; 
+ 229   :  3766 ; 
+ 22a   :  376b ; 
+ 22b   :  3770 ; 
+ 22c   :  3774 ; 
+ 22d   :  3779 ; 
+ 22e   :  377d ; 
+ 22f   :  3781 ; 
+ 230   :  3785 ; 
+ 231   :  3789 ; 
+ 232   :  378c ; 
+ 233   :  3790 ; 
+ 234   :  3793 ; 
+ 235   :  3797 ; 
+ 236   :  379a ; 
+ 237   :  379d ; 
+ 238   :  37a0 ; 
+ 239   :  37a3 ; 
+ 23a   :  37a5 ; 
+ 23b   :  37a8 ; 
+ 23c   :  37aa ; 
+ 23d   :  37ac ; 
+ 23e   :  37ae ; 
+ 23f   :  37b0 ; 
+ 240   :  37b2 ; 
+ 241   :  37b4 ; 
+ 242   :  37b5 ; 
+ 243   :  37b7 ; 
+ 244   :  37b8 ; 
+ 245   :  37b9 ; 
+ 246   :  37ba ; 
+ 247   :  37bb ; 
+ 248   :  37bc ; 
+ 249   :  37bc ; 
+ 24a   :  37bd ; 
+ 24b   :  37bd ; 
+ 24c   :  37bd ; 
+ 24d   :  37bd ; 
+ 24e   :  37bd ; 
+ 24f   :  37bd ; 
+ 250   :  37bc ; 
+ 251   :  37bc ; 
+ 252   :  37bb ; 
+ 253   :  37ba ; 
+ 254   :  37ba ; 
+ 255   :  37b8 ; 
+ 256   :  37b7 ; 
+ 257   :  37b6 ; 
+ 258   :  37b4 ; 
+ 259   :  37b3 ; 
+ 25a   :  37b1 ; 
+ 25b   :  37af ; 
+ 25c   :  37ad ; 
+ 25d   :  37ab ; 
+ 25e   :  37a8 ; 
+ 25f   :  37a6 ; 
+ 260   :  37a3 ; 
+ 261   :  37a1 ; 
+ 262   :  379e ; 
+ 263   :  379b ; 
+ 264   :  3797 ; 
+ 265   :  3794 ; 
+ 266   :  3791 ; 
+ 267   :  378d ; 
+ 268   :  3789 ; 
+ 269   :  3785 ; 
+ 26a   :  3781 ; 
+ 26b   :  377d ; 
+ 26c   :  3779 ; 
+ 26d   :  3774 ; 
+ 26e   :  3770 ; 
+ 26f   :  376b ; 
+ 270   :  3766 ; 
+ 271   :  3761 ; 
+ 272   :  375c ; 
+ 273   :  3757 ; 
+ 274   :  3751 ; 
+ 275   :  374c ; 
+ 276   :  3746 ; 
+ 277   :  3740 ; 
+ 278   :  373a ; 
+ 279   :  3734 ; 
+ 27a   :  372e ; 
+ 27b   :  3727 ; 
+ 27c   :  3720 ; 
+ 27d   :  371a ; 
+ 27e   :  3713 ; 
+ 27f   :  370c ; 
+ 280   :  3705 ; 
+ 281   :  36fd ; 
+ 282   :  36f6 ; 
+ 283   :  36ee ; 
+ 284   :  36e6 ; 
+ 285   :  36df ; 
+ 286   :  36d7 ; 
+ 287   :  36ce ; 
+ 288   :  36c6 ; 
+ 289   :  36be ; 
+ 28a   :  36b5 ; 
+ 28b   :  36ac ; 
+ 28c   :  36a3 ; 
+ 28d   :  369a ; 
+ 28e   :  3691 ; 
+ 28f   :  3688 ; 
+ 290   :  367e ; 
+ 291   :  3674 ; 
+ 292   :  366b ; 
+ 293   :  3661 ; 
+ 294   :  3657 ; 
+ 295   :  364c ; 
+ 296   :  3642 ; 
+ 297   :  3638 ; 
+ 298   :  362d ; 
+ 299   :  3622 ; 
+ 29a   :  3617 ; 
+ 29b   :  360c ; 
+ 29c   :  3601 ; 
+ 29d   :  35f5 ; 
+ 29e   :  35ea ; 
+ 29f   :  35de ; 
+ 2a0   :  35d2 ; 
+ 2a1   :  35c6 ; 
+ 2a2   :  35ba ; 
+ 2a3   :  35ae ; 
+ 2a4   :  35a2 ; 
+ 2a5   :  3595 ; 
+ 2a6   :  3588 ; 
+ 2a7   :  357b ; 
+ 2a8   :  356e ; 
+ 2a9   :  3561 ; 
+ 2aa   :  3554 ; 
+ 2ab   :  3547 ; 
+ 2ac   :  3539 ; 
+ 2ad   :  352b ; 
+ 2ae   :  351d ; 
+ 2af   :  350f ; 
+ 2b0   :  3501 ; 
+ 2b1   :  34f3 ; 
+ 2b2   :  34e4 ; 
+ 2b3   :  34d6 ; 
+ 2b4   :  34c7 ; 
+ 2b5   :  34b8 ; 
+ 2b6   :  34a9 ; 
+ 2b7   :  349a ; 
+ 2b8   :  348a ; 
+ 2b9   :  347b ; 
+ 2ba   :  346b ; 
+ 2bb   :  345b ; 
+ 2bc   :  344c ; 
+ 2bd   :  343b ; 
+ 2be   :  342b ; 
+ 2bf   :  341b ; 
+ 2c0   :  340a ; 
+ 2c1   :  33fa ; 
+ 2c2   :  33e9 ; 
+ 2c3   :  33d8 ; 
+ 2c4   :  33c7 ; 
+ 2c5   :  33b5 ; 
+ 2c6   :  33a4 ; 
+ 2c7   :  3392 ; 
+ 2c8   :  3381 ; 
+ 2c9   :  336f ; 
+ 2ca   :  335d ; 
+ 2cb   :  334b ; 
+ 2cc   :  3338 ; 
+ 2cd   :  3326 ; 
+ 2ce   :  3313 ; 
+ 2cf   :  3301 ; 
+ 2d0   :  32ee ; 
+ 2d1   :  32db ; 
+ 2d2   :  32c8 ; 
+ 2d3   :  32b4 ; 
+ 2d4   :  32a1 ; 
+ 2d5   :  328d ; 
+ 2d6   :  3279 ; 
+ 2d7   :  3266 ; 
+ 2d8   :  3251 ; 
+ 2d9   :  323d ; 
+ 2da   :  3229 ; 
+ 2db   :  3214 ; 
+ 2dc   :  3200 ; 
+ 2dd   :  31eb ; 
+ 2de   :  31d6 ; 
+ 2df   :  31c1 ; 
+ 2e0   :  31ac ; 
+ 2e1   :  3196 ; 
+ 2e2   :  3181 ; 
+ 2e3   :  316b ; 
+ 2e4   :  3155 ; 
+ 2e5   :  313f ; 
+ 2e6   :  3129 ; 
+ 2e7   :  3113 ; 
+ 2e8   :  30fd ; 
+ 2e9   :  30e6 ; 
+ 2ea   :  30cf ; 
+ 2eb   :  30b8 ; 
+ 2ec   :  30a1 ; 
+ 2ed   :  308a ; 
+ 2ee   :  3073 ; 
+ 2ef   :  305c ; 
+ 2f0   :  3044 ; 
+ 2f1   :  302c ; 
+ 2f2   :  3014 ; 
+ 2f3   :  2ffc ; 
+ 2f4   :  2fe4 ; 
+ 2f5   :  2fcc ; 
+ 2f6   :  2fb3 ; 
+ 2f7   :  2f9b ; 
+ 2f8   :  2f82 ; 
+ 2f9   :  2f69 ; 
+ 2fa   :  2f50 ; 
+ 2fb   :  2f37 ; 
+ 2fc   :  2f1e ; 
+ 2fd   :  2f04 ; 
+ 2fe   :  2eeb ; 
+ 2ff   :  2ed1 ; 
+ 300   :  2eb7 ; 
+ 301   :  2e9d ; 
+ 302   :  2e83 ; 
+ 303   :  2e68 ; 
+ 304   :  2e4e ; 
+ 305   :  2e33 ; 
+ 306   :  2e19 ; 
+ 307   :  2dfe ; 
+ 308   :  2de3 ; 
+ 309   :  2dc7 ; 
+ 30a   :  2dac ; 
+ 30b   :  2d91 ; 
+ 30c   :  2d75 ; 
+ 30d   :  2d59 ; 
+ 30e   :  2d3d ; 
+ 30f   :  2d21 ; 
+ 310   :  2d05 ; 
+ 311   :  2ce9 ; 
+ 312   :  2ccc ; 
+ 313   :  2caf ; 
+ 314   :  2c93 ; 
+ 315   :  2c76 ; 
+ 316   :  2c59 ; 
+ 317   :  2c3b ; 
+ 318   :  2c1e ; 
+ 319   :  2c01 ; 
+ 31a   :  2be3 ; 
+ 31b   :  2bc5 ; 
+ 31c   :  2ba7 ; 
+ 31d   :  2b89 ; 
+ 31e   :  2b6b ; 
+ 31f   :  2b4d ; 
+ 320   :  2b2e ; 
+ 321   :  2b10 ; 
+ 322   :  2af1 ; 
+ 323   :  2ad2 ; 
+ 324   :  2ab3 ; 
+ 325   :  2a94 ; 
+ 326   :  2a74 ; 
+ 327   :  2a55 ; 
+ 328   :  2a35 ; 
+ 329   :  2a15 ; 
+ 32a   :  29f6 ; 
+ 32b   :  29d6 ; 
+ 32c   :  29b5 ; 
+ 32d   :  2995 ; 
+ 32e   :  2975 ; 
+ 32f   :  2954 ; 
+ 330   :  2933 ; 
+ 331   :  2912 ; 
+ 332   :  28f1 ; 
+ 333   :  28d0 ; 
+ 334   :  28af ; 
+ 335   :  288e ; 
+ 336   :  286c ; 
+ 337   :  284a ; 
+ 338   :  2828 ; 
+ 339   :  2806 ; 
+ 33a   :  27e4 ; 
+ 33b   :  27c2 ; 
+ 33c   :  27a0 ; 
+ 33d   :  277d ; 
+ 33e   :  275a ; 
+ 33f   :  2738 ; 
+ 340   :  2715 ; 
+ 341   :  26f2 ; 
+ 342   :  26ce ; 
+ 343   :  26ab ; 
+ 344   :  2688 ; 
+ 345   :  2664 ; 
+ 346   :  2640 ; 
+ 347   :  261c ; 
+ 348   :  25f8 ; 
+ 349   :  25d4 ; 
+ 34a   :  25b0 ; 
+ 34b   :  258b ; 
+ 34c   :  2567 ; 
+ 34d   :  2542 ; 
+ 34e   :  251d ; 
+ 34f   :  24f8 ; 
+ 350   :  24d3 ; 
+ 351   :  24ae ; 
+ 352   :  2489 ; 
+ 353   :  2463 ; 
+ 354   :  243e ; 
+ 355   :  2418 ; 
+ 356   :  23f2 ; 
+ 357   :  23cc ; 
+ 358   :  23a6 ; 
+ 359   :  237f ; 
+ 35a   :  2359 ; 
+ 35b   :  2332 ; 
+ 35c   :  230c ; 
+ 35d   :  22e5 ; 
+ 35e   :  22be ; 
+ 35f   :  2297 ; 
+ 360   :  2270 ; 
+ 361   :  2248 ; 
+ 362   :  2221 ; 
+ 363   :  21f9 ; 
+ 364   :  21d1 ; 
+ 365   :  21aa ; 
+ 366   :  2182 ; 
+ 367   :  215a ; 
+ 368   :  2131 ; 
+ 369   :  2109 ; 
+ 36a   :  20e0 ; 
+ 36b   :  20b8 ; 
+ 36c   :  208f ; 
+ 36d   :  2066 ; 
+ 36e   :  203d ; 
+ 36f   :  2014 ; 
+ 370   :  1feb ; 
+ 371   :  1fc1 ; 
+ 372   :  1f98 ; 
+ 373   :  1f6e ; 
+ 374   :  1f44 ; 
+ 375   :  1f1a ; 
+ 376   :  1ef0 ; 
+ 377   :  1ec6 ; 
+ 378   :  1e9c ; 
+ 379   :  1e72 ; 
+ 37a   :  1e47 ; 
+ 37b   :  1e1c ; 
+ 37c   :  1df2 ; 
+ 37d   :  1dc7 ; 
+ 37e   :  1d9c ; 
+ 37f   :  1d71 ; 
+ 380   :  1d45 ; 
+ 381   :  1d1a ; 
+ 382   :  1cee ; 
+ 383   :  1cc3 ; 
+ 384   :  1c97 ; 
+ 385   :  1c6b ; 
+ 386   :  1c3f ; 
+ 387   :  1c13 ; 
+ 388   :  1be7 ; 
+ 389   :  1bba ; 
+ 38a   :  1b8e ; 
+ 38b   :  1b61 ; 
+ 38c   :  1b35 ; 
+ 38d   :  1b08 ; 
+ 38e   :  1adb ; 
+ 38f   :  1aae ; 
+ 390   :  1a81 ; 
+ 391   :  1a53 ; 
+ 392   :  1a26 ; 
+ 393   :  19f8 ; 
+ 394   :  19cb ; 
+ 395   :  199d ; 
+ 396   :  196f ; 
+ 397   :  1941 ; 
+ 398   :  1913 ; 
+ 399   :  18e5 ; 
+ 39a   :  18b6 ; 
+ 39b   :  1888 ; 
+ 39c   :  1859 ; 
+ 39d   :  182b ; 
+ 39e   :  17fc ; 
+ 39f   :  17cd ; 
+ 3a0   :  179e ; 
+ 3a1   :  176f ; 
+ 3a2   :  173f ; 
+ 3a3   :  1710 ; 
+ 3a4   :  16e0 ; 
+ 3a5   :  16b1 ; 
+ 3a6   :  1681 ; 
+ 3a7   :  1651 ; 
+ 3a8   :  1621 ; 
+ 3a9   :  15f1 ; 
+ 3aa   :  15c1 ; 
+ 3ab   :  1591 ; 
+ 3ac   :  1561 ; 
+ 3ad   :  1530 ; 
+ 3ae   :  14ff ; 
+ 3af   :  14cf ; 
+ 3b0   :  149e ; 
+ 3b1   :  146d ; 
+ 3b2   :  143c ; 
+ 3b3   :  140b ; 
+ 3b4   :  13da ; 
+ 3b5   :  13a8 ; 
+ 3b6   :  1377 ; 
+ 3b7   :  1345 ; 
+ 3b8   :  1313 ; 
+ 3b9   :  12e2 ; 
+ 3ba   :  12b0 ; 
+ 3bb   :  127e ; 
+ 3bc   :  124c ; 
+ 3bd   :  121a ; 
+ 3be   :  11e7 ; 
+ 3bf   :  11b5 ; 
+ 3c0   :  1182 ; 
+ 3c1   :  1150 ; 
+ 3c2   :  111d ; 
+ 3c3   :  10ea ; 
+ 3c4   :  10b7 ; 
+ 3c5   :  1084 ; 
+ 3c6   :  1051 ; 
+ 3c7   :  101e ; 
+ 3c8   :  feb ; 
+ 3c9   :  fb7 ; 
+ 3ca   :  f84 ; 
+ 3cb   :  f50 ; 
+ 3cc   :  f1c ; 
+ 3cd   :  ee9 ; 
+ 3ce   :  eb5 ; 
+ 3cf   :  e81 ; 
+ 3d0   :  e4d ; 
+ 3d1   :  e18 ; 
+ 3d2   :  de4 ; 
+ 3d3   :  db0 ; 
+ 3d4   :  d7b ; 
+ 3d5   :  d47 ; 
+ 3d6   :  d12 ; 
+ 3d7   :  cdd ; 
+ 3d8   :  ca8 ; 
+ 3d9   :  c73 ; 
+ 3da   :  c3e ; 
+ 3db   :  c09 ; 
+ 3dc   :  bd4 ; 
+ 3dd   :  b9e ; 
+ 3de   :  b69 ; 
+ 3df   :  b34 ; 
+ 3e0   :  afe ; 
+ 3e1   :  ac8 ; 
+ 3e2   :  a92 ; 
+ 3e3   :  a5d ; 
+ 3e4   :  a27 ; 
+ 3e5   :  9f1 ; 
+ 3e6   :  9ba ; 
+ 3e7   :  984 ; 
+ 3e8   :  94e ; 
+ 3e9   :  917 ; 
+ 3ea   :  8e1 ; 
+ 3eb   :  8aa ; 
+ 3ec   :  874 ; 
+ 3ed   :  83d ; 
+ 3ee   :  806 ; 
+ 3ef   :  7cf ; 
+ 3f0   :  798 ; 
+ 3f1   :  761 ; 
+ 3f2   :  72a ; 
+ 3f3   :  6f3 ; 
+ 3f4   :  6bb ; 
+ 3f5   :  684 ; 
+ 3f6   :  64c ; 
+ 3f7   :  615 ; 
+ 3f8   :  5dd ; 
+ 3f9   :  5a5 ; 
+ 3fa   :  56d ; 
+ 3fb   :  536 ; 
+ 3fc   :  4fe ; 
+ 3fd   :  4c5 ; 
+ 3fe   :  48d ; 
+ 3ff   :  455 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_11.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_11.mif
new file mode 100644
index 0000000000000000000000000000000000000000..019c46b3cb8aaba21924230bb1e8143a4be05bb8
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_11.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  153 ; 
+ 1   :  13f ; 
+ 2   :  12a ; 
+ 3   :  116 ; 
+ 4   :  101 ; 
+ 5   :  ec ; 
+ 6   :  d8 ; 
+ 7   :  c3 ; 
+ 8   :  ae ; 
+ 9   :  9a ; 
+ a   :  85 ; 
+ b   :  70 ; 
+ c   :  5b ; 
+ d   :  47 ; 
+ e   :  32 ; 
+ f   :  1d ; 
+ 10   :  8 ; 
+ 11   :  3fff3 ; 
+ 12   :  3ffde ; 
+ 13   :  3ffc9 ; 
+ 14   :  3ffb5 ; 
+ 15   :  3ffa0 ; 
+ 16   :  3ff8b ; 
+ 17   :  3ff76 ; 
+ 18   :  3ff61 ; 
+ 19   :  3ff4c ; 
+ 1a   :  3ff37 ; 
+ 1b   :  3ff22 ; 
+ 1c   :  3ff0d ; 
+ 1d   :  3fef8 ; 
+ 1e   :  3fee2 ; 
+ 1f   :  3fecd ; 
+ 20   :  3feb8 ; 
+ 21   :  3fea3 ; 
+ 22   :  3fe8e ; 
+ 23   :  3fe79 ; 
+ 24   :  3fe64 ; 
+ 25   :  3fe4e ; 
+ 26   :  3fe39 ; 
+ 27   :  3fe24 ; 
+ 28   :  3fe0f ; 
+ 29   :  3fdfa ; 
+ 2a   :  3fde4 ; 
+ 2b   :  3fdcf ; 
+ 2c   :  3fdba ; 
+ 2d   :  3fda4 ; 
+ 2e   :  3fd8f ; 
+ 2f   :  3fd7a ; 
+ 30   :  3fd64 ; 
+ 31   :  3fd4f ; 
+ 32   :  3fd3a ; 
+ 33   :  3fd24 ; 
+ 34   :  3fd0f ; 
+ 35   :  3fcfa ; 
+ 36   :  3fce4 ; 
+ 37   :  3fccf ; 
+ 38   :  3fcb9 ; 
+ 39   :  3fca4 ; 
+ 3a   :  3fc8e ; 
+ 3b   :  3fc79 ; 
+ 3c   :  3fc64 ; 
+ 3d   :  3fc4e ; 
+ 3e   :  3fc39 ; 
+ 3f   :  3fc23 ; 
+ 40   :  3fc0e ; 
+ 41   :  3fbf8 ; 
+ 42   :  3fbe2 ; 
+ 43   :  3fbcd ; 
+ 44   :  3fbb7 ; 
+ 45   :  3fba2 ; 
+ 46   :  3fb8c ; 
+ 47   :  3fb77 ; 
+ 48   :  3fb61 ; 
+ 49   :  3fb4c ; 
+ 4a   :  3fb36 ; 
+ 4b   :  3fb20 ; 
+ 4c   :  3fb0b ; 
+ 4d   :  3faf5 ; 
+ 4e   :  3fadf ; 
+ 4f   :  3faca ; 
+ 50   :  3fab4 ; 
+ 51   :  3fa9f ; 
+ 52   :  3fa89 ; 
+ 53   :  3fa73 ; 
+ 54   :  3fa5e ; 
+ 55   :  3fa48 ; 
+ 56   :  3fa32 ; 
+ 57   :  3fa1d ; 
+ 58   :  3fa07 ; 
+ 59   :  3f9f1 ; 
+ 5a   :  3f9dc ; 
+ 5b   :  3f9c6 ; 
+ 5c   :  3f9b0 ; 
+ 5d   :  3f99a ; 
+ 5e   :  3f985 ; 
+ 5f   :  3f96f ; 
+ 60   :  3f959 ; 
+ 61   :  3f944 ; 
+ 62   :  3f92e ; 
+ 63   :  3f918 ; 
+ 64   :  3f902 ; 
+ 65   :  3f8ed ; 
+ 66   :  3f8d7 ; 
+ 67   :  3f8c1 ; 
+ 68   :  3f8ab ; 
+ 69   :  3f896 ; 
+ 6a   :  3f880 ; 
+ 6b   :  3f86a ; 
+ 6c   :  3f855 ; 
+ 6d   :  3f83f ; 
+ 6e   :  3f829 ; 
+ 6f   :  3f813 ; 
+ 70   :  3f7fe ; 
+ 71   :  3f7e8 ; 
+ 72   :  3f7d2 ; 
+ 73   :  3f7bc ; 
+ 74   :  3f7a7 ; 
+ 75   :  3f791 ; 
+ 76   :  3f77b ; 
+ 77   :  3f765 ; 
+ 78   :  3f750 ; 
+ 79   :  3f73a ; 
+ 7a   :  3f724 ; 
+ 7b   :  3f70e ; 
+ 7c   :  3f6f9 ; 
+ 7d   :  3f6e3 ; 
+ 7e   :  3f6cd ; 
+ 7f   :  3f6b8 ; 
+ 80   :  3f6a2 ; 
+ 81   :  3f68c ; 
+ 82   :  3f676 ; 
+ 83   :  3f661 ; 
+ 84   :  3f64b ; 
+ 85   :  3f635 ; 
+ 86   :  3f620 ; 
+ 87   :  3f60a ; 
+ 88   :  3f5f4 ; 
+ 89   :  3f5df ; 
+ 8a   :  3f5c9 ; 
+ 8b   :  3f5b3 ; 
+ 8c   :  3f59e ; 
+ 8d   :  3f588 ; 
+ 8e   :  3f572 ; 
+ 8f   :  3f55d ; 
+ 90   :  3f547 ; 
+ 91   :  3f531 ; 
+ 92   :  3f51c ; 
+ 93   :  3f506 ; 
+ 94   :  3f4f1 ; 
+ 95   :  3f4db ; 
+ 96   :  3f4c5 ; 
+ 97   :  3f4b0 ; 
+ 98   :  3f49a ; 
+ 99   :  3f485 ; 
+ 9a   :  3f46f ; 
+ 9b   :  3f45a ; 
+ 9c   :  3f444 ; 
+ 9d   :  3f42e ; 
+ 9e   :  3f419 ; 
+ 9f   :  3f403 ; 
+ a0   :  3f3ee ; 
+ a1   :  3f3d8 ; 
+ a2   :  3f3c3 ; 
+ a3   :  3f3ad ; 
+ a4   :  3f398 ; 
+ a5   :  3f383 ; 
+ a6   :  3f36d ; 
+ a7   :  3f358 ; 
+ a8   :  3f342 ; 
+ a9   :  3f32d ; 
+ aa   :  3f317 ; 
+ ab   :  3f302 ; 
+ ac   :  3f2ed ; 
+ ad   :  3f2d7 ; 
+ ae   :  3f2c2 ; 
+ af   :  3f2ad ; 
+ b0   :  3f297 ; 
+ b1   :  3f282 ; 
+ b2   :  3f26d ; 
+ b3   :  3f257 ; 
+ b4   :  3f242 ; 
+ b5   :  3f22d ; 
+ b6   :  3f218 ; 
+ b7   :  3f202 ; 
+ b8   :  3f1ed ; 
+ b9   :  3f1d8 ; 
+ ba   :  3f1c3 ; 
+ bb   :  3f1ae ; 
+ bc   :  3f199 ; 
+ bd   :  3f183 ; 
+ be   :  3f16e ; 
+ bf   :  3f159 ; 
+ c0   :  3f144 ; 
+ c1   :  3f12f ; 
+ c2   :  3f11a ; 
+ c3   :  3f105 ; 
+ c4   :  3f0f0 ; 
+ c5   :  3f0db ; 
+ c6   :  3f0c6 ; 
+ c7   :  3f0b1 ; 
+ c8   :  3f09c ; 
+ c9   :  3f087 ; 
+ ca   :  3f072 ; 
+ cb   :  3f05d ; 
+ cc   :  3f048 ; 
+ cd   :  3f034 ; 
+ ce   :  3f01f ; 
+ cf   :  3f00a ; 
+ d0   :  3eff5 ; 
+ d1   :  3efe0 ; 
+ d2   :  3efcc ; 
+ d3   :  3efb7 ; 
+ d4   :  3efa2 ; 
+ d5   :  3ef8e ; 
+ d6   :  3ef79 ; 
+ d7   :  3ef64 ; 
+ d8   :  3ef50 ; 
+ d9   :  3ef3b ; 
+ da   :  3ef26 ; 
+ db   :  3ef12 ; 
+ dc   :  3eefd ; 
+ dd   :  3eee9 ; 
+ de   :  3eed4 ; 
+ df   :  3eec0 ; 
+ e0   :  3eeab ; 
+ e1   :  3ee97 ; 
+ e2   :  3ee83 ; 
+ e3   :  3ee6e ; 
+ e4   :  3ee5a ; 
+ e5   :  3ee46 ; 
+ e6   :  3ee31 ; 
+ e7   :  3ee1d ; 
+ e8   :  3ee09 ; 
+ e9   :  3edf5 ; 
+ ea   :  3ede0 ; 
+ eb   :  3edcc ; 
+ ec   :  3edb8 ; 
+ ed   :  3eda4 ; 
+ ee   :  3ed90 ; 
+ ef   :  3ed7c ; 
+ f0   :  3ed68 ; 
+ f1   :  3ed54 ; 
+ f2   :  3ed40 ; 
+ f3   :  3ed2c ; 
+ f4   :  3ed18 ; 
+ f5   :  3ed04 ; 
+ f6   :  3ecf0 ; 
+ f7   :  3ecdc ; 
+ f8   :  3ecc8 ; 
+ f9   :  3ecb4 ; 
+ fa   :  3eca1 ; 
+ fb   :  3ec8d ; 
+ fc   :  3ec79 ; 
+ fd   :  3ec66 ; 
+ fe   :  3ec52 ; 
+ ff   :  3ec3e ; 
+ 100   :  3ec2b ; 
+ 101   :  3ec17 ; 
+ 102   :  3ec04 ; 
+ 103   :  3ebf0 ; 
+ 104   :  3ebdd ; 
+ 105   :  3ebc9 ; 
+ 106   :  3ebb6 ; 
+ 107   :  3eba2 ; 
+ 108   :  3eb8f ; 
+ 109   :  3eb7c ; 
+ 10a   :  3eb69 ; 
+ 10b   :  3eb55 ; 
+ 10c   :  3eb42 ; 
+ 10d   :  3eb2f ; 
+ 10e   :  3eb1c ; 
+ 10f   :  3eb09 ; 
+ 110   :  3eaf6 ; 
+ 111   :  3eae3 ; 
+ 112   :  3ead0 ; 
+ 113   :  3eabd ; 
+ 114   :  3eaaa ; 
+ 115   :  3ea97 ; 
+ 116   :  3ea84 ; 
+ 117   :  3ea71 ; 
+ 118   :  3ea5e ; 
+ 119   :  3ea4b ; 
+ 11a   :  3ea39 ; 
+ 11b   :  3ea26 ; 
+ 11c   :  3ea13 ; 
+ 11d   :  3ea01 ; 
+ 11e   :  3e9ee ; 
+ 11f   :  3e9dc ; 
+ 120   :  3e9c9 ; 
+ 121   :  3e9b7 ; 
+ 122   :  3e9a4 ; 
+ 123   :  3e992 ; 
+ 124   :  3e97f ; 
+ 125   :  3e96d ; 
+ 126   :  3e95b ; 
+ 127   :  3e948 ; 
+ 128   :  3e936 ; 
+ 129   :  3e924 ; 
+ 12a   :  3e912 ; 
+ 12b   :  3e900 ; 
+ 12c   :  3e8ee ; 
+ 12d   :  3e8dc ; 
+ 12e   :  3e8ca ; 
+ 12f   :  3e8b8 ; 
+ 130   :  3e8a6 ; 
+ 131   :  3e894 ; 
+ 132   :  3e882 ; 
+ 133   :  3e870 ; 
+ 134   :  3e85f ; 
+ 135   :  3e84d ; 
+ 136   :  3e83b ; 
+ 137   :  3e82a ; 
+ 138   :  3e818 ; 
+ 139   :  3e807 ; 
+ 13a   :  3e7f5 ; 
+ 13b   :  3e7e4 ; 
+ 13c   :  3e7d2 ; 
+ 13d   :  3e7c1 ; 
+ 13e   :  3e7b0 ; 
+ 13f   :  3e79e ; 
+ 140   :  3e78d ; 
+ 141   :  3e77c ; 
+ 142   :  3e76b ; 
+ 143   :  3e75a ; 
+ 144   :  3e749 ; 
+ 145   :  3e738 ; 
+ 146   :  3e727 ; 
+ 147   :  3e716 ; 
+ 148   :  3e705 ; 
+ 149   :  3e6f4 ; 
+ 14a   :  3e6e3 ; 
+ 14b   :  3e6d2 ; 
+ 14c   :  3e6c2 ; 
+ 14d   :  3e6b1 ; 
+ 14e   :  3e6a0 ; 
+ 14f   :  3e690 ; 
+ 150   :  3e67f ; 
+ 151   :  3e66f ; 
+ 152   :  3e65e ; 
+ 153   :  3e64e ; 
+ 154   :  3e63e ; 
+ 155   :  3e62d ; 
+ 156   :  3e61d ; 
+ 157   :  3e60d ; 
+ 158   :  3e5fd ; 
+ 159   :  3e5ed ; 
+ 15a   :  3e5dd ; 
+ 15b   :  3e5cd ; 
+ 15c   :  3e5bd ; 
+ 15d   :  3e5ad ; 
+ 15e   :  3e59d ; 
+ 15f   :  3e58d ; 
+ 160   :  3e57e ; 
+ 161   :  3e56e ; 
+ 162   :  3e55e ; 
+ 163   :  3e54f ; 
+ 164   :  3e53f ; 
+ 165   :  3e530 ; 
+ 166   :  3e520 ; 
+ 167   :  3e511 ; 
+ 168   :  3e501 ; 
+ 169   :  3e4f2 ; 
+ 16a   :  3e4e3 ; 
+ 16b   :  3e4d4 ; 
+ 16c   :  3e4c4 ; 
+ 16d   :  3e4b5 ; 
+ 16e   :  3e4a6 ; 
+ 16f   :  3e497 ; 
+ 170   :  3e488 ; 
+ 171   :  3e47a ; 
+ 172   :  3e46b ; 
+ 173   :  3e45c ; 
+ 174   :  3e44d ; 
+ 175   :  3e43f ; 
+ 176   :  3e430 ; 
+ 177   :  3e421 ; 
+ 178   :  3e413 ; 
+ 179   :  3e404 ; 
+ 17a   :  3e3f6 ; 
+ 17b   :  3e3e8 ; 
+ 17c   :  3e3d9 ; 
+ 17d   :  3e3cb ; 
+ 17e   :  3e3bd ; 
+ 17f   :  3e3af ; 
+ 180   :  3e3a1 ; 
+ 181   :  3e393 ; 
+ 182   :  3e385 ; 
+ 183   :  3e377 ; 
+ 184   :  3e369 ; 
+ 185   :  3e35b ; 
+ 186   :  3e34e ; 
+ 187   :  3e340 ; 
+ 188   :  3e332 ; 
+ 189   :  3e325 ; 
+ 18a   :  3e317 ; 
+ 18b   :  3e30a ; 
+ 18c   :  3e2fd ; 
+ 18d   :  3e2ef ; 
+ 18e   :  3e2e2 ; 
+ 18f   :  3e2d5 ; 
+ 190   :  3e2c8 ; 
+ 191   :  3e2bb ; 
+ 192   :  3e2ae ; 
+ 193   :  3e2a1 ; 
+ 194   :  3e294 ; 
+ 195   :  3e287 ; 
+ 196   :  3e27a ; 
+ 197   :  3e26d ; 
+ 198   :  3e261 ; 
+ 199   :  3e254 ; 
+ 19a   :  3e247 ; 
+ 19b   :  3e23b ; 
+ 19c   :  3e22e ; 
+ 19d   :  3e222 ; 
+ 19e   :  3e216 ; 
+ 19f   :  3e20a ; 
+ 1a0   :  3e1fd ; 
+ 1a1   :  3e1f1 ; 
+ 1a2   :  3e1e5 ; 
+ 1a3   :  3e1d9 ; 
+ 1a4   :  3e1cd ; 
+ 1a5   :  3e1c1 ; 
+ 1a6   :  3e1b6 ; 
+ 1a7   :  3e1aa ; 
+ 1a8   :  3e19e ; 
+ 1a9   :  3e192 ; 
+ 1aa   :  3e187 ; 
+ 1ab   :  3e17b ; 
+ 1ac   :  3e170 ; 
+ 1ad   :  3e165 ; 
+ 1ae   :  3e159 ; 
+ 1af   :  3e14e ; 
+ 1b0   :  3e143 ; 
+ 1b1   :  3e138 ; 
+ 1b2   :  3e12d ; 
+ 1b3   :  3e122 ; 
+ 1b4   :  3e117 ; 
+ 1b5   :  3e10c ; 
+ 1b6   :  3e101 ; 
+ 1b7   :  3e0f6 ; 
+ 1b8   :  3e0ec ; 
+ 1b9   :  3e0e1 ; 
+ 1ba   :  3e0d6 ; 
+ 1bb   :  3e0cc ; 
+ 1bc   :  3e0c2 ; 
+ 1bd   :  3e0b7 ; 
+ 1be   :  3e0ad ; 
+ 1bf   :  3e0a3 ; 
+ 1c0   :  3e099 ; 
+ 1c1   :  3e08f ; 
+ 1c2   :  3e085 ; 
+ 1c3   :  3e07b ; 
+ 1c4   :  3e071 ; 
+ 1c5   :  3e067 ; 
+ 1c6   :  3e05d ; 
+ 1c7   :  3e054 ; 
+ 1c8   :  3e04a ; 
+ 1c9   :  3e040 ; 
+ 1ca   :  3e037 ; 
+ 1cb   :  3e02e ; 
+ 1cc   :  3e024 ; 
+ 1cd   :  3e01b ; 
+ 1ce   :  3e012 ; 
+ 1cf   :  3e009 ; 
+ 1d0   :  3e000 ; 
+ 1d1   :  3dff7 ; 
+ 1d2   :  3dfee ; 
+ 1d3   :  3dfe5 ; 
+ 1d4   :  3dfdc ; 
+ 1d5   :  3dfd3 ; 
+ 1d6   :  3dfcb ; 
+ 1d7   :  3dfc2 ; 
+ 1d8   :  3dfba ; 
+ 1d9   :  3dfb1 ; 
+ 1da   :  3dfa9 ; 
+ 1db   :  3dfa1 ; 
+ 1dc   :  3df98 ; 
+ 1dd   :  3df90 ; 
+ 1de   :  3df88 ; 
+ 1df   :  3df80 ; 
+ 1e0   :  3df78 ; 
+ 1e1   :  3df70 ; 
+ 1e2   :  3df69 ; 
+ 1e3   :  3df61 ; 
+ 1e4   :  3df59 ; 
+ 1e5   :  3df52 ; 
+ 1e6   :  3df4a ; 
+ 1e7   :  3df43 ; 
+ 1e8   :  3df3b ; 
+ 1e9   :  3df34 ; 
+ 1ea   :  3df2d ; 
+ 1eb   :  3df26 ; 
+ 1ec   :  3df1f ; 
+ 1ed   :  3df18 ; 
+ 1ee   :  3df11 ; 
+ 1ef   :  3df0a ; 
+ 1f0   :  3df03 ; 
+ 1f1   :  3defc ; 
+ 1f2   :  3def6 ; 
+ 1f3   :  3deef ; 
+ 1f4   :  3dee9 ; 
+ 1f5   :  3dee2 ; 
+ 1f6   :  3dedc ; 
+ 1f7   :  3ded6 ; 
+ 1f8   :  3ded0 ; 
+ 1f9   :  3dec9 ; 
+ 1fa   :  3dec3 ; 
+ 1fb   :  3debe ; 
+ 1fc   :  3deb8 ; 
+ 1fd   :  3deb2 ; 
+ 1fe   :  3deac ; 
+ 1ff   :  3dea6 ; 
+ 200   :  3dea1 ; 
+ 201   :  3de9b ; 
+ 202   :  3de96 ; 
+ 203   :  3de91 ; 
+ 204   :  3de8b ; 
+ 205   :  3de86 ; 
+ 206   :  3de81 ; 
+ 207   :  3de7c ; 
+ 208   :  3de77 ; 
+ 209   :  3de72 ; 
+ 20a   :  3de6d ; 
+ 20b   :  3de69 ; 
+ 20c   :  3de64 ; 
+ 20d   :  3de5f ; 
+ 20e   :  3de5b ; 
+ 20f   :  3de56 ; 
+ 210   :  3de52 ; 
+ 211   :  3de4e ; 
+ 212   :  3de4a ; 
+ 213   :  3de45 ; 
+ 214   :  3de41 ; 
+ 215   :  3de3d ; 
+ 216   :  3de39 ; 
+ 217   :  3de36 ; 
+ 218   :  3de32 ; 
+ 219   :  3de2e ; 
+ 21a   :  3de2b ; 
+ 21b   :  3de27 ; 
+ 21c   :  3de24 ; 
+ 21d   :  3de20 ; 
+ 21e   :  3de1d ; 
+ 21f   :  3de1a ; 
+ 220   :  3de17 ; 
+ 221   :  3de14 ; 
+ 222   :  3de11 ; 
+ 223   :  3de0e ; 
+ 224   :  3de0b ; 
+ 225   :  3de09 ; 
+ 226   :  3de06 ; 
+ 227   :  3de03 ; 
+ 228   :  3de01 ; 
+ 229   :  3ddff ; 
+ 22a   :  3ddfc ; 
+ 22b   :  3ddfa ; 
+ 22c   :  3ddf8 ; 
+ 22d   :  3ddf6 ; 
+ 22e   :  3ddf4 ; 
+ 22f   :  3ddf2 ; 
+ 230   :  3ddf0 ; 
+ 231   :  3ddee ; 
+ 232   :  3dded ; 
+ 233   :  3ddeb ; 
+ 234   :  3ddea ; 
+ 235   :  3dde8 ; 
+ 236   :  3dde7 ; 
+ 237   :  3dde6 ; 
+ 238   :  3dde5 ; 
+ 239   :  3dde3 ; 
+ 23a   :  3dde2 ; 
+ 23b   :  3dde2 ; 
+ 23c   :  3dde1 ; 
+ 23d   :  3dde0 ; 
+ 23e   :  3dddf ; 
+ 23f   :  3dddf ; 
+ 240   :  3ddde ; 
+ 241   :  3ddde ; 
+ 242   :  3dddd ; 
+ 243   :  3dddd ; 
+ 244   :  3dddd ; 
+ 245   :  3dddd ; 
+ 246   :  3dddd ; 
+ 247   :  3dddd ; 
+ 248   :  3dddd ; 
+ 249   :  3dddd ; 
+ 24a   :  3ddde ; 
+ 24b   :  3ddde ; 
+ 24c   :  3ddde ; 
+ 24d   :  3dddf ; 
+ 24e   :  3dde0 ; 
+ 24f   :  3dde0 ; 
+ 250   :  3dde1 ; 
+ 251   :  3dde2 ; 
+ 252   :  3dde3 ; 
+ 253   :  3dde4 ; 
+ 254   :  3dde5 ; 
+ 255   :  3dde6 ; 
+ 256   :  3dde8 ; 
+ 257   :  3dde9 ; 
+ 258   :  3ddeb ; 
+ 259   :  3ddec ; 
+ 25a   :  3ddee ; 
+ 25b   :  3ddf0 ; 
+ 25c   :  3ddf1 ; 
+ 25d   :  3ddf3 ; 
+ 25e   :  3ddf5 ; 
+ 25f   :  3ddf7 ; 
+ 260   :  3ddf9 ; 
+ 261   :  3ddfc ; 
+ 262   :  3ddfe ; 
+ 263   :  3de00 ; 
+ 264   :  3de03 ; 
+ 265   :  3de06 ; 
+ 266   :  3de08 ; 
+ 267   :  3de0b ; 
+ 268   :  3de0e ; 
+ 269   :  3de11 ; 
+ 26a   :  3de14 ; 
+ 26b   :  3de17 ; 
+ 26c   :  3de1a ; 
+ 26d   :  3de1d ; 
+ 26e   :  3de21 ; 
+ 26f   :  3de24 ; 
+ 270   :  3de27 ; 
+ 271   :  3de2b ; 
+ 272   :  3de2f ; 
+ 273   :  3de33 ; 
+ 274   :  3de36 ; 
+ 275   :  3de3a ; 
+ 276   :  3de3e ; 
+ 277   :  3de42 ; 
+ 278   :  3de47 ; 
+ 279   :  3de4b ; 
+ 27a   :  3de4f ; 
+ 27b   :  3de54 ; 
+ 27c   :  3de58 ; 
+ 27d   :  3de5d ; 
+ 27e   :  3de62 ; 
+ 27f   :  3de66 ; 
+ 280   :  3de6b ; 
+ 281   :  3de70 ; 
+ 282   :  3de75 ; 
+ 283   :  3de7a ; 
+ 284   :  3de80 ; 
+ 285   :  3de85 ; 
+ 286   :  3de8a ; 
+ 287   :  3de90 ; 
+ 288   :  3de95 ; 
+ 289   :  3de9b ; 
+ 28a   :  3dea1 ; 
+ 28b   :  3dea7 ; 
+ 28c   :  3dead ; 
+ 28d   :  3deb3 ; 
+ 28e   :  3deb9 ; 
+ 28f   :  3debf ; 
+ 290   :  3dec5 ; 
+ 291   :  3decb ; 
+ 292   :  3ded2 ; 
+ 293   :  3ded8 ; 
+ 294   :  3dedf ; 
+ 295   :  3dee6 ; 
+ 296   :  3deec ; 
+ 297   :  3def3 ; 
+ 298   :  3defa ; 
+ 299   :  3df01 ; 
+ 29a   :  3df08 ; 
+ 29b   :  3df10 ; 
+ 29c   :  3df17 ; 
+ 29d   :  3df1e ; 
+ 29e   :  3df26 ; 
+ 29f   :  3df2d ; 
+ 2a0   :  3df35 ; 
+ 2a1   :  3df3d ; 
+ 2a2   :  3df45 ; 
+ 2a3   :  3df4d ; 
+ 2a4   :  3df55 ; 
+ 2a5   :  3df5d ; 
+ 2a6   :  3df65 ; 
+ 2a7   :  3df6d ; 
+ 2a8   :  3df75 ; 
+ 2a9   :  3df7e ; 
+ 2aa   :  3df86 ; 
+ 2ab   :  3df8f ; 
+ 2ac   :  3df98 ; 
+ 2ad   :  3dfa0 ; 
+ 2ae   :  3dfa9 ; 
+ 2af   :  3dfb2 ; 
+ 2b0   :  3dfbb ; 
+ 2b1   :  3dfc4 ; 
+ 2b2   :  3dfce ; 
+ 2b3   :  3dfd7 ; 
+ 2b4   :  3dfe0 ; 
+ 2b5   :  3dfea ; 
+ 2b6   :  3dff3 ; 
+ 2b7   :  3dffd ; 
+ 2b8   :  3e007 ; 
+ 2b9   :  3e010 ; 
+ 2ba   :  3e01a ; 
+ 2bb   :  3e024 ; 
+ 2bc   :  3e02e ; 
+ 2bd   :  3e039 ; 
+ 2be   :  3e043 ; 
+ 2bf   :  3e04d ; 
+ 2c0   :  3e058 ; 
+ 2c1   :  3e062 ; 
+ 2c2   :  3e06d ; 
+ 2c3   :  3e077 ; 
+ 2c4   :  3e082 ; 
+ 2c5   :  3e08d ; 
+ 2c6   :  3e098 ; 
+ 2c7   :  3e0a3 ; 
+ 2c8   :  3e0ae ; 
+ 2c9   :  3e0b9 ; 
+ 2ca   :  3e0c4 ; 
+ 2cb   :  3e0d0 ; 
+ 2cc   :  3e0db ; 
+ 2cd   :  3e0e7 ; 
+ 2ce   :  3e0f2 ; 
+ 2cf   :  3e0fe ; 
+ 2d0   :  3e10a ; 
+ 2d1   :  3e116 ; 
+ 2d2   :  3e122 ; 
+ 2d3   :  3e12e ; 
+ 2d4   :  3e13a ; 
+ 2d5   :  3e146 ; 
+ 2d6   :  3e153 ; 
+ 2d7   :  3e15f ; 
+ 2d8   :  3e16c ; 
+ 2d9   :  3e178 ; 
+ 2da   :  3e185 ; 
+ 2db   :  3e192 ; 
+ 2dc   :  3e19e ; 
+ 2dd   :  3e1ab ; 
+ 2de   :  3e1b8 ; 
+ 2df   :  3e1c5 ; 
+ 2e0   :  3e1d3 ; 
+ 2e1   :  3e1e0 ; 
+ 2e2   :  3e1ed ; 
+ 2e3   :  3e1fb ; 
+ 2e4   :  3e208 ; 
+ 2e5   :  3e216 ; 
+ 2e6   :  3e223 ; 
+ 2e7   :  3e231 ; 
+ 2e8   :  3e23f ; 
+ 2e9   :  3e24d ; 
+ 2ea   :  3e25b ; 
+ 2eb   :  3e269 ; 
+ 2ec   :  3e277 ; 
+ 2ed   :  3e286 ; 
+ 2ee   :  3e294 ; 
+ 2ef   :  3e2a2 ; 
+ 2f0   :  3e2b1 ; 
+ 2f1   :  3e2c0 ; 
+ 2f2   :  3e2ce ; 
+ 2f3   :  3e2dd ; 
+ 2f4   :  3e2ec ; 
+ 2f5   :  3e2fb ; 
+ 2f6   :  3e30a ; 
+ 2f7   :  3e319 ; 
+ 2f8   :  3e328 ; 
+ 2f9   :  3e338 ; 
+ 2fa   :  3e347 ; 
+ 2fb   :  3e357 ; 
+ 2fc   :  3e366 ; 
+ 2fd   :  3e376 ; 
+ 2fe   :  3e385 ; 
+ 2ff   :  3e395 ; 
+ 300   :  3e3a5 ; 
+ 301   :  3e3b5 ; 
+ 302   :  3e3c5 ; 
+ 303   :  3e3d5 ; 
+ 304   :  3e3e6 ; 
+ 305   :  3e3f6 ; 
+ 306   :  3e406 ; 
+ 307   :  3e417 ; 
+ 308   :  3e427 ; 
+ 309   :  3e438 ; 
+ 30a   :  3e449 ; 
+ 30b   :  3e459 ; 
+ 30c   :  3e46a ; 
+ 30d   :  3e47b ; 
+ 30e   :  3e48c ; 
+ 30f   :  3e49e ; 
+ 310   :  3e4af ; 
+ 311   :  3e4c0 ; 
+ 312   :  3e4d1 ; 
+ 313   :  3e4e3 ; 
+ 314   :  3e4f5 ; 
+ 315   :  3e506 ; 
+ 316   :  3e518 ; 
+ 317   :  3e52a ; 
+ 318   :  3e53c ; 
+ 319   :  3e54d ; 
+ 31a   :  3e560 ; 
+ 31b   :  3e572 ; 
+ 31c   :  3e584 ; 
+ 31d   :  3e596 ; 
+ 31e   :  3e5a9 ; 
+ 31f   :  3e5bb ; 
+ 320   :  3e5ce ; 
+ 321   :  3e5e0 ; 
+ 322   :  3e5f3 ; 
+ 323   :  3e606 ; 
+ 324   :  3e618 ; 
+ 325   :  3e62b ; 
+ 326   :  3e63e ; 
+ 327   :  3e652 ; 
+ 328   :  3e665 ; 
+ 329   :  3e678 ; 
+ 32a   :  3e68b ; 
+ 32b   :  3e69f ; 
+ 32c   :  3e6b2 ; 
+ 32d   :  3e6c6 ; 
+ 32e   :  3e6d9 ; 
+ 32f   :  3e6ed ; 
+ 330   :  3e701 ; 
+ 331   :  3e715 ; 
+ 332   :  3e729 ; 
+ 333   :  3e73d ; 
+ 334   :  3e751 ; 
+ 335   :  3e765 ; 
+ 336   :  3e77a ; 
+ 337   :  3e78e ; 
+ 338   :  3e7a2 ; 
+ 339   :  3e7b7 ; 
+ 33a   :  3e7cc ; 
+ 33b   :  3e7e0 ; 
+ 33c   :  3e7f5 ; 
+ 33d   :  3e80a ; 
+ 33e   :  3e81f ; 
+ 33f   :  3e834 ; 
+ 340   :  3e849 ; 
+ 341   :  3e85e ; 
+ 342   :  3e873 ; 
+ 343   :  3e889 ; 
+ 344   :  3e89e ; 
+ 345   :  3e8b3 ; 
+ 346   :  3e8c9 ; 
+ 347   :  3e8df ; 
+ 348   :  3e8f4 ; 
+ 349   :  3e90a ; 
+ 34a   :  3e920 ; 
+ 34b   :  3e936 ; 
+ 34c   :  3e94c ; 
+ 34d   :  3e962 ; 
+ 34e   :  3e978 ; 
+ 34f   :  3e98e ; 
+ 350   :  3e9a4 ; 
+ 351   :  3e9bb ; 
+ 352   :  3e9d1 ; 
+ 353   :  3e9e8 ; 
+ 354   :  3e9fe ; 
+ 355   :  3ea15 ; 
+ 356   :  3ea2c ; 
+ 357   :  3ea43 ; 
+ 358   :  3ea5a ; 
+ 359   :  3ea71 ; 
+ 35a   :  3ea88 ; 
+ 35b   :  3ea9f ; 
+ 35c   :  3eab6 ; 
+ 35d   :  3eacd ; 
+ 35e   :  3eae5 ; 
+ 35f   :  3eafc ; 
+ 360   :  3eb13 ; 
+ 361   :  3eb2b ; 
+ 362   :  3eb43 ; 
+ 363   :  3eb5a ; 
+ 364   :  3eb72 ; 
+ 365   :  3eb8a ; 
+ 366   :  3eba2 ; 
+ 367   :  3ebba ; 
+ 368   :  3ebd2 ; 
+ 369   :  3ebea ; 
+ 36a   :  3ec02 ; 
+ 36b   :  3ec1b ; 
+ 36c   :  3ec33 ; 
+ 36d   :  3ec4b ; 
+ 36e   :  3ec64 ; 
+ 36f   :  3ec7c ; 
+ 370   :  3ec95 ; 
+ 371   :  3ecae ; 
+ 372   :  3ecc7 ; 
+ 373   :  3ecdf ; 
+ 374   :  3ecf8 ; 
+ 375   :  3ed11 ; 
+ 376   :  3ed2a ; 
+ 377   :  3ed43 ; 
+ 378   :  3ed5d ; 
+ 379   :  3ed76 ; 
+ 37a   :  3ed8f ; 
+ 37b   :  3eda9 ; 
+ 37c   :  3edc2 ; 
+ 37d   :  3eddc ; 
+ 37e   :  3edf5 ; 
+ 37f   :  3ee0f ; 
+ 380   :  3ee29 ; 
+ 381   :  3ee43 ; 
+ 382   :  3ee5c ; 
+ 383   :  3ee76 ; 
+ 384   :  3ee90 ; 
+ 385   :  3eeab ; 
+ 386   :  3eec5 ; 
+ 387   :  3eedf ; 
+ 388   :  3eef9 ; 
+ 389   :  3ef14 ; 
+ 38a   :  3ef2e ; 
+ 38b   :  3ef48 ; 
+ 38c   :  3ef63 ; 
+ 38d   :  3ef7e ; 
+ 38e   :  3ef98 ; 
+ 38f   :  3efb3 ; 
+ 390   :  3efce ; 
+ 391   :  3efe9 ; 
+ 392   :  3f004 ; 
+ 393   :  3f01f ; 
+ 394   :  3f03a ; 
+ 395   :  3f055 ; 
+ 396   :  3f070 ; 
+ 397   :  3f08b ; 
+ 398   :  3f0a7 ; 
+ 399   :  3f0c2 ; 
+ 39a   :  3f0dd ; 
+ 39b   :  3f0f9 ; 
+ 39c   :  3f115 ; 
+ 39d   :  3f130 ; 
+ 39e   :  3f14c ; 
+ 39f   :  3f168 ; 
+ 3a0   :  3f183 ; 
+ 3a1   :  3f19f ; 
+ 3a2   :  3f1bb ; 
+ 3a3   :  3f1d7 ; 
+ 3a4   :  3f1f3 ; 
+ 3a5   :  3f20f ; 
+ 3a6   :  3f22c ; 
+ 3a7   :  3f248 ; 
+ 3a8   :  3f264 ; 
+ 3a9   :  3f281 ; 
+ 3aa   :  3f29d ; 
+ 3ab   :  3f2ba ; 
+ 3ac   :  3f2d6 ; 
+ 3ad   :  3f2f3 ; 
+ 3ae   :  3f30f ; 
+ 3af   :  3f32c ; 
+ 3b0   :  3f349 ; 
+ 3b1   :  3f366 ; 
+ 3b2   :  3f383 ; 
+ 3b3   :  3f3a0 ; 
+ 3b4   :  3f3bd ; 
+ 3b5   :  3f3da ; 
+ 3b6   :  3f3f7 ; 
+ 3b7   :  3f414 ; 
+ 3b8   :  3f431 ; 
+ 3b9   :  3f44e ; 
+ 3ba   :  3f46c ; 
+ 3bb   :  3f489 ; 
+ 3bc   :  3f4a7 ; 
+ 3bd   :  3f4c4 ; 
+ 3be   :  3f4e2 ; 
+ 3bf   :  3f4ff ; 
+ 3c0   :  3f51d ; 
+ 3c1   :  3f53b ; 
+ 3c2   :  3f559 ; 
+ 3c3   :  3f577 ; 
+ 3c4   :  3f594 ; 
+ 3c5   :  3f5b2 ; 
+ 3c6   :  3f5d0 ; 
+ 3c7   :  3f5ef ; 
+ 3c8   :  3f60d ; 
+ 3c9   :  3f62b ; 
+ 3ca   :  3f649 ; 
+ 3cb   :  3f667 ; 
+ 3cc   :  3f686 ; 
+ 3cd   :  3f6a4 ; 
+ 3ce   :  3f6c2 ; 
+ 3cf   :  3f6e1 ; 
+ 3d0   :  3f700 ; 
+ 3d1   :  3f71e ; 
+ 3d2   :  3f73d ; 
+ 3d3   :  3f75b ; 
+ 3d4   :  3f77a ; 
+ 3d5   :  3f799 ; 
+ 3d6   :  3f7b8 ; 
+ 3d7   :  3f7d7 ; 
+ 3d8   :  3f7f6 ; 
+ 3d9   :  3f815 ; 
+ 3da   :  3f834 ; 
+ 3db   :  3f853 ; 
+ 3dc   :  3f872 ; 
+ 3dd   :  3f891 ; 
+ 3de   :  3f8b0 ; 
+ 3df   :  3f8d0 ; 
+ 3e0   :  3f8ef ; 
+ 3e1   :  3f90e ; 
+ 3e2   :  3f92e ; 
+ 3e3   :  3f94d ; 
+ 3e4   :  3f96d ; 
+ 3e5   :  3f98c ; 
+ 3e6   :  3f9ac ; 
+ 3e7   :  3f9cb ; 
+ 3e8   :  3f9eb ; 
+ 3e9   :  3fa0b ; 
+ 3ea   :  3fa2b ; 
+ 3eb   :  3fa4b ; 
+ 3ec   :  3fa6a ; 
+ 3ed   :  3fa8a ; 
+ 3ee   :  3faaa ; 
+ 3ef   :  3faca ; 
+ 3f0   :  3faea ; 
+ 3f1   :  3fb0a ; 
+ 3f2   :  3fb2b ; 
+ 3f3   :  3fb4b ; 
+ 3f4   :  3fb6b ; 
+ 3f5   :  3fb8b ; 
+ 3f6   :  3fbab ; 
+ 3f7   :  3fbcc ; 
+ 3f8   :  3fbec ; 
+ 3f9   :  3fc0d ; 
+ 3fa   :  3fc2d ; 
+ 3fb   :  3fc4e ; 
+ 3fc   :  3fc6e ; 
+ 3fd   :  3fc8f ; 
+ 3fe   :  3fcaf ; 
+ 3ff   :  3fcd0 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_12.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_12.mif
new file mode 100644
index 0000000000000000000000000000000000000000..359a1c941a677a2c7bfba5b4bb6e0b18465338ce
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_12.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  139 ; 
+ 1   :  146 ; 
+ 2   :  153 ; 
+ 3   :  160 ; 
+ 4   :  16d ; 
+ 5   :  17a ; 
+ 6   :  188 ; 
+ 7   :  195 ; 
+ 8   :  1a2 ; 
+ 9   :  1af ; 
+ a   :  1bd ; 
+ b   :  1ca ; 
+ c   :  1d7 ; 
+ d   :  1e4 ; 
+ e   :  1f1 ; 
+ f   :  1ff ; 
+ 10   :  20c ; 
+ 11   :  219 ; 
+ 12   :  227 ; 
+ 13   :  234 ; 
+ 14   :  241 ; 
+ 15   :  24e ; 
+ 16   :  25c ; 
+ 17   :  269 ; 
+ 18   :  276 ; 
+ 19   :  284 ; 
+ 1a   :  291 ; 
+ 1b   :  29e ; 
+ 1c   :  2ac ; 
+ 1d   :  2b9 ; 
+ 1e   :  2c6 ; 
+ 1f   :  2d4 ; 
+ 20   :  2e1 ; 
+ 21   :  2ef ; 
+ 22   :  2fc ; 
+ 23   :  309 ; 
+ 24   :  317 ; 
+ 25   :  324 ; 
+ 26   :  332 ; 
+ 27   :  33f ; 
+ 28   :  34c ; 
+ 29   :  35a ; 
+ 2a   :  367 ; 
+ 2b   :  375 ; 
+ 2c   :  382 ; 
+ 2d   :  38f ; 
+ 2e   :  39d ; 
+ 2f   :  3aa ; 
+ 30   :  3b8 ; 
+ 31   :  3c5 ; 
+ 32   :  3d3 ; 
+ 33   :  3e0 ; 
+ 34   :  3ee ; 
+ 35   :  3fb ; 
+ 36   :  408 ; 
+ 37   :  416 ; 
+ 38   :  423 ; 
+ 39   :  431 ; 
+ 3a   :  43e ; 
+ 3b   :  44c ; 
+ 3c   :  459 ; 
+ 3d   :  467 ; 
+ 3e   :  474 ; 
+ 3f   :  482 ; 
+ 40   :  48f ; 
+ 41   :  49d ; 
+ 42   :  4aa ; 
+ 43   :  4b8 ; 
+ 44   :  4c5 ; 
+ 45   :  4d3 ; 
+ 46   :  4e0 ; 
+ 47   :  4ee ; 
+ 48   :  4fb ; 
+ 49   :  509 ; 
+ 4a   :  516 ; 
+ 4b   :  524 ; 
+ 4c   :  531 ; 
+ 4d   :  53f ; 
+ 4e   :  54c ; 
+ 4f   :  55a ; 
+ 50   :  567 ; 
+ 51   :  575 ; 
+ 52   :  582 ; 
+ 53   :  58f ; 
+ 54   :  59d ; 
+ 55   :  5aa ; 
+ 56   :  5b8 ; 
+ 57   :  5c5 ; 
+ 58   :  5d3 ; 
+ 59   :  5e0 ; 
+ 5a   :  5ee ; 
+ 5b   :  5fb ; 
+ 5c   :  609 ; 
+ 5d   :  616 ; 
+ 5e   :  624 ; 
+ 5f   :  631 ; 
+ 60   :  63f ; 
+ 61   :  64c ; 
+ 62   :  65a ; 
+ 63   :  667 ; 
+ 64   :  675 ; 
+ 65   :  682 ; 
+ 66   :  690 ; 
+ 67   :  69d ; 
+ 68   :  6ab ; 
+ 69   :  6b8 ; 
+ 6a   :  6c5 ; 
+ 6b   :  6d3 ; 
+ 6c   :  6e0 ; 
+ 6d   :  6ee ; 
+ 6e   :  6fb ; 
+ 6f   :  709 ; 
+ 70   :  716 ; 
+ 71   :  723 ; 
+ 72   :  731 ; 
+ 73   :  73e ; 
+ 74   :  74c ; 
+ 75   :  759 ; 
+ 76   :  767 ; 
+ 77   :  774 ; 
+ 78   :  781 ; 
+ 79   :  78f ; 
+ 7a   :  79c ; 
+ 7b   :  7a9 ; 
+ 7c   :  7b7 ; 
+ 7d   :  7c4 ; 
+ 7e   :  7d2 ; 
+ 7f   :  7df ; 
+ 80   :  7ec ; 
+ 81   :  7fa ; 
+ 82   :  807 ; 
+ 83   :  814 ; 
+ 84   :  822 ; 
+ 85   :  82f ; 
+ 86   :  83c ; 
+ 87   :  84a ; 
+ 88   :  857 ; 
+ 89   :  864 ; 
+ 8a   :  871 ; 
+ 8b   :  87f ; 
+ 8c   :  88c ; 
+ 8d   :  899 ; 
+ 8e   :  8a7 ; 
+ 8f   :  8b4 ; 
+ 90   :  8c1 ; 
+ 91   :  8ce ; 
+ 92   :  8db ; 
+ 93   :  8e9 ; 
+ 94   :  8f6 ; 
+ 95   :  903 ; 
+ 96   :  910 ; 
+ 97   :  91d ; 
+ 98   :  92b ; 
+ 99   :  938 ; 
+ 9a   :  945 ; 
+ 9b   :  952 ; 
+ 9c   :  95f ; 
+ 9d   :  96c ; 
+ 9e   :  979 ; 
+ 9f   :  987 ; 
+ a0   :  994 ; 
+ a1   :  9a1 ; 
+ a2   :  9ae ; 
+ a3   :  9bb ; 
+ a4   :  9c8 ; 
+ a5   :  9d5 ; 
+ a6   :  9e2 ; 
+ a7   :  9ef ; 
+ a8   :  9fc ; 
+ a9   :  a09 ; 
+ aa   :  a16 ; 
+ ab   :  a23 ; 
+ ac   :  a30 ; 
+ ad   :  a3d ; 
+ ae   :  a4a ; 
+ af   :  a57 ; 
+ b0   :  a64 ; 
+ b1   :  a71 ; 
+ b2   :  a7e ; 
+ b3   :  a8b ; 
+ b4   :  a97 ; 
+ b5   :  aa4 ; 
+ b6   :  ab1 ; 
+ b7   :  abe ; 
+ b8   :  acb ; 
+ b9   :  ad8 ; 
+ ba   :  ae4 ; 
+ bb   :  af1 ; 
+ bc   :  afe ; 
+ bd   :  b0b ; 
+ be   :  b17 ; 
+ bf   :  b24 ; 
+ c0   :  b31 ; 
+ c1   :  b3e ; 
+ c2   :  b4a ; 
+ c3   :  b57 ; 
+ c4   :  b64 ; 
+ c5   :  b70 ; 
+ c6   :  b7d ; 
+ c7   :  b8a ; 
+ c8   :  b96 ; 
+ c9   :  ba3 ; 
+ ca   :  baf ; 
+ cb   :  bbc ; 
+ cc   :  bc8 ; 
+ cd   :  bd5 ; 
+ ce   :  be1 ; 
+ cf   :  bee ; 
+ d0   :  bfa ; 
+ d1   :  c07 ; 
+ d2   :  c13 ; 
+ d3   :  c20 ; 
+ d4   :  c2c ; 
+ d5   :  c39 ; 
+ d6   :  c45 ; 
+ d7   :  c51 ; 
+ d8   :  c5e ; 
+ d9   :  c6a ; 
+ da   :  c76 ; 
+ db   :  c83 ; 
+ dc   :  c8f ; 
+ dd   :  c9b ; 
+ de   :  ca7 ; 
+ df   :  cb4 ; 
+ e0   :  cc0 ; 
+ e1   :  ccc ; 
+ e2   :  cd8 ; 
+ e3   :  ce4 ; 
+ e4   :  cf1 ; 
+ e5   :  cfd ; 
+ e6   :  d09 ; 
+ e7   :  d15 ; 
+ e8   :  d21 ; 
+ e9   :  d2d ; 
+ ea   :  d39 ; 
+ eb   :  d45 ; 
+ ec   :  d51 ; 
+ ed   :  d5d ; 
+ ee   :  d69 ; 
+ ef   :  d75 ; 
+ f0   :  d81 ; 
+ f1   :  d8d ; 
+ f2   :  d99 ; 
+ f3   :  da4 ; 
+ f4   :  db0 ; 
+ f5   :  dbc ; 
+ f6   :  dc8 ; 
+ f7   :  dd4 ; 
+ f8   :  ddf ; 
+ f9   :  deb ; 
+ fa   :  df7 ; 
+ fb   :  e03 ; 
+ fc   :  e0e ; 
+ fd   :  e1a ; 
+ fe   :  e26 ; 
+ ff   :  e31 ; 
+ 100   :  e3d ; 
+ 101   :  e48 ; 
+ 102   :  e54 ; 
+ 103   :  e5f ; 
+ 104   :  e6b ; 
+ 105   :  e76 ; 
+ 106   :  e82 ; 
+ 107   :  e8d ; 
+ 108   :  e99 ; 
+ 109   :  ea4 ; 
+ 10a   :  eaf ; 
+ 10b   :  ebb ; 
+ 10c   :  ec6 ; 
+ 10d   :  ed1 ; 
+ 10e   :  edc ; 
+ 10f   :  ee8 ; 
+ 110   :  ef3 ; 
+ 111   :  efe ; 
+ 112   :  f09 ; 
+ 113   :  f14 ; 
+ 114   :  f20 ; 
+ 115   :  f2b ; 
+ 116   :  f36 ; 
+ 117   :  f41 ; 
+ 118   :  f4c ; 
+ 119   :  f57 ; 
+ 11a   :  f62 ; 
+ 11b   :  f6d ; 
+ 11c   :  f78 ; 
+ 11d   :  f83 ; 
+ 11e   :  f8d ; 
+ 11f   :  f98 ; 
+ 120   :  fa3 ; 
+ 121   :  fae ; 
+ 122   :  fb9 ; 
+ 123   :  fc3 ; 
+ 124   :  fce ; 
+ 125   :  fd9 ; 
+ 126   :  fe4 ; 
+ 127   :  fee ; 
+ 128   :  ff9 ; 
+ 129   :  1003 ; 
+ 12a   :  100e ; 
+ 12b   :  1018 ; 
+ 12c   :  1023 ; 
+ 12d   :  102d ; 
+ 12e   :  1038 ; 
+ 12f   :  1042 ; 
+ 130   :  104d ; 
+ 131   :  1057 ; 
+ 132   :  1061 ; 
+ 133   :  106c ; 
+ 134   :  1076 ; 
+ 135   :  1080 ; 
+ 136   :  108a ; 
+ 137   :  1095 ; 
+ 138   :  109f ; 
+ 139   :  10a9 ; 
+ 13a   :  10b3 ; 
+ 13b   :  10bd ; 
+ 13c   :  10c7 ; 
+ 13d   :  10d1 ; 
+ 13e   :  10db ; 
+ 13f   :  10e5 ; 
+ 140   :  10ef ; 
+ 141   :  10f9 ; 
+ 142   :  1103 ; 
+ 143   :  110d ; 
+ 144   :  1117 ; 
+ 145   :  1120 ; 
+ 146   :  112a ; 
+ 147   :  1134 ; 
+ 148   :  113e ; 
+ 149   :  1147 ; 
+ 14a   :  1151 ; 
+ 14b   :  115b ; 
+ 14c   :  1164 ; 
+ 14d   :  116e ; 
+ 14e   :  1177 ; 
+ 14f   :  1181 ; 
+ 150   :  118a ; 
+ 151   :  1194 ; 
+ 152   :  119d ; 
+ 153   :  11a6 ; 
+ 154   :  11b0 ; 
+ 155   :  11b9 ; 
+ 156   :  11c2 ; 
+ 157   :  11cc ; 
+ 158   :  11d5 ; 
+ 159   :  11de ; 
+ 15a   :  11e7 ; 
+ 15b   :  11f0 ; 
+ 15c   :  11f9 ; 
+ 15d   :  1202 ; 
+ 15e   :  120b ; 
+ 15f   :  1214 ; 
+ 160   :  121d ; 
+ 161   :  1226 ; 
+ 162   :  122f ; 
+ 163   :  1238 ; 
+ 164   :  1241 ; 
+ 165   :  1249 ; 
+ 166   :  1252 ; 
+ 167   :  125b ; 
+ 168   :  1264 ; 
+ 169   :  126c ; 
+ 16a   :  1275 ; 
+ 16b   :  127d ; 
+ 16c   :  1286 ; 
+ 16d   :  128e ; 
+ 16e   :  1297 ; 
+ 16f   :  129f ; 
+ 170   :  12a8 ; 
+ 171   :  12b0 ; 
+ 172   :  12b8 ; 
+ 173   :  12c1 ; 
+ 174   :  12c9 ; 
+ 175   :  12d1 ; 
+ 176   :  12d9 ; 
+ 177   :  12e1 ; 
+ 178   :  12ea ; 
+ 179   :  12f2 ; 
+ 17a   :  12fa ; 
+ 17b   :  1302 ; 
+ 17c   :  130a ; 
+ 17d   :  1312 ; 
+ 17e   :  131a ; 
+ 17f   :  1321 ; 
+ 180   :  1329 ; 
+ 181   :  1331 ; 
+ 182   :  1339 ; 
+ 183   :  1341 ; 
+ 184   :  1348 ; 
+ 185   :  1350 ; 
+ 186   :  1357 ; 
+ 187   :  135f ; 
+ 188   :  1367 ; 
+ 189   :  136e ; 
+ 18a   :  1376 ; 
+ 18b   :  137d ; 
+ 18c   :  1384 ; 
+ 18d   :  138c ; 
+ 18e   :  1393 ; 
+ 18f   :  139a ; 
+ 190   :  13a1 ; 
+ 191   :  13a9 ; 
+ 192   :  13b0 ; 
+ 193   :  13b7 ; 
+ 194   :  13be ; 
+ 195   :  13c5 ; 
+ 196   :  13cc ; 
+ 197   :  13d3 ; 
+ 198   :  13da ; 
+ 199   :  13e1 ; 
+ 19a   :  13e8 ; 
+ 19b   :  13ee ; 
+ 19c   :  13f5 ; 
+ 19d   :  13fc ; 
+ 19e   :  1403 ; 
+ 19f   :  1409 ; 
+ 1a0   :  1410 ; 
+ 1a1   :  1416 ; 
+ 1a2   :  141d ; 
+ 1a3   :  1424 ; 
+ 1a4   :  142a ; 
+ 1a5   :  1430 ; 
+ 1a6   :  1437 ; 
+ 1a7   :  143d ; 
+ 1a8   :  1443 ; 
+ 1a9   :  144a ; 
+ 1aa   :  1450 ; 
+ 1ab   :  1456 ; 
+ 1ac   :  145c ; 
+ 1ad   :  1462 ; 
+ 1ae   :  1468 ; 
+ 1af   :  146e ; 
+ 1b0   :  1474 ; 
+ 1b1   :  147a ; 
+ 1b2   :  1480 ; 
+ 1b3   :  1486 ; 
+ 1b4   :  148c ; 
+ 1b5   :  1491 ; 
+ 1b6   :  1497 ; 
+ 1b7   :  149d ; 
+ 1b8   :  14a2 ; 
+ 1b9   :  14a8 ; 
+ 1ba   :  14ae ; 
+ 1bb   :  14b3 ; 
+ 1bc   :  14b9 ; 
+ 1bd   :  14be ; 
+ 1be   :  14c3 ; 
+ 1bf   :  14c9 ; 
+ 1c0   :  14ce ; 
+ 1c1   :  14d3 ; 
+ 1c2   :  14d8 ; 
+ 1c3   :  14de ; 
+ 1c4   :  14e3 ; 
+ 1c5   :  14e8 ; 
+ 1c6   :  14ed ; 
+ 1c7   :  14f2 ; 
+ 1c8   :  14f7 ; 
+ 1c9   :  14fc ; 
+ 1ca   :  1500 ; 
+ 1cb   :  1505 ; 
+ 1cc   :  150a ; 
+ 1cd   :  150f ; 
+ 1ce   :  1514 ; 
+ 1cf   :  1518 ; 
+ 1d0   :  151d ; 
+ 1d1   :  1521 ; 
+ 1d2   :  1526 ; 
+ 1d3   :  152a ; 
+ 1d4   :  152f ; 
+ 1d5   :  1533 ; 
+ 1d6   :  1537 ; 
+ 1d7   :  153c ; 
+ 1d8   :  1540 ; 
+ 1d9   :  1544 ; 
+ 1da   :  1548 ; 
+ 1db   :  154c ; 
+ 1dc   :  1550 ; 
+ 1dd   :  1554 ; 
+ 1de   :  1558 ; 
+ 1df   :  155c ; 
+ 1e0   :  1560 ; 
+ 1e1   :  1564 ; 
+ 1e2   :  1568 ; 
+ 1e3   :  156c ; 
+ 1e4   :  156f ; 
+ 1e5   :  1573 ; 
+ 1e6   :  1577 ; 
+ 1e7   :  157a ; 
+ 1e8   :  157e ; 
+ 1e9   :  1581 ; 
+ 1ea   :  1585 ; 
+ 1eb   :  1588 ; 
+ 1ec   :  158b ; 
+ 1ed   :  158f ; 
+ 1ee   :  1592 ; 
+ 1ef   :  1595 ; 
+ 1f0   :  1598 ; 
+ 1f1   :  159b ; 
+ 1f2   :  159e ; 
+ 1f3   :  15a1 ; 
+ 1f4   :  15a4 ; 
+ 1f5   :  15a7 ; 
+ 1f6   :  15aa ; 
+ 1f7   :  15ad ; 
+ 1f8   :  15b0 ; 
+ 1f9   :  15b2 ; 
+ 1fa   :  15b5 ; 
+ 1fb   :  15b8 ; 
+ 1fc   :  15ba ; 
+ 1fd   :  15bd ; 
+ 1fe   :  15bf ; 
+ 1ff   :  15c2 ; 
+ 200   :  15c4 ; 
+ 201   :  15c7 ; 
+ 202   :  15c9 ; 
+ 203   :  15cb ; 
+ 204   :  15cd ; 
+ 205   :  15d0 ; 
+ 206   :  15d2 ; 
+ 207   :  15d4 ; 
+ 208   :  15d6 ; 
+ 209   :  15d8 ; 
+ 20a   :  15da ; 
+ 20b   :  15dc ; 
+ 20c   :  15dd ; 
+ 20d   :  15df ; 
+ 20e   :  15e1 ; 
+ 20f   :  15e3 ; 
+ 210   :  15e4 ; 
+ 211   :  15e6 ; 
+ 212   :  15e7 ; 
+ 213   :  15e9 ; 
+ 214   :  15ea ; 
+ 215   :  15ec ; 
+ 216   :  15ed ; 
+ 217   :  15ee ; 
+ 218   :  15ef ; 
+ 219   :  15f1 ; 
+ 21a   :  15f2 ; 
+ 21b   :  15f3 ; 
+ 21c   :  15f4 ; 
+ 21d   :  15f5 ; 
+ 21e   :  15f6 ; 
+ 21f   :  15f7 ; 
+ 220   :  15f8 ; 
+ 221   :  15f8 ; 
+ 222   :  15f9 ; 
+ 223   :  15fa ; 
+ 224   :  15fa ; 
+ 225   :  15fb ; 
+ 226   :  15fc ; 
+ 227   :  15fc ; 
+ 228   :  15fd ; 
+ 229   :  15fd ; 
+ 22a   :  15fd ; 
+ 22b   :  15fe ; 
+ 22c   :  15fe ; 
+ 22d   :  15fe ; 
+ 22e   :  15fe ; 
+ 22f   :  15fe ; 
+ 230   :  15fe ; 
+ 231   :  15fe ; 
+ 232   :  15fe ; 
+ 233   :  15fe ; 
+ 234   :  15fe ; 
+ 235   :  15fe ; 
+ 236   :  15fe ; 
+ 237   :  15fd ; 
+ 238   :  15fd ; 
+ 239   :  15fc ; 
+ 23a   :  15fc ; 
+ 23b   :  15fc ; 
+ 23c   :  15fb ; 
+ 23d   :  15fa ; 
+ 23e   :  15fa ; 
+ 23f   :  15f9 ; 
+ 240   :  15f8 ; 
+ 241   :  15f7 ; 
+ 242   :  15f7 ; 
+ 243   :  15f6 ; 
+ 244   :  15f5 ; 
+ 245   :  15f4 ; 
+ 246   :  15f3 ; 
+ 247   :  15f1 ; 
+ 248   :  15f0 ; 
+ 249   :  15ef ; 
+ 24a   :  15ee ; 
+ 24b   :  15ec ; 
+ 24c   :  15eb ; 
+ 24d   :  15ea ; 
+ 24e   :  15e8 ; 
+ 24f   :  15e7 ; 
+ 250   :  15e5 ; 
+ 251   :  15e3 ; 
+ 252   :  15e2 ; 
+ 253   :  15e0 ; 
+ 254   :  15de ; 
+ 255   :  15dc ; 
+ 256   :  15da ; 
+ 257   :  15d8 ; 
+ 258   :  15d6 ; 
+ 259   :  15d4 ; 
+ 25a   :  15d2 ; 
+ 25b   :  15d0 ; 
+ 25c   :  15ce ; 
+ 25d   :  15cc ; 
+ 25e   :  15c9 ; 
+ 25f   :  15c7 ; 
+ 260   :  15c5 ; 
+ 261   :  15c2 ; 
+ 262   :  15c0 ; 
+ 263   :  15bd ; 
+ 264   :  15ba ; 
+ 265   :  15b8 ; 
+ 266   :  15b5 ; 
+ 267   :  15b2 ; 
+ 268   :  15af ; 
+ 269   :  15ad ; 
+ 26a   :  15aa ; 
+ 26b   :  15a7 ; 
+ 26c   :  15a4 ; 
+ 26d   :  15a1 ; 
+ 26e   :  159d ; 
+ 26f   :  159a ; 
+ 270   :  1597 ; 
+ 271   :  1594 ; 
+ 272   :  1590 ; 
+ 273   :  158d ; 
+ 274   :  1589 ; 
+ 275   :  1586 ; 
+ 276   :  1582 ; 
+ 277   :  157f ; 
+ 278   :  157b ; 
+ 279   :  1577 ; 
+ 27a   :  1574 ; 
+ 27b   :  1570 ; 
+ 27c   :  156c ; 
+ 27d   :  1568 ; 
+ 27e   :  1564 ; 
+ 27f   :  1560 ; 
+ 280   :  155c ; 
+ 281   :  1558 ; 
+ 282   :  1553 ; 
+ 283   :  154f ; 
+ 284   :  154b ; 
+ 285   :  1547 ; 
+ 286   :  1542 ; 
+ 287   :  153e ; 
+ 288   :  1539 ; 
+ 289   :  1535 ; 
+ 28a   :  1530 ; 
+ 28b   :  152b ; 
+ 28c   :  1527 ; 
+ 28d   :  1522 ; 
+ 28e   :  151d ; 
+ 28f   :  1518 ; 
+ 290   :  1513 ; 
+ 291   :  150e ; 
+ 292   :  1509 ; 
+ 293   :  1504 ; 
+ 294   :  14ff ; 
+ 295   :  14fa ; 
+ 296   :  14f4 ; 
+ 297   :  14ef ; 
+ 298   :  14ea ; 
+ 299   :  14e4 ; 
+ 29a   :  14df ; 
+ 29b   :  14d9 ; 
+ 29c   :  14d4 ; 
+ 29d   :  14ce ; 
+ 29e   :  14c9 ; 
+ 29f   :  14c3 ; 
+ 2a0   :  14bd ; 
+ 2a1   :  14b7 ; 
+ 2a2   :  14b1 ; 
+ 2a3   :  14ab ; 
+ 2a4   :  14a5 ; 
+ 2a5   :  149f ; 
+ 2a6   :  1499 ; 
+ 2a7   :  1493 ; 
+ 2a8   :  148d ; 
+ 2a9   :  1487 ; 
+ 2aa   :  1480 ; 
+ 2ab   :  147a ; 
+ 2ac   :  1474 ; 
+ 2ad   :  146d ; 
+ 2ae   :  1467 ; 
+ 2af   :  1460 ; 
+ 2b0   :  1459 ; 
+ 2b1   :  1453 ; 
+ 2b2   :  144c ; 
+ 2b3   :  1445 ; 
+ 2b4   :  143e ; 
+ 2b5   :  1437 ; 
+ 2b6   :  1430 ; 
+ 2b7   :  1429 ; 
+ 2b8   :  1422 ; 
+ 2b9   :  141b ; 
+ 2ba   :  1414 ; 
+ 2bb   :  140d ; 
+ 2bc   :  1406 ; 
+ 2bd   :  13fe ; 
+ 2be   :  13f7 ; 
+ 2bf   :  13f0 ; 
+ 2c0   :  13e8 ; 
+ 2c1   :  13e1 ; 
+ 2c2   :  13d9 ; 
+ 2c3   :  13d1 ; 
+ 2c4   :  13ca ; 
+ 2c5   :  13c2 ; 
+ 2c6   :  13ba ; 
+ 2c7   :  13b2 ; 
+ 2c8   :  13ab ; 
+ 2c9   :  13a3 ; 
+ 2ca   :  139b ; 
+ 2cb   :  1393 ; 
+ 2cc   :  138a ; 
+ 2cd   :  1382 ; 
+ 2ce   :  137a ; 
+ 2cf   :  1372 ; 
+ 2d0   :  136a ; 
+ 2d1   :  1361 ; 
+ 2d2   :  1359 ; 
+ 2d3   :  1350 ; 
+ 2d4   :  1348 ; 
+ 2d5   :  133f ; 
+ 2d6   :  1337 ; 
+ 2d7   :  132e ; 
+ 2d8   :  1325 ; 
+ 2d9   :  131d ; 
+ 2da   :  1314 ; 
+ 2db   :  130b ; 
+ 2dc   :  1302 ; 
+ 2dd   :  12f9 ; 
+ 2de   :  12f0 ; 
+ 2df   :  12e7 ; 
+ 2e0   :  12de ; 
+ 2e1   :  12d4 ; 
+ 2e2   :  12cb ; 
+ 2e3   :  12c2 ; 
+ 2e4   :  12b9 ; 
+ 2e5   :  12af ; 
+ 2e6   :  12a6 ; 
+ 2e7   :  129c ; 
+ 2e8   :  1293 ; 
+ 2e9   :  1289 ; 
+ 2ea   :  1280 ; 
+ 2eb   :  1276 ; 
+ 2ec   :  126c ; 
+ 2ed   :  1262 ; 
+ 2ee   :  1258 ; 
+ 2ef   :  124f ; 
+ 2f0   :  1245 ; 
+ 2f1   :  123b ; 
+ 2f2   :  1231 ; 
+ 2f3   :  1226 ; 
+ 2f4   :  121c ; 
+ 2f5   :  1212 ; 
+ 2f6   :  1208 ; 
+ 2f7   :  11fd ; 
+ 2f8   :  11f3 ; 
+ 2f9   :  11e9 ; 
+ 2fa   :  11de ; 
+ 2fb   :  11d4 ; 
+ 2fc   :  11c9 ; 
+ 2fd   :  11bf ; 
+ 2fe   :  11b4 ; 
+ 2ff   :  11a9 ; 
+ 300   :  119e ; 
+ 301   :  1194 ; 
+ 302   :  1189 ; 
+ 303   :  117e ; 
+ 304   :  1173 ; 
+ 305   :  1168 ; 
+ 306   :  115d ; 
+ 307   :  1152 ; 
+ 308   :  1147 ; 
+ 309   :  113b ; 
+ 30a   :  1130 ; 
+ 30b   :  1125 ; 
+ 30c   :  1119 ; 
+ 30d   :  110e ; 
+ 30e   :  1102 ; 
+ 30f   :  10f7 ; 
+ 310   :  10eb ; 
+ 311   :  10e0 ; 
+ 312   :  10d4 ; 
+ 313   :  10c8 ; 
+ 314   :  10bd ; 
+ 315   :  10b1 ; 
+ 316   :  10a5 ; 
+ 317   :  1099 ; 
+ 318   :  108d ; 
+ 319   :  1081 ; 
+ 31a   :  1075 ; 
+ 31b   :  1069 ; 
+ 31c   :  105d ; 
+ 31d   :  1051 ; 
+ 31e   :  1044 ; 
+ 31f   :  1038 ; 
+ 320   :  102c ; 
+ 321   :  101f ; 
+ 322   :  1013 ; 
+ 323   :  1006 ; 
+ 324   :  ffa ; 
+ 325   :  fed ; 
+ 326   :  fe1 ; 
+ 327   :  fd4 ; 
+ 328   :  fc7 ; 
+ 329   :  fbb ; 
+ 32a   :  fae ; 
+ 32b   :  fa1 ; 
+ 32c   :  f94 ; 
+ 32d   :  f87 ; 
+ 32e   :  f7a ; 
+ 32f   :  f6d ; 
+ 330   :  f60 ; 
+ 331   :  f53 ; 
+ 332   :  f45 ; 
+ 333   :  f38 ; 
+ 334   :  f2b ; 
+ 335   :  f1e ; 
+ 336   :  f10 ; 
+ 337   :  f03 ; 
+ 338   :  ef5 ; 
+ 339   :  ee8 ; 
+ 33a   :  eda ; 
+ 33b   :  ecd ; 
+ 33c   :  ebf ; 
+ 33d   :  eb1 ; 
+ 33e   :  ea3 ; 
+ 33f   :  e96 ; 
+ 340   :  e88 ; 
+ 341   :  e7a ; 
+ 342   :  e6c ; 
+ 343   :  e5e ; 
+ 344   :  e50 ; 
+ 345   :  e42 ; 
+ 346   :  e34 ; 
+ 347   :  e26 ; 
+ 348   :  e17 ; 
+ 349   :  e09 ; 
+ 34a   :  dfb ; 
+ 34b   :  dec ; 
+ 34c   :  dde ; 
+ 34d   :  dd0 ; 
+ 34e   :  dc1 ; 
+ 34f   :  db3 ; 
+ 350   :  da4 ; 
+ 351   :  d95 ; 
+ 352   :  d87 ; 
+ 353   :  d78 ; 
+ 354   :  d69 ; 
+ 355   :  d5b ; 
+ 356   :  d4c ; 
+ 357   :  d3d ; 
+ 358   :  d2e ; 
+ 359   :  d1f ; 
+ 35a   :  d10 ; 
+ 35b   :  d01 ; 
+ 35c   :  cf2 ; 
+ 35d   :  ce3 ; 
+ 35e   :  cd3 ; 
+ 35f   :  cc4 ; 
+ 360   :  cb5 ; 
+ 361   :  ca6 ; 
+ 362   :  c96 ; 
+ 363   :  c87 ; 
+ 364   :  c77 ; 
+ 365   :  c68 ; 
+ 366   :  c58 ; 
+ 367   :  c49 ; 
+ 368   :  c39 ; 
+ 369   :  c2a ; 
+ 36a   :  c1a ; 
+ 36b   :  c0a ; 
+ 36c   :  bfa ; 
+ 36d   :  beb ; 
+ 36e   :  bdb ; 
+ 36f   :  bcb ; 
+ 370   :  bbb ; 
+ 371   :  bab ; 
+ 372   :  b9b ; 
+ 373   :  b8b ; 
+ 374   :  b7b ; 
+ 375   :  b6b ; 
+ 376   :  b5a ; 
+ 377   :  b4a ; 
+ 378   :  b3a ; 
+ 379   :  b2a ; 
+ 37a   :  b19 ; 
+ 37b   :  b09 ; 
+ 37c   :  af8 ; 
+ 37d   :  ae8 ; 
+ 37e   :  ad7 ; 
+ 37f   :  ac7 ; 
+ 380   :  ab6 ; 
+ 381   :  aa6 ; 
+ 382   :  a95 ; 
+ 383   :  a84 ; 
+ 384   :  a74 ; 
+ 385   :  a63 ; 
+ 386   :  a52 ; 
+ 387   :  a41 ; 
+ 388   :  a30 ; 
+ 389   :  a1f ; 
+ 38a   :  a0e ; 
+ 38b   :  9fd ; 
+ 38c   :  9ec ; 
+ 38d   :  9db ; 
+ 38e   :  9ca ; 
+ 38f   :  9b9 ; 
+ 390   :  9a8 ; 
+ 391   :  996 ; 
+ 392   :  985 ; 
+ 393   :  974 ; 
+ 394   :  962 ; 
+ 395   :  951 ; 
+ 396   :  940 ; 
+ 397   :  92e ; 
+ 398   :  91d ; 
+ 399   :  90b ; 
+ 39a   :  8f9 ; 
+ 39b   :  8e8 ; 
+ 39c   :  8d6 ; 
+ 39d   :  8c5 ; 
+ 39e   :  8b3 ; 
+ 39f   :  8a1 ; 
+ 3a0   :  88f ; 
+ 3a1   :  87d ; 
+ 3a2   :  86c ; 
+ 3a3   :  85a ; 
+ 3a4   :  848 ; 
+ 3a5   :  836 ; 
+ 3a6   :  824 ; 
+ 3a7   :  812 ; 
+ 3a8   :  800 ; 
+ 3a9   :  7ee ; 
+ 3aa   :  7db ; 
+ 3ab   :  7c9 ; 
+ 3ac   :  7b7 ; 
+ 3ad   :  7a5 ; 
+ 3ae   :  793 ; 
+ 3af   :  780 ; 
+ 3b0   :  76e ; 
+ 3b1   :  75c ; 
+ 3b2   :  749 ; 
+ 3b3   :  737 ; 
+ 3b4   :  724 ; 
+ 3b5   :  712 ; 
+ 3b6   :  6ff ; 
+ 3b7   :  6ed ; 
+ 3b8   :  6da ; 
+ 3b9   :  6c7 ; 
+ 3ba   :  6b5 ; 
+ 3bb   :  6a2 ; 
+ 3bc   :  68f ; 
+ 3bd   :  67d ; 
+ 3be   :  66a ; 
+ 3bf   :  657 ; 
+ 3c0   :  644 ; 
+ 3c1   :  631 ; 
+ 3c2   :  61e ; 
+ 3c3   :  60b ; 
+ 3c4   :  5f8 ; 
+ 3c5   :  5e5 ; 
+ 3c6   :  5d2 ; 
+ 3c7   :  5bf ; 
+ 3c8   :  5ac ; 
+ 3c9   :  599 ; 
+ 3ca   :  586 ; 
+ 3cb   :  573 ; 
+ 3cc   :  55f ; 
+ 3cd   :  54c ; 
+ 3ce   :  539 ; 
+ 3cf   :  526 ; 
+ 3d0   :  512 ; 
+ 3d1   :  4ff ; 
+ 3d2   :  4eb ; 
+ 3d3   :  4d8 ; 
+ 3d4   :  4c5 ; 
+ 3d5   :  4b1 ; 
+ 3d6   :  49e ; 
+ 3d7   :  48a ; 
+ 3d8   :  477 ; 
+ 3d9   :  463 ; 
+ 3da   :  44f ; 
+ 3db   :  43c ; 
+ 3dc   :  428 ; 
+ 3dd   :  414 ; 
+ 3de   :  401 ; 
+ 3df   :  3ed ; 
+ 3e0   :  3d9 ; 
+ 3e1   :  3c5 ; 
+ 3e2   :  3b1 ; 
+ 3e3   :  39e ; 
+ 3e4   :  38a ; 
+ 3e5   :  376 ; 
+ 3e6   :  362 ; 
+ 3e7   :  34e ; 
+ 3e8   :  33a ; 
+ 3e9   :  326 ; 
+ 3ea   :  312 ; 
+ 3eb   :  2fe ; 
+ 3ec   :  2ea ; 
+ 3ed   :  2d6 ; 
+ 3ee   :  2c2 ; 
+ 3ef   :  2ad ; 
+ 3f0   :  299 ; 
+ 3f1   :  285 ; 
+ 3f2   :  271 ; 
+ 3f3   :  25d ; 
+ 3f4   :  248 ; 
+ 3f5   :  234 ; 
+ 3f6   :  220 ; 
+ 3f7   :  20b ; 
+ 3f8   :  1f7 ; 
+ 3f9   :  1e3 ; 
+ 3fa   :  1ce ; 
+ 3fb   :  1ba ; 
+ 3fc   :  1a5 ; 
+ 3fd   :  191 ; 
+ 3fe   :  17c ; 
+ 3ff   :  168 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_13.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_13.mif
new file mode 100644
index 0000000000000000000000000000000000000000..05b06357c7228af42c505ada336684b8e3f9d6b3
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_13.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3fb70 ; 
+ 1   :  3fb68 ; 
+ 2   :  3fb60 ; 
+ 3   :  3fb59 ; 
+ 4   :  3fb51 ; 
+ 5   :  3fb4a ; 
+ 6   :  3fb42 ; 
+ 7   :  3fb3a ; 
+ 8   :  3fb33 ; 
+ 9   :  3fb2b ; 
+ a   :  3fb24 ; 
+ b   :  3fb1c ; 
+ c   :  3fb14 ; 
+ d   :  3fb0d ; 
+ e   :  3fb05 ; 
+ f   :  3fafe ; 
+ 10   :  3faf6 ; 
+ 11   :  3faef ; 
+ 12   :  3fae7 ; 
+ 13   :  3fadf ; 
+ 14   :  3fad8 ; 
+ 15   :  3fad0 ; 
+ 16   :  3fac9 ; 
+ 17   :  3fac1 ; 
+ 18   :  3fab9 ; 
+ 19   :  3fab2 ; 
+ 1a   :  3faaa ; 
+ 1b   :  3faa3 ; 
+ 1c   :  3fa9b ; 
+ 1d   :  3fa94 ; 
+ 1e   :  3fa8c ; 
+ 1f   :  3fa85 ; 
+ 20   :  3fa7d ; 
+ 21   :  3fa75 ; 
+ 22   :  3fa6e ; 
+ 23   :  3fa66 ; 
+ 24   :  3fa5f ; 
+ 25   :  3fa57 ; 
+ 26   :  3fa50 ; 
+ 27   :  3fa48 ; 
+ 28   :  3fa41 ; 
+ 29   :  3fa39 ; 
+ 2a   :  3fa32 ; 
+ 2b   :  3fa2a ; 
+ 2c   :  3fa22 ; 
+ 2d   :  3fa1b ; 
+ 2e   :  3fa13 ; 
+ 2f   :  3fa0c ; 
+ 30   :  3fa04 ; 
+ 31   :  3f9fd ; 
+ 32   :  3f9f5 ; 
+ 33   :  3f9ee ; 
+ 34   :  3f9e6 ; 
+ 35   :  3f9df ; 
+ 36   :  3f9d7 ; 
+ 37   :  3f9d0 ; 
+ 38   :  3f9c8 ; 
+ 39   :  3f9c1 ; 
+ 3a   :  3f9b9 ; 
+ 3b   :  3f9b2 ; 
+ 3c   :  3f9aa ; 
+ 3d   :  3f9a3 ; 
+ 3e   :  3f99c ; 
+ 3f   :  3f994 ; 
+ 40   :  3f98d ; 
+ 41   :  3f985 ; 
+ 42   :  3f97e ; 
+ 43   :  3f976 ; 
+ 44   :  3f96f ; 
+ 45   :  3f967 ; 
+ 46   :  3f960 ; 
+ 47   :  3f959 ; 
+ 48   :  3f951 ; 
+ 49   :  3f94a ; 
+ 4a   :  3f942 ; 
+ 4b   :  3f93b ; 
+ 4c   :  3f933 ; 
+ 4d   :  3f92c ; 
+ 4e   :  3f925 ; 
+ 4f   :  3f91d ; 
+ 50   :  3f916 ; 
+ 51   :  3f90f ; 
+ 52   :  3f907 ; 
+ 53   :  3f900 ; 
+ 54   :  3f8f8 ; 
+ 55   :  3f8f1 ; 
+ 56   :  3f8ea ; 
+ 57   :  3f8e2 ; 
+ 58   :  3f8db ; 
+ 59   :  3f8d4 ; 
+ 5a   :  3f8cc ; 
+ 5b   :  3f8c5 ; 
+ 5c   :  3f8be ; 
+ 5d   :  3f8b6 ; 
+ 5e   :  3f8af ; 
+ 5f   :  3f8a8 ; 
+ 60   :  3f8a1 ; 
+ 61   :  3f899 ; 
+ 62   :  3f892 ; 
+ 63   :  3f88b ; 
+ 64   :  3f883 ; 
+ 65   :  3f87c ; 
+ 66   :  3f875 ; 
+ 67   :  3f86e ; 
+ 68   :  3f866 ; 
+ 69   :  3f85f ; 
+ 6a   :  3f858 ; 
+ 6b   :  3f851 ; 
+ 6c   :  3f84a ; 
+ 6d   :  3f842 ; 
+ 6e   :  3f83b ; 
+ 6f   :  3f834 ; 
+ 70   :  3f82d ; 
+ 71   :  3f826 ; 
+ 72   :  3f81e ; 
+ 73   :  3f817 ; 
+ 74   :  3f810 ; 
+ 75   :  3f809 ; 
+ 76   :  3f802 ; 
+ 77   :  3f7fb ; 
+ 78   :  3f7f4 ; 
+ 79   :  3f7ec ; 
+ 7a   :  3f7e5 ; 
+ 7b   :  3f7de ; 
+ 7c   :  3f7d7 ; 
+ 7d   :  3f7d0 ; 
+ 7e   :  3f7c9 ; 
+ 7f   :  3f7c2 ; 
+ 80   :  3f7bb ; 
+ 81   :  3f7b4 ; 
+ 82   :  3f7ad ; 
+ 83   :  3f7a6 ; 
+ 84   :  3f79f ; 
+ 85   :  3f798 ; 
+ 86   :  3f791 ; 
+ 87   :  3f78a ; 
+ 88   :  3f783 ; 
+ 89   :  3f77c ; 
+ 8a   :  3f775 ; 
+ 8b   :  3f76e ; 
+ 8c   :  3f767 ; 
+ 8d   :  3f760 ; 
+ 8e   :  3f759 ; 
+ 8f   :  3f752 ; 
+ 90   :  3f74b ; 
+ 91   :  3f744 ; 
+ 92   :  3f73d ; 
+ 93   :  3f736 ; 
+ 94   :  3f730 ; 
+ 95   :  3f729 ; 
+ 96   :  3f722 ; 
+ 97   :  3f71b ; 
+ 98   :  3f714 ; 
+ 99   :  3f70d ; 
+ 9a   :  3f706 ; 
+ 9b   :  3f700 ; 
+ 9c   :  3f6f9 ; 
+ 9d   :  3f6f2 ; 
+ 9e   :  3f6eb ; 
+ 9f   :  3f6e4 ; 
+ a0   :  3f6de ; 
+ a1   :  3f6d7 ; 
+ a2   :  3f6d0 ; 
+ a3   :  3f6c9 ; 
+ a4   :  3f6c3 ; 
+ a5   :  3f6bc ; 
+ a6   :  3f6b5 ; 
+ a7   :  3f6af ; 
+ a8   :  3f6a8 ; 
+ a9   :  3f6a1 ; 
+ aa   :  3f69b ; 
+ ab   :  3f694 ; 
+ ac   :  3f68d ; 
+ ad   :  3f687 ; 
+ ae   :  3f680 ; 
+ af   :  3f67a ; 
+ b0   :  3f673 ; 
+ b1   :  3f66c ; 
+ b2   :  3f666 ; 
+ b3   :  3f65f ; 
+ b4   :  3f659 ; 
+ b5   :  3f652 ; 
+ b6   :  3f64c ; 
+ b7   :  3f645 ; 
+ b8   :  3f63f ; 
+ b9   :  3f638 ; 
+ ba   :  3f632 ; 
+ bb   :  3f62b ; 
+ bc   :  3f625 ; 
+ bd   :  3f61e ; 
+ be   :  3f618 ; 
+ bf   :  3f611 ; 
+ c0   :  3f60b ; 
+ c1   :  3f605 ; 
+ c2   :  3f5fe ; 
+ c3   :  3f5f8 ; 
+ c4   :  3f5f2 ; 
+ c5   :  3f5eb ; 
+ c6   :  3f5e5 ; 
+ c7   :  3f5df ; 
+ c8   :  3f5d8 ; 
+ c9   :  3f5d2 ; 
+ ca   :  3f5cc ; 
+ cb   :  3f5c6 ; 
+ cc   :  3f5bf ; 
+ cd   :  3f5b9 ; 
+ ce   :  3f5b3 ; 
+ cf   :  3f5ad ; 
+ d0   :  3f5a6 ; 
+ d1   :  3f5a0 ; 
+ d2   :  3f59a ; 
+ d3   :  3f594 ; 
+ d4   :  3f58e ; 
+ d5   :  3f588 ; 
+ d6   :  3f582 ; 
+ d7   :  3f57b ; 
+ d8   :  3f575 ; 
+ d9   :  3f56f ; 
+ da   :  3f569 ; 
+ db   :  3f563 ; 
+ dc   :  3f55d ; 
+ dd   :  3f557 ; 
+ de   :  3f551 ; 
+ df   :  3f54b ; 
+ e0   :  3f545 ; 
+ e1   :  3f53f ; 
+ e2   :  3f539 ; 
+ e3   :  3f533 ; 
+ e4   :  3f52d ; 
+ e5   :  3f528 ; 
+ e6   :  3f522 ; 
+ e7   :  3f51c ; 
+ e8   :  3f516 ; 
+ e9   :  3f510 ; 
+ ea   :  3f50a ; 
+ eb   :  3f504 ; 
+ ec   :  3f4ff ; 
+ ed   :  3f4f9 ; 
+ ee   :  3f4f3 ; 
+ ef   :  3f4ed ; 
+ f0   :  3f4e8 ; 
+ f1   :  3f4e2 ; 
+ f2   :  3f4dc ; 
+ f3   :  3f4d7 ; 
+ f4   :  3f4d1 ; 
+ f5   :  3f4cb ; 
+ f6   :  3f4c6 ; 
+ f7   :  3f4c0 ; 
+ f8   :  3f4ba ; 
+ f9   :  3f4b5 ; 
+ fa   :  3f4af ; 
+ fb   :  3f4aa ; 
+ fc   :  3f4a4 ; 
+ fd   :  3f49f ; 
+ fe   :  3f499 ; 
+ ff   :  3f494 ; 
+ 100   :  3f48e ; 
+ 101   :  3f489 ; 
+ 102   :  3f483 ; 
+ 103   :  3f47e ; 
+ 104   :  3f478 ; 
+ 105   :  3f473 ; 
+ 106   :  3f46e ; 
+ 107   :  3f468 ; 
+ 108   :  3f463 ; 
+ 109   :  3f45e ; 
+ 10a   :  3f458 ; 
+ 10b   :  3f453 ; 
+ 10c   :  3f44e ; 
+ 10d   :  3f448 ; 
+ 10e   :  3f443 ; 
+ 10f   :  3f43e ; 
+ 110   :  3f439 ; 
+ 111   :  3f434 ; 
+ 112   :  3f42e ; 
+ 113   :  3f429 ; 
+ 114   :  3f424 ; 
+ 115   :  3f41f ; 
+ 116   :  3f41a ; 
+ 117   :  3f415 ; 
+ 118   :  3f410 ; 
+ 119   :  3f40b ; 
+ 11a   :  3f406 ; 
+ 11b   :  3f401 ; 
+ 11c   :  3f3fc ; 
+ 11d   :  3f3f7 ; 
+ 11e   :  3f3f2 ; 
+ 11f   :  3f3ed ; 
+ 120   :  3f3e8 ; 
+ 121   :  3f3e3 ; 
+ 122   :  3f3de ; 
+ 123   :  3f3d9 ; 
+ 124   :  3f3d4 ; 
+ 125   :  3f3d0 ; 
+ 126   :  3f3cb ; 
+ 127   :  3f3c6 ; 
+ 128   :  3f3c1 ; 
+ 129   :  3f3bd ; 
+ 12a   :  3f3b8 ; 
+ 12b   :  3f3b3 ; 
+ 12c   :  3f3ae ; 
+ 12d   :  3f3aa ; 
+ 12e   :  3f3a5 ; 
+ 12f   :  3f3a0 ; 
+ 130   :  3f39c ; 
+ 131   :  3f397 ; 
+ 132   :  3f393 ; 
+ 133   :  3f38e ; 
+ 134   :  3f38a ; 
+ 135   :  3f385 ; 
+ 136   :  3f381 ; 
+ 137   :  3f37c ; 
+ 138   :  3f378 ; 
+ 139   :  3f373 ; 
+ 13a   :  3f36f ; 
+ 13b   :  3f36a ; 
+ 13c   :  3f366 ; 
+ 13d   :  3f362 ; 
+ 13e   :  3f35d ; 
+ 13f   :  3f359 ; 
+ 140   :  3f355 ; 
+ 141   :  3f350 ; 
+ 142   :  3f34c ; 
+ 143   :  3f348 ; 
+ 144   :  3f344 ; 
+ 145   :  3f340 ; 
+ 146   :  3f33b ; 
+ 147   :  3f337 ; 
+ 148   :  3f333 ; 
+ 149   :  3f32f ; 
+ 14a   :  3f32b ; 
+ 14b   :  3f327 ; 
+ 14c   :  3f323 ; 
+ 14d   :  3f31f ; 
+ 14e   :  3f31b ; 
+ 14f   :  3f317 ; 
+ 150   :  3f313 ; 
+ 151   :  3f30f ; 
+ 152   :  3f30b ; 
+ 153   :  3f307 ; 
+ 154   :  3f303 ; 
+ 155   :  3f2ff ; 
+ 156   :  3f2fb ; 
+ 157   :  3f2f8 ; 
+ 158   :  3f2f4 ; 
+ 159   :  3f2f0 ; 
+ 15a   :  3f2ec ; 
+ 15b   :  3f2e9 ; 
+ 15c   :  3f2e5 ; 
+ 15d   :  3f2e1 ; 
+ 15e   :  3f2de ; 
+ 15f   :  3f2da ; 
+ 160   :  3f2d6 ; 
+ 161   :  3f2d3 ; 
+ 162   :  3f2cf ; 
+ 163   :  3f2cc ; 
+ 164   :  3f2c8 ; 
+ 165   :  3f2c5 ; 
+ 166   :  3f2c1 ; 
+ 167   :  3f2be ; 
+ 168   :  3f2ba ; 
+ 169   :  3f2b7 ; 
+ 16a   :  3f2b3 ; 
+ 16b   :  3f2b0 ; 
+ 16c   :  3f2ad ; 
+ 16d   :  3f2a9 ; 
+ 16e   :  3f2a6 ; 
+ 16f   :  3f2a3 ; 
+ 170   :  3f29f ; 
+ 171   :  3f29c ; 
+ 172   :  3f299 ; 
+ 173   :  3f296 ; 
+ 174   :  3f293 ; 
+ 175   :  3f290 ; 
+ 176   :  3f28c ; 
+ 177   :  3f289 ; 
+ 178   :  3f286 ; 
+ 179   :  3f283 ; 
+ 17a   :  3f280 ; 
+ 17b   :  3f27d ; 
+ 17c   :  3f27a ; 
+ 17d   :  3f277 ; 
+ 17e   :  3f274 ; 
+ 17f   :  3f271 ; 
+ 180   :  3f26e ; 
+ 181   :  3f26c ; 
+ 182   :  3f269 ; 
+ 183   :  3f266 ; 
+ 184   :  3f263 ; 
+ 185   :  3f260 ; 
+ 186   :  3f25e ; 
+ 187   :  3f25b ; 
+ 188   :  3f258 ; 
+ 189   :  3f256 ; 
+ 18a   :  3f253 ; 
+ 18b   :  3f250 ; 
+ 18c   :  3f24e ; 
+ 18d   :  3f24b ; 
+ 18e   :  3f249 ; 
+ 18f   :  3f246 ; 
+ 190   :  3f244 ; 
+ 191   :  3f241 ; 
+ 192   :  3f23f ; 
+ 193   :  3f23c ; 
+ 194   :  3f23a ; 
+ 195   :  3f238 ; 
+ 196   :  3f235 ; 
+ 197   :  3f233 ; 
+ 198   :  3f231 ; 
+ 199   :  3f22e ; 
+ 19a   :  3f22c ; 
+ 19b   :  3f22a ; 
+ 19c   :  3f228 ; 
+ 19d   :  3f225 ; 
+ 19e   :  3f223 ; 
+ 19f   :  3f221 ; 
+ 1a0   :  3f21f ; 
+ 1a1   :  3f21d ; 
+ 1a2   :  3f21b ; 
+ 1a3   :  3f219 ; 
+ 1a4   :  3f217 ; 
+ 1a5   :  3f215 ; 
+ 1a6   :  3f213 ; 
+ 1a7   :  3f211 ; 
+ 1a8   :  3f20f ; 
+ 1a9   :  3f20d ; 
+ 1aa   :  3f20c ; 
+ 1ab   :  3f20a ; 
+ 1ac   :  3f208 ; 
+ 1ad   :  3f206 ; 
+ 1ae   :  3f204 ; 
+ 1af   :  3f203 ; 
+ 1b0   :  3f201 ; 
+ 1b1   :  3f1ff ; 
+ 1b2   :  3f1fe ; 
+ 1b3   :  3f1fc ; 
+ 1b4   :  3f1fb ; 
+ 1b5   :  3f1f9 ; 
+ 1b6   :  3f1f7 ; 
+ 1b7   :  3f1f6 ; 
+ 1b8   :  3f1f4 ; 
+ 1b9   :  3f1f3 ; 
+ 1ba   :  3f1f2 ; 
+ 1bb   :  3f1f0 ; 
+ 1bc   :  3f1ef ; 
+ 1bd   :  3f1ed ; 
+ 1be   :  3f1ec ; 
+ 1bf   :  3f1eb ; 
+ 1c0   :  3f1ea ; 
+ 1c1   :  3f1e8 ; 
+ 1c2   :  3f1e7 ; 
+ 1c3   :  3f1e6 ; 
+ 1c4   :  3f1e5 ; 
+ 1c5   :  3f1e4 ; 
+ 1c6   :  3f1e3 ; 
+ 1c7   :  3f1e1 ; 
+ 1c8   :  3f1e0 ; 
+ 1c9   :  3f1df ; 
+ 1ca   :  3f1de ; 
+ 1cb   :  3f1dd ; 
+ 1cc   :  3f1dd ; 
+ 1cd   :  3f1dc ; 
+ 1ce   :  3f1db ; 
+ 1cf   :  3f1da ; 
+ 1d0   :  3f1d9 ; 
+ 1d1   :  3f1d8 ; 
+ 1d2   :  3f1d7 ; 
+ 1d3   :  3f1d7 ; 
+ 1d4   :  3f1d6 ; 
+ 1d5   :  3f1d5 ; 
+ 1d6   :  3f1d5 ; 
+ 1d7   :  3f1d4 ; 
+ 1d8   :  3f1d3 ; 
+ 1d9   :  3f1d3 ; 
+ 1da   :  3f1d2 ; 
+ 1db   :  3f1d2 ; 
+ 1dc   :  3f1d1 ; 
+ 1dd   :  3f1d1 ; 
+ 1de   :  3f1d0 ; 
+ 1df   :  3f1d0 ; 
+ 1e0   :  3f1cf ; 
+ 1e1   :  3f1cf ; 
+ 1e2   :  3f1cf ; 
+ 1e3   :  3f1ce ; 
+ 1e4   :  3f1ce ; 
+ 1e5   :  3f1ce ; 
+ 1e6   :  3f1ce ; 
+ 1e7   :  3f1cd ; 
+ 1e8   :  3f1cd ; 
+ 1e9   :  3f1cd ; 
+ 1ea   :  3f1cd ; 
+ 1eb   :  3f1cd ; 
+ 1ec   :  3f1cd ; 
+ 1ed   :  3f1cd ; 
+ 1ee   :  3f1cd ; 
+ 1ef   :  3f1cd ; 
+ 1f0   :  3f1cd ; 
+ 1f1   :  3f1cd ; 
+ 1f2   :  3f1cd ; 
+ 1f3   :  3f1cd ; 
+ 1f4   :  3f1cd ; 
+ 1f5   :  3f1ce ; 
+ 1f6   :  3f1ce ; 
+ 1f7   :  3f1ce ; 
+ 1f8   :  3f1ce ; 
+ 1f9   :  3f1cf ; 
+ 1fa   :  3f1cf ; 
+ 1fb   :  3f1cf ; 
+ 1fc   :  3f1d0 ; 
+ 1fd   :  3f1d0 ; 
+ 1fe   :  3f1d1 ; 
+ 1ff   :  3f1d1 ; 
+ 200   :  3f1d2 ; 
+ 201   :  3f1d2 ; 
+ 202   :  3f1d3 ; 
+ 203   :  3f1d3 ; 
+ 204   :  3f1d4 ; 
+ 205   :  3f1d5 ; 
+ 206   :  3f1d5 ; 
+ 207   :  3f1d6 ; 
+ 208   :  3f1d7 ; 
+ 209   :  3f1d8 ; 
+ 20a   :  3f1d8 ; 
+ 20b   :  3f1d9 ; 
+ 20c   :  3f1da ; 
+ 20d   :  3f1db ; 
+ 20e   :  3f1dc ; 
+ 20f   :  3f1dd ; 
+ 210   :  3f1de ; 
+ 211   :  3f1df ; 
+ 212   :  3f1e0 ; 
+ 213   :  3f1e1 ; 
+ 214   :  3f1e2 ; 
+ 215   :  3f1e3 ; 
+ 216   :  3f1e4 ; 
+ 217   :  3f1e6 ; 
+ 218   :  3f1e7 ; 
+ 219   :  3f1e8 ; 
+ 21a   :  3f1e9 ; 
+ 21b   :  3f1eb ; 
+ 21c   :  3f1ec ; 
+ 21d   :  3f1ed ; 
+ 21e   :  3f1ef ; 
+ 21f   :  3f1f0 ; 
+ 220   :  3f1f2 ; 
+ 221   :  3f1f3 ; 
+ 222   :  3f1f5 ; 
+ 223   :  3f1f6 ; 
+ 224   :  3f1f8 ; 
+ 225   :  3f1f9 ; 
+ 226   :  3f1fb ; 
+ 227   :  3f1fd ; 
+ 228   :  3f1fe ; 
+ 229   :  3f200 ; 
+ 22a   :  3f202 ; 
+ 22b   :  3f204 ; 
+ 22c   :  3f205 ; 
+ 22d   :  3f207 ; 
+ 22e   :  3f209 ; 
+ 22f   :  3f20b ; 
+ 230   :  3f20d ; 
+ 231   :  3f20f ; 
+ 232   :  3f211 ; 
+ 233   :  3f213 ; 
+ 234   :  3f215 ; 
+ 235   :  3f217 ; 
+ 236   :  3f219 ; 
+ 237   :  3f21b ; 
+ 238   :  3f21d ; 
+ 239   :  3f220 ; 
+ 23a   :  3f222 ; 
+ 23b   :  3f224 ; 
+ 23c   :  3f226 ; 
+ 23d   :  3f229 ; 
+ 23e   :  3f22b ; 
+ 23f   :  3f22d ; 
+ 240   :  3f230 ; 
+ 241   :  3f232 ; 
+ 242   :  3f235 ; 
+ 243   :  3f237 ; 
+ 244   :  3f23a ; 
+ 245   :  3f23c ; 
+ 246   :  3f23f ; 
+ 247   :  3f241 ; 
+ 248   :  3f244 ; 
+ 249   :  3f247 ; 
+ 24a   :  3f24a ; 
+ 24b   :  3f24c ; 
+ 24c   :  3f24f ; 
+ 24d   :  3f252 ; 
+ 24e   :  3f255 ; 
+ 24f   :  3f258 ; 
+ 250   :  3f25a ; 
+ 251   :  3f25d ; 
+ 252   :  3f260 ; 
+ 253   :  3f263 ; 
+ 254   :  3f266 ; 
+ 255   :  3f269 ; 
+ 256   :  3f26c ; 
+ 257   :  3f26f ; 
+ 258   :  3f273 ; 
+ 259   :  3f276 ; 
+ 25a   :  3f279 ; 
+ 25b   :  3f27c ; 
+ 25c   :  3f27f ; 
+ 25d   :  3f283 ; 
+ 25e   :  3f286 ; 
+ 25f   :  3f289 ; 
+ 260   :  3f28d ; 
+ 261   :  3f290 ; 
+ 262   :  3f294 ; 
+ 263   :  3f297 ; 
+ 264   :  3f29b ; 
+ 265   :  3f29e ; 
+ 266   :  3f2a2 ; 
+ 267   :  3f2a5 ; 
+ 268   :  3f2a9 ; 
+ 269   :  3f2ad ; 
+ 26a   :  3f2b0 ; 
+ 26b   :  3f2b4 ; 
+ 26c   :  3f2b8 ; 
+ 26d   :  3f2bb ; 
+ 26e   :  3f2bf ; 
+ 26f   :  3f2c3 ; 
+ 270   :  3f2c7 ; 
+ 271   :  3f2cb ; 
+ 272   :  3f2cf ; 
+ 273   :  3f2d3 ; 
+ 274   :  3f2d7 ; 
+ 275   :  3f2db ; 
+ 276   :  3f2df ; 
+ 277   :  3f2e3 ; 
+ 278   :  3f2e7 ; 
+ 279   :  3f2eb ; 
+ 27a   :  3f2ef ; 
+ 27b   :  3f2f3 ; 
+ 27c   :  3f2f8 ; 
+ 27d   :  3f2fc ; 
+ 27e   :  3f300 ; 
+ 27f   :  3f305 ; 
+ 280   :  3f309 ; 
+ 281   :  3f30d ; 
+ 282   :  3f312 ; 
+ 283   :  3f316 ; 
+ 284   :  3f31b ; 
+ 285   :  3f31f ; 
+ 286   :  3f324 ; 
+ 287   :  3f328 ; 
+ 288   :  3f32d ; 
+ 289   :  3f331 ; 
+ 28a   :  3f336 ; 
+ 28b   :  3f33b ; 
+ 28c   :  3f33f ; 
+ 28d   :  3f344 ; 
+ 28e   :  3f349 ; 
+ 28f   :  3f34e ; 
+ 290   :  3f353 ; 
+ 291   :  3f357 ; 
+ 292   :  3f35c ; 
+ 293   :  3f361 ; 
+ 294   :  3f366 ; 
+ 295   :  3f36b ; 
+ 296   :  3f370 ; 
+ 297   :  3f375 ; 
+ 298   :  3f37a ; 
+ 299   :  3f380 ; 
+ 29a   :  3f385 ; 
+ 29b   :  3f38a ; 
+ 29c   :  3f38f ; 
+ 29d   :  3f394 ; 
+ 29e   :  3f39a ; 
+ 29f   :  3f39f ; 
+ 2a0   :  3f3a4 ; 
+ 2a1   :  3f3a9 ; 
+ 2a2   :  3f3af ; 
+ 2a3   :  3f3b4 ; 
+ 2a4   :  3f3ba ; 
+ 2a5   :  3f3bf ; 
+ 2a6   :  3f3c5 ; 
+ 2a7   :  3f3ca ; 
+ 2a8   :  3f3d0 ; 
+ 2a9   :  3f3d5 ; 
+ 2aa   :  3f3db ; 
+ 2ab   :  3f3e1 ; 
+ 2ac   :  3f3e6 ; 
+ 2ad   :  3f3ec ; 
+ 2ae   :  3f3f2 ; 
+ 2af   :  3f3f8 ; 
+ 2b0   :  3f3fd ; 
+ 2b1   :  3f403 ; 
+ 2b2   :  3f409 ; 
+ 2b3   :  3f40f ; 
+ 2b4   :  3f415 ; 
+ 2b5   :  3f41b ; 
+ 2b6   :  3f421 ; 
+ 2b7   :  3f427 ; 
+ 2b8   :  3f42d ; 
+ 2b9   :  3f433 ; 
+ 2ba   :  3f439 ; 
+ 2bb   :  3f43f ; 
+ 2bc   :  3f445 ; 
+ 2bd   :  3f44b ; 
+ 2be   :  3f452 ; 
+ 2bf   :  3f458 ; 
+ 2c0   :  3f45e ; 
+ 2c1   :  3f465 ; 
+ 2c2   :  3f46b ; 
+ 2c3   :  3f471 ; 
+ 2c4   :  3f478 ; 
+ 2c5   :  3f47e ; 
+ 2c6   :  3f485 ; 
+ 2c7   :  3f48b ; 
+ 2c8   :  3f492 ; 
+ 2c9   :  3f498 ; 
+ 2ca   :  3f49f ; 
+ 2cb   :  3f4a5 ; 
+ 2cc   :  3f4ac ; 
+ 2cd   :  3f4b3 ; 
+ 2ce   :  3f4b9 ; 
+ 2cf   :  3f4c0 ; 
+ 2d0   :  3f4c7 ; 
+ 2d1   :  3f4cd ; 
+ 2d2   :  3f4d4 ; 
+ 2d3   :  3f4db ; 
+ 2d4   :  3f4e2 ; 
+ 2d5   :  3f4e9 ; 
+ 2d6   :  3f4f0 ; 
+ 2d7   :  3f4f7 ; 
+ 2d8   :  3f4fe ; 
+ 2d9   :  3f505 ; 
+ 2da   :  3f50c ; 
+ 2db   :  3f513 ; 
+ 2dc   :  3f51a ; 
+ 2dd   :  3f521 ; 
+ 2de   :  3f528 ; 
+ 2df   :  3f52f ; 
+ 2e0   :  3f537 ; 
+ 2e1   :  3f53e ; 
+ 2e2   :  3f545 ; 
+ 2e3   :  3f54c ; 
+ 2e4   :  3f554 ; 
+ 2e5   :  3f55b ; 
+ 2e6   :  3f563 ; 
+ 2e7   :  3f56a ; 
+ 2e8   :  3f571 ; 
+ 2e9   :  3f579 ; 
+ 2ea   :  3f580 ; 
+ 2eb   :  3f588 ; 
+ 2ec   :  3f58f ; 
+ 2ed   :  3f597 ; 
+ 2ee   :  3f59f ; 
+ 2ef   :  3f5a6 ; 
+ 2f0   :  3f5ae ; 
+ 2f1   :  3f5b6 ; 
+ 2f2   :  3f5bd ; 
+ 2f3   :  3f5c5 ; 
+ 2f4   :  3f5cd ; 
+ 2f5   :  3f5d5 ; 
+ 2f6   :  3f5dd ; 
+ 2f7   :  3f5e4 ; 
+ 2f8   :  3f5ec ; 
+ 2f9   :  3f5f4 ; 
+ 2fa   :  3f5fc ; 
+ 2fb   :  3f604 ; 
+ 2fc   :  3f60c ; 
+ 2fd   :  3f614 ; 
+ 2fe   :  3f61c ; 
+ 2ff   :  3f624 ; 
+ 300   :  3f62d ; 
+ 301   :  3f635 ; 
+ 302   :  3f63d ; 
+ 303   :  3f645 ; 
+ 304   :  3f64d ; 
+ 305   :  3f656 ; 
+ 306   :  3f65e ; 
+ 307   :  3f666 ; 
+ 308   :  3f66e ; 
+ 309   :  3f677 ; 
+ 30a   :  3f67f ; 
+ 30b   :  3f688 ; 
+ 30c   :  3f690 ; 
+ 30d   :  3f699 ; 
+ 30e   :  3f6a1 ; 
+ 30f   :  3f6aa ; 
+ 310   :  3f6b2 ; 
+ 311   :  3f6bb ; 
+ 312   :  3f6c3 ; 
+ 313   :  3f6cc ; 
+ 314   :  3f6d5 ; 
+ 315   :  3f6dd ; 
+ 316   :  3f6e6 ; 
+ 317   :  3f6ef ; 
+ 318   :  3f6f8 ; 
+ 319   :  3f700 ; 
+ 31a   :  3f709 ; 
+ 31b   :  3f712 ; 
+ 31c   :  3f71b ; 
+ 31d   :  3f724 ; 
+ 31e   :  3f72d ; 
+ 31f   :  3f736 ; 
+ 320   :  3f73f ; 
+ 321   :  3f748 ; 
+ 322   :  3f751 ; 
+ 323   :  3f75a ; 
+ 324   :  3f763 ; 
+ 325   :  3f76c ; 
+ 326   :  3f775 ; 
+ 327   :  3f77e ; 
+ 328   :  3f788 ; 
+ 329   :  3f791 ; 
+ 32a   :  3f79a ; 
+ 32b   :  3f7a3 ; 
+ 32c   :  3f7ad ; 
+ 32d   :  3f7b6 ; 
+ 32e   :  3f7bf ; 
+ 32f   :  3f7c9 ; 
+ 330   :  3f7d2 ; 
+ 331   :  3f7db ; 
+ 332   :  3f7e5 ; 
+ 333   :  3f7ee ; 
+ 334   :  3f7f8 ; 
+ 335   :  3f801 ; 
+ 336   :  3f80b ; 
+ 337   :  3f814 ; 
+ 338   :  3f81e ; 
+ 339   :  3f828 ; 
+ 33a   :  3f831 ; 
+ 33b   :  3f83b ; 
+ 33c   :  3f845 ; 
+ 33d   :  3f84e ; 
+ 33e   :  3f858 ; 
+ 33f   :  3f862 ; 
+ 340   :  3f86c ; 
+ 341   :  3f876 ; 
+ 342   :  3f87f ; 
+ 343   :  3f889 ; 
+ 344   :  3f893 ; 
+ 345   :  3f89d ; 
+ 346   :  3f8a7 ; 
+ 347   :  3f8b1 ; 
+ 348   :  3f8bb ; 
+ 349   :  3f8c5 ; 
+ 34a   :  3f8cf ; 
+ 34b   :  3f8d9 ; 
+ 34c   :  3f8e3 ; 
+ 34d   :  3f8ed ; 
+ 34e   :  3f8f7 ; 
+ 34f   :  3f902 ; 
+ 350   :  3f90c ; 
+ 351   :  3f916 ; 
+ 352   :  3f920 ; 
+ 353   :  3f92b ; 
+ 354   :  3f935 ; 
+ 355   :  3f93f ; 
+ 356   :  3f949 ; 
+ 357   :  3f954 ; 
+ 358   :  3f95e ; 
+ 359   :  3f969 ; 
+ 35a   :  3f973 ; 
+ 35b   :  3f97d ; 
+ 35c   :  3f988 ; 
+ 35d   :  3f992 ; 
+ 35e   :  3f99d ; 
+ 35f   :  3f9a7 ; 
+ 360   :  3f9b2 ; 
+ 361   :  3f9bd ; 
+ 362   :  3f9c7 ; 
+ 363   :  3f9d2 ; 
+ 364   :  3f9dc ; 
+ 365   :  3f9e7 ; 
+ 366   :  3f9f2 ; 
+ 367   :  3f9fc ; 
+ 368   :  3fa07 ; 
+ 369   :  3fa12 ; 
+ 36a   :  3fa1d ; 
+ 36b   :  3fa28 ; 
+ 36c   :  3fa32 ; 
+ 36d   :  3fa3d ; 
+ 36e   :  3fa48 ; 
+ 36f   :  3fa53 ; 
+ 370   :  3fa5e ; 
+ 371   :  3fa69 ; 
+ 372   :  3fa74 ; 
+ 373   :  3fa7f ; 
+ 374   :  3fa8a ; 
+ 375   :  3fa95 ; 
+ 376   :  3faa0 ; 
+ 377   :  3faab ; 
+ 378   :  3fab6 ; 
+ 379   :  3fac1 ; 
+ 37a   :  3facc ; 
+ 37b   :  3fad7 ; 
+ 37c   :  3fae2 ; 
+ 37d   :  3faee ; 
+ 37e   :  3faf9 ; 
+ 37f   :  3fb04 ; 
+ 380   :  3fb0f ; 
+ 381   :  3fb1a ; 
+ 382   :  3fb26 ; 
+ 383   :  3fb31 ; 
+ 384   :  3fb3c ; 
+ 385   :  3fb48 ; 
+ 386   :  3fb53 ; 
+ 387   :  3fb5e ; 
+ 388   :  3fb6a ; 
+ 389   :  3fb75 ; 
+ 38a   :  3fb81 ; 
+ 38b   :  3fb8c ; 
+ 38c   :  3fb98 ; 
+ 38d   :  3fba3 ; 
+ 38e   :  3fbaf ; 
+ 38f   :  3fbba ; 
+ 390   :  3fbc6 ; 
+ 391   :  3fbd1 ; 
+ 392   :  3fbdd ; 
+ 393   :  3fbe9 ; 
+ 394   :  3fbf4 ; 
+ 395   :  3fc00 ; 
+ 396   :  3fc0c ; 
+ 397   :  3fc17 ; 
+ 398   :  3fc23 ; 
+ 399   :  3fc2f ; 
+ 39a   :  3fc3a ; 
+ 39b   :  3fc46 ; 
+ 39c   :  3fc52 ; 
+ 39d   :  3fc5e ; 
+ 39e   :  3fc6a ; 
+ 39f   :  3fc75 ; 
+ 3a0   :  3fc81 ; 
+ 3a1   :  3fc8d ; 
+ 3a2   :  3fc99 ; 
+ 3a3   :  3fca5 ; 
+ 3a4   :  3fcb1 ; 
+ 3a5   :  3fcbd ; 
+ 3a6   :  3fcc9 ; 
+ 3a7   :  3fcd5 ; 
+ 3a8   :  3fce1 ; 
+ 3a9   :  3fced ; 
+ 3aa   :  3fcf9 ; 
+ 3ab   :  3fd05 ; 
+ 3ac   :  3fd11 ; 
+ 3ad   :  3fd1d ; 
+ 3ae   :  3fd29 ; 
+ 3af   :  3fd35 ; 
+ 3b0   :  3fd41 ; 
+ 3b1   :  3fd4d ; 
+ 3b2   :  3fd5a ; 
+ 3b3   :  3fd66 ; 
+ 3b4   :  3fd72 ; 
+ 3b5   :  3fd7e ; 
+ 3b6   :  3fd8a ; 
+ 3b7   :  3fd97 ; 
+ 3b8   :  3fda3 ; 
+ 3b9   :  3fdaf ; 
+ 3ba   :  3fdbb ; 
+ 3bb   :  3fdc8 ; 
+ 3bc   :  3fdd4 ; 
+ 3bd   :  3fde0 ; 
+ 3be   :  3fded ; 
+ 3bf   :  3fdf9 ; 
+ 3c0   :  3fe05 ; 
+ 3c1   :  3fe12 ; 
+ 3c2   :  3fe1e ; 
+ 3c3   :  3fe2b ; 
+ 3c4   :  3fe37 ; 
+ 3c5   :  3fe44 ; 
+ 3c6   :  3fe50 ; 
+ 3c7   :  3fe5c ; 
+ 3c8   :  3fe69 ; 
+ 3c9   :  3fe75 ; 
+ 3ca   :  3fe82 ; 
+ 3cb   :  3fe8f ; 
+ 3cc   :  3fe9b ; 
+ 3cd   :  3fea8 ; 
+ 3ce   :  3feb4 ; 
+ 3cf   :  3fec1 ; 
+ 3d0   :  3fecd ; 
+ 3d1   :  3feda ; 
+ 3d2   :  3fee7 ; 
+ 3d3   :  3fef3 ; 
+ 3d4   :  3ff00 ; 
+ 3d5   :  3ff0d ; 
+ 3d6   :  3ff19 ; 
+ 3d7   :  3ff26 ; 
+ 3d8   :  3ff33 ; 
+ 3d9   :  3ff3f ; 
+ 3da   :  3ff4c ; 
+ 3db   :  3ff59 ; 
+ 3dc   :  3ff66 ; 
+ 3dd   :  3ff73 ; 
+ 3de   :  3ff7f ; 
+ 3df   :  3ff8c ; 
+ 3e0   :  3ff99 ; 
+ 3e1   :  3ffa6 ; 
+ 3e2   :  3ffb3 ; 
+ 3e3   :  3ffbf ; 
+ 3e4   :  3ffcc ; 
+ 3e5   :  3ffd9 ; 
+ 3e6   :  3ffe6 ; 
+ 3e7   :  3fff3 ; 
+ 3e8   :  0 ; 
+ 3e9   :  d ; 
+ 3ea   :  1a ; 
+ 3eb   :  27 ; 
+ 3ec   :  34 ; 
+ 3ed   :  40 ; 
+ 3ee   :  4d ; 
+ 3ef   :  5a ; 
+ 3f0   :  67 ; 
+ 3f1   :  74 ; 
+ 3f2   :  81 ; 
+ 3f3   :  8e ; 
+ 3f4   :  9b ; 
+ 3f5   :  a9 ; 
+ 3f6   :  b6 ; 
+ 3f7   :  c3 ; 
+ 3f8   :  d0 ; 
+ 3f9   :  dd ; 
+ 3fa   :  ea ; 
+ 3fb   :  f7 ; 
+ 3fc   :  104 ; 
+ 3fd   :  111 ; 
+ 3fe   :  11e ; 
+ 3ff   :  12b ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_14.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_14.mif
new file mode 100644
index 0000000000000000000000000000000000000000..d9ab539c05596132395faca5fa2941a7d7480651
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_14.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  69c ; 
+ 1   :  69e ; 
+ 2   :  6a0 ; 
+ 3   :  6a2 ; 
+ 4   :  6a5 ; 
+ 5   :  6a7 ; 
+ 6   :  6a9 ; 
+ 7   :  6ab ; 
+ 8   :  6ad ; 
+ 9   :  6af ; 
+ a   :  6b2 ; 
+ b   :  6b4 ; 
+ c   :  6b6 ; 
+ d   :  6b8 ; 
+ e   :  6ba ; 
+ f   :  6bc ; 
+ 10   :  6be ; 
+ 11   :  6c1 ; 
+ 12   :  6c3 ; 
+ 13   :  6c5 ; 
+ 14   :  6c7 ; 
+ 15   :  6c9 ; 
+ 16   :  6cb ; 
+ 17   :  6cd ; 
+ 18   :  6cf ; 
+ 19   :  6d1 ; 
+ 1a   :  6d3 ; 
+ 1b   :  6d6 ; 
+ 1c   :  6d8 ; 
+ 1d   :  6da ; 
+ 1e   :  6dc ; 
+ 1f   :  6de ; 
+ 20   :  6e0 ; 
+ 21   :  6e2 ; 
+ 22   :  6e4 ; 
+ 23   :  6e6 ; 
+ 24   :  6e8 ; 
+ 25   :  6ea ; 
+ 26   :  6ec ; 
+ 27   :  6ee ; 
+ 28   :  6f0 ; 
+ 29   :  6f2 ; 
+ 2a   :  6f4 ; 
+ 2b   :  6f6 ; 
+ 2c   :  6f8 ; 
+ 2d   :  6fa ; 
+ 2e   :  6fc ; 
+ 2f   :  6fe ; 
+ 30   :  700 ; 
+ 31   :  702 ; 
+ 32   :  704 ; 
+ 33   :  706 ; 
+ 34   :  708 ; 
+ 35   :  70a ; 
+ 36   :  70c ; 
+ 37   :  70e ; 
+ 38   :  710 ; 
+ 39   :  712 ; 
+ 3a   :  714 ; 
+ 3b   :  716 ; 
+ 3c   :  718 ; 
+ 3d   :  71a ; 
+ 3e   :  71b ; 
+ 3f   :  71d ; 
+ 40   :  71f ; 
+ 41   :  721 ; 
+ 42   :  723 ; 
+ 43   :  725 ; 
+ 44   :  727 ; 
+ 45   :  729 ; 
+ 46   :  72b ; 
+ 47   :  72c ; 
+ 48   :  72e ; 
+ 49   :  730 ; 
+ 4a   :  732 ; 
+ 4b   :  734 ; 
+ 4c   :  736 ; 
+ 4d   :  737 ; 
+ 4e   :  739 ; 
+ 4f   :  73b ; 
+ 50   :  73d ; 
+ 51   :  73f ; 
+ 52   :  741 ; 
+ 53   :  742 ; 
+ 54   :  744 ; 
+ 55   :  746 ; 
+ 56   :  748 ; 
+ 57   :  749 ; 
+ 58   :  74b ; 
+ 59   :  74d ; 
+ 5a   :  74f ; 
+ 5b   :  751 ; 
+ 5c   :  752 ; 
+ 5d   :  754 ; 
+ 5e   :  756 ; 
+ 5f   :  757 ; 
+ 60   :  759 ; 
+ 61   :  75b ; 
+ 62   :  75d ; 
+ 63   :  75e ; 
+ 64   :  760 ; 
+ 65   :  762 ; 
+ 66   :  763 ; 
+ 67   :  765 ; 
+ 68   :  767 ; 
+ 69   :  768 ; 
+ 6a   :  76a ; 
+ 6b   :  76c ; 
+ 6c   :  76d ; 
+ 6d   :  76f ; 
+ 6e   :  771 ; 
+ 6f   :  772 ; 
+ 70   :  774 ; 
+ 71   :  776 ; 
+ 72   :  777 ; 
+ 73   :  779 ; 
+ 74   :  77a ; 
+ 75   :  77c ; 
+ 76   :  77d ; 
+ 77   :  77f ; 
+ 78   :  781 ; 
+ 79   :  782 ; 
+ 7a   :  784 ; 
+ 7b   :  785 ; 
+ 7c   :  787 ; 
+ 7d   :  788 ; 
+ 7e   :  78a ; 
+ 7f   :  78b ; 
+ 80   :  78d ; 
+ 81   :  78e ; 
+ 82   :  790 ; 
+ 83   :  791 ; 
+ 84   :  793 ; 
+ 85   :  794 ; 
+ 86   :  796 ; 
+ 87   :  797 ; 
+ 88   :  799 ; 
+ 89   :  79a ; 
+ 8a   :  79c ; 
+ 8b   :  79d ; 
+ 8c   :  79f ; 
+ 8d   :  7a0 ; 
+ 8e   :  7a1 ; 
+ 8f   :  7a3 ; 
+ 90   :  7a4 ; 
+ 91   :  7a6 ; 
+ 92   :  7a7 ; 
+ 93   :  7a8 ; 
+ 94   :  7aa ; 
+ 95   :  7ab ; 
+ 96   :  7ac ; 
+ 97   :  7ae ; 
+ 98   :  7af ; 
+ 99   :  7b0 ; 
+ 9a   :  7b2 ; 
+ 9b   :  7b3 ; 
+ 9c   :  7b4 ; 
+ 9d   :  7b6 ; 
+ 9e   :  7b7 ; 
+ 9f   :  7b8 ; 
+ a0   :  7ba ; 
+ a1   :  7bb ; 
+ a2   :  7bc ; 
+ a3   :  7bd ; 
+ a4   :  7bf ; 
+ a5   :  7c0 ; 
+ a6   :  7c1 ; 
+ a7   :  7c2 ; 
+ a8   :  7c4 ; 
+ a9   :  7c5 ; 
+ aa   :  7c6 ; 
+ ab   :  7c7 ; 
+ ac   :  7c8 ; 
+ ad   :  7ca ; 
+ ae   :  7cb ; 
+ af   :  7cc ; 
+ b0   :  7cd ; 
+ b1   :  7ce ; 
+ b2   :  7cf ; 
+ b3   :  7d0 ; 
+ b4   :  7d2 ; 
+ b5   :  7d3 ; 
+ b6   :  7d4 ; 
+ b7   :  7d5 ; 
+ b8   :  7d6 ; 
+ b9   :  7d7 ; 
+ ba   :  7d8 ; 
+ bb   :  7d9 ; 
+ bc   :  7da ; 
+ bd   :  7db ; 
+ be   :  7dc ; 
+ bf   :  7dd ; 
+ c0   :  7de ; 
+ c1   :  7df ; 
+ c2   :  7e0 ; 
+ c3   :  7e1 ; 
+ c4   :  7e2 ; 
+ c5   :  7e3 ; 
+ c6   :  7e4 ; 
+ c7   :  7e5 ; 
+ c8   :  7e6 ; 
+ c9   :  7e7 ; 
+ ca   :  7e8 ; 
+ cb   :  7e9 ; 
+ cc   :  7ea ; 
+ cd   :  7eb ; 
+ ce   :  7ec ; 
+ cf   :  7ed ; 
+ d0   :  7ee ; 
+ d1   :  7ee ; 
+ d2   :  7ef ; 
+ d3   :  7f0 ; 
+ d4   :  7f1 ; 
+ d5   :  7f2 ; 
+ d6   :  7f3 ; 
+ d7   :  7f4 ; 
+ d8   :  7f4 ; 
+ d9   :  7f5 ; 
+ da   :  7f6 ; 
+ db   :  7f7 ; 
+ dc   :  7f8 ; 
+ dd   :  7f8 ; 
+ de   :  7f9 ; 
+ df   :  7fa ; 
+ e0   :  7fb ; 
+ e1   :  7fb ; 
+ e2   :  7fc ; 
+ e3   :  7fd ; 
+ e4   :  7fd ; 
+ e5   :  7fe ; 
+ e6   :  7ff ; 
+ e7   :  7ff ; 
+ e8   :  800 ; 
+ e9   :  801 ; 
+ ea   :  801 ; 
+ eb   :  802 ; 
+ ec   :  803 ; 
+ ed   :  803 ; 
+ ee   :  804 ; 
+ ef   :  804 ; 
+ f0   :  805 ; 
+ f1   :  806 ; 
+ f2   :  806 ; 
+ f3   :  807 ; 
+ f4   :  807 ; 
+ f5   :  808 ; 
+ f6   :  808 ; 
+ f7   :  809 ; 
+ f8   :  809 ; 
+ f9   :  80a ; 
+ fa   :  80a ; 
+ fb   :  80b ; 
+ fc   :  80b ; 
+ fd   :  80c ; 
+ fe   :  80c ; 
+ ff   :  80d ; 
+ 100   :  80d ; 
+ 101   :  80e ; 
+ 102   :  80e ; 
+ 103   :  80e ; 
+ 104   :  80f ; 
+ 105   :  80f ; 
+ 106   :  810 ; 
+ 107   :  810 ; 
+ 108   :  810 ; 
+ 109   :  811 ; 
+ 10a   :  811 ; 
+ 10b   :  811 ; 
+ 10c   :  812 ; 
+ 10d   :  812 ; 
+ 10e   :  812 ; 
+ 10f   :  812 ; 
+ 110   :  813 ; 
+ 111   :  813 ; 
+ 112   :  813 ; 
+ 113   :  813 ; 
+ 114   :  814 ; 
+ 115   :  814 ; 
+ 116   :  814 ; 
+ 117   :  814 ; 
+ 118   :  814 ; 
+ 119   :  815 ; 
+ 11a   :  815 ; 
+ 11b   :  815 ; 
+ 11c   :  815 ; 
+ 11d   :  815 ; 
+ 11e   :  815 ; 
+ 11f   :  815 ; 
+ 120   :  816 ; 
+ 121   :  816 ; 
+ 122   :  816 ; 
+ 123   :  816 ; 
+ 124   :  816 ; 
+ 125   :  816 ; 
+ 126   :  816 ; 
+ 127   :  816 ; 
+ 128   :  816 ; 
+ 129   :  816 ; 
+ 12a   :  816 ; 
+ 12b   :  816 ; 
+ 12c   :  816 ; 
+ 12d   :  816 ; 
+ 12e   :  816 ; 
+ 12f   :  816 ; 
+ 130   :  816 ; 
+ 131   :  816 ; 
+ 132   :  815 ; 
+ 133   :  815 ; 
+ 134   :  815 ; 
+ 135   :  815 ; 
+ 136   :  815 ; 
+ 137   :  815 ; 
+ 138   :  815 ; 
+ 139   :  814 ; 
+ 13a   :  814 ; 
+ 13b   :  814 ; 
+ 13c   :  814 ; 
+ 13d   :  814 ; 
+ 13e   :  813 ; 
+ 13f   :  813 ; 
+ 140   :  813 ; 
+ 141   :  813 ; 
+ 142   :  812 ; 
+ 143   :  812 ; 
+ 144   :  812 ; 
+ 145   :  811 ; 
+ 146   :  811 ; 
+ 147   :  811 ; 
+ 148   :  810 ; 
+ 149   :  810 ; 
+ 14a   :  810 ; 
+ 14b   :  80f ; 
+ 14c   :  80f ; 
+ 14d   :  80f ; 
+ 14e   :  80e ; 
+ 14f   :  80e ; 
+ 150   :  80d ; 
+ 151   :  80d ; 
+ 152   :  80c ; 
+ 153   :  80c ; 
+ 154   :  80b ; 
+ 155   :  80b ; 
+ 156   :  80a ; 
+ 157   :  80a ; 
+ 158   :  809 ; 
+ 159   :  809 ; 
+ 15a   :  808 ; 
+ 15b   :  808 ; 
+ 15c   :  807 ; 
+ 15d   :  807 ; 
+ 15e   :  806 ; 
+ 15f   :  805 ; 
+ 160   :  805 ; 
+ 161   :  804 ; 
+ 162   :  803 ; 
+ 163   :  803 ; 
+ 164   :  802 ; 
+ 165   :  801 ; 
+ 166   :  801 ; 
+ 167   :  800 ; 
+ 168   :  7ff ; 
+ 169   :  7ff ; 
+ 16a   :  7fe ; 
+ 16b   :  7fd ; 
+ 16c   :  7fc ; 
+ 16d   :  7fb ; 
+ 16e   :  7fb ; 
+ 16f   :  7fa ; 
+ 170   :  7f9 ; 
+ 171   :  7f8 ; 
+ 172   :  7f7 ; 
+ 173   :  7f6 ; 
+ 174   :  7f6 ; 
+ 175   :  7f5 ; 
+ 176   :  7f4 ; 
+ 177   :  7f3 ; 
+ 178   :  7f2 ; 
+ 179   :  7f1 ; 
+ 17a   :  7f0 ; 
+ 17b   :  7ef ; 
+ 17c   :  7ee ; 
+ 17d   :  7ed ; 
+ 17e   :  7ec ; 
+ 17f   :  7eb ; 
+ 180   :  7ea ; 
+ 181   :  7e9 ; 
+ 182   :  7e8 ; 
+ 183   :  7e7 ; 
+ 184   :  7e6 ; 
+ 185   :  7e5 ; 
+ 186   :  7e4 ; 
+ 187   :  7e3 ; 
+ 188   :  7e2 ; 
+ 189   :  7e0 ; 
+ 18a   :  7df ; 
+ 18b   :  7de ; 
+ 18c   :  7dd ; 
+ 18d   :  7dc ; 
+ 18e   :  7db ; 
+ 18f   :  7d9 ; 
+ 190   :  7d8 ; 
+ 191   :  7d7 ; 
+ 192   :  7d6 ; 
+ 193   :  7d4 ; 
+ 194   :  7d3 ; 
+ 195   :  7d2 ; 
+ 196   :  7d1 ; 
+ 197   :  7cf ; 
+ 198   :  7ce ; 
+ 199   :  7cd ; 
+ 19a   :  7cb ; 
+ 19b   :  7ca ; 
+ 19c   :  7c9 ; 
+ 19d   :  7c7 ; 
+ 19e   :  7c6 ; 
+ 19f   :  7c4 ; 
+ 1a0   :  7c3 ; 
+ 1a1   :  7c1 ; 
+ 1a2   :  7c0 ; 
+ 1a3   :  7bf ; 
+ 1a4   :  7bd ; 
+ 1a5   :  7bc ; 
+ 1a6   :  7ba ; 
+ 1a7   :  7b9 ; 
+ 1a8   :  7b7 ; 
+ 1a9   :  7b5 ; 
+ 1aa   :  7b4 ; 
+ 1ab   :  7b2 ; 
+ 1ac   :  7b1 ; 
+ 1ad   :  7af ; 
+ 1ae   :  7ae ; 
+ 1af   :  7ac ; 
+ 1b0   :  7aa ; 
+ 1b1   :  7a9 ; 
+ 1b2   :  7a7 ; 
+ 1b3   :  7a5 ; 
+ 1b4   :  7a4 ; 
+ 1b5   :  7a2 ; 
+ 1b6   :  7a0 ; 
+ 1b7   :  79e ; 
+ 1b8   :  79d ; 
+ 1b9   :  79b ; 
+ 1ba   :  799 ; 
+ 1bb   :  797 ; 
+ 1bc   :  796 ; 
+ 1bd   :  794 ; 
+ 1be   :  792 ; 
+ 1bf   :  790 ; 
+ 1c0   :  78e ; 
+ 1c1   :  78c ; 
+ 1c2   :  78b ; 
+ 1c3   :  789 ; 
+ 1c4   :  787 ; 
+ 1c5   :  785 ; 
+ 1c6   :  783 ; 
+ 1c7   :  781 ; 
+ 1c8   :  77f ; 
+ 1c9   :  77d ; 
+ 1ca   :  77b ; 
+ 1cb   :  779 ; 
+ 1cc   :  777 ; 
+ 1cd   :  775 ; 
+ 1ce   :  773 ; 
+ 1cf   :  771 ; 
+ 1d0   :  76f ; 
+ 1d1   :  76d ; 
+ 1d2   :  76b ; 
+ 1d3   :  769 ; 
+ 1d4   :  766 ; 
+ 1d5   :  764 ; 
+ 1d6   :  762 ; 
+ 1d7   :  760 ; 
+ 1d8   :  75e ; 
+ 1d9   :  75c ; 
+ 1da   :  759 ; 
+ 1db   :  757 ; 
+ 1dc   :  755 ; 
+ 1dd   :  753 ; 
+ 1de   :  751 ; 
+ 1df   :  74e ; 
+ 1e0   :  74c ; 
+ 1e1   :  74a ; 
+ 1e2   :  747 ; 
+ 1e3   :  745 ; 
+ 1e4   :  743 ; 
+ 1e5   :  740 ; 
+ 1e6   :  73e ; 
+ 1e7   :  73c ; 
+ 1e8   :  739 ; 
+ 1e9   :  737 ; 
+ 1ea   :  734 ; 
+ 1eb   :  732 ; 
+ 1ec   :  730 ; 
+ 1ed   :  72d ; 
+ 1ee   :  72b ; 
+ 1ef   :  728 ; 
+ 1f0   :  726 ; 
+ 1f1   :  723 ; 
+ 1f2   :  721 ; 
+ 1f3   :  71e ; 
+ 1f4   :  71b ; 
+ 1f5   :  719 ; 
+ 1f6   :  716 ; 
+ 1f7   :  714 ; 
+ 1f8   :  711 ; 
+ 1f9   :  70e ; 
+ 1fa   :  70c ; 
+ 1fb   :  709 ; 
+ 1fc   :  706 ; 
+ 1fd   :  704 ; 
+ 1fe   :  701 ; 
+ 1ff   :  6fe ; 
+ 200   :  6fc ; 
+ 201   :  6f9 ; 
+ 202   :  6f6 ; 
+ 203   :  6f3 ; 
+ 204   :  6f1 ; 
+ 205   :  6ee ; 
+ 206   :  6eb ; 
+ 207   :  6e8 ; 
+ 208   :  6e5 ; 
+ 209   :  6e3 ; 
+ 20a   :  6e0 ; 
+ 20b   :  6dd ; 
+ 20c   :  6da ; 
+ 20d   :  6d7 ; 
+ 20e   :  6d4 ; 
+ 20f   :  6d1 ; 
+ 210   :  6ce ; 
+ 211   :  6cb ; 
+ 212   :  6c8 ; 
+ 213   :  6c5 ; 
+ 214   :  6c2 ; 
+ 215   :  6bf ; 
+ 216   :  6bc ; 
+ 217   :  6b9 ; 
+ 218   :  6b6 ; 
+ 219   :  6b3 ; 
+ 21a   :  6b0 ; 
+ 21b   :  6ad ; 
+ 21c   :  6aa ; 
+ 21d   :  6a7 ; 
+ 21e   :  6a4 ; 
+ 21f   :  6a0 ; 
+ 220   :  69d ; 
+ 221   :  69a ; 
+ 222   :  697 ; 
+ 223   :  694 ; 
+ 224   :  690 ; 
+ 225   :  68d ; 
+ 226   :  68a ; 
+ 227   :  687 ; 
+ 228   :  683 ; 
+ 229   :  680 ; 
+ 22a   :  67d ; 
+ 22b   :  679 ; 
+ 22c   :  676 ; 
+ 22d   :  673 ; 
+ 22e   :  66f ; 
+ 22f   :  66c ; 
+ 230   :  669 ; 
+ 231   :  665 ; 
+ 232   :  662 ; 
+ 233   :  65e ; 
+ 234   :  65b ; 
+ 235   :  658 ; 
+ 236   :  654 ; 
+ 237   :  651 ; 
+ 238   :  64d ; 
+ 239   :  64a ; 
+ 23a   :  646 ; 
+ 23b   :  642 ; 
+ 23c   :  63f ; 
+ 23d   :  63b ; 
+ 23e   :  638 ; 
+ 23f   :  634 ; 
+ 240   :  631 ; 
+ 241   :  62d ; 
+ 242   :  629 ; 
+ 243   :  626 ; 
+ 244   :  622 ; 
+ 245   :  61e ; 
+ 246   :  61b ; 
+ 247   :  617 ; 
+ 248   :  613 ; 
+ 249   :  60f ; 
+ 24a   :  60c ; 
+ 24b   :  608 ; 
+ 24c   :  604 ; 
+ 24d   :  600 ; 
+ 24e   :  5fd ; 
+ 24f   :  5f9 ; 
+ 250   :  5f5 ; 
+ 251   :  5f1 ; 
+ 252   :  5ed ; 
+ 253   :  5e9 ; 
+ 254   :  5e5 ; 
+ 255   :  5e2 ; 
+ 256   :  5de ; 
+ 257   :  5da ; 
+ 258   :  5d6 ; 
+ 259   :  5d2 ; 
+ 25a   :  5ce ; 
+ 25b   :  5ca ; 
+ 25c   :  5c6 ; 
+ 25d   :  5c2 ; 
+ 25e   :  5be ; 
+ 25f   :  5ba ; 
+ 260   :  5b6 ; 
+ 261   :  5b2 ; 
+ 262   :  5ae ; 
+ 263   :  5a9 ; 
+ 264   :  5a5 ; 
+ 265   :  5a1 ; 
+ 266   :  59d ; 
+ 267   :  599 ; 
+ 268   :  595 ; 
+ 269   :  591 ; 
+ 26a   :  58c ; 
+ 26b   :  588 ; 
+ 26c   :  584 ; 
+ 26d   :  580 ; 
+ 26e   :  57b ; 
+ 26f   :  577 ; 
+ 270   :  573 ; 
+ 271   :  56f ; 
+ 272   :  56a ; 
+ 273   :  566 ; 
+ 274   :  562 ; 
+ 275   :  55d ; 
+ 276   :  559 ; 
+ 277   :  555 ; 
+ 278   :  550 ; 
+ 279   :  54c ; 
+ 27a   :  547 ; 
+ 27b   :  543 ; 
+ 27c   :  53f ; 
+ 27d   :  53a ; 
+ 27e   :  536 ; 
+ 27f   :  531 ; 
+ 280   :  52d ; 
+ 281   :  528 ; 
+ 282   :  524 ; 
+ 283   :  51f ; 
+ 284   :  51b ; 
+ 285   :  516 ; 
+ 286   :  512 ; 
+ 287   :  50d ; 
+ 288   :  508 ; 
+ 289   :  504 ; 
+ 28a   :  4ff ; 
+ 28b   :  4fa ; 
+ 28c   :  4f6 ; 
+ 28d   :  4f1 ; 
+ 28e   :  4ec ; 
+ 28f   :  4e8 ; 
+ 290   :  4e3 ; 
+ 291   :  4de ; 
+ 292   :  4da ; 
+ 293   :  4d5 ; 
+ 294   :  4d0 ; 
+ 295   :  4cb ; 
+ 296   :  4c7 ; 
+ 297   :  4c2 ; 
+ 298   :  4bd ; 
+ 299   :  4b8 ; 
+ 29a   :  4b3 ; 
+ 29b   :  4ae ; 
+ 29c   :  4aa ; 
+ 29d   :  4a5 ; 
+ 29e   :  4a0 ; 
+ 29f   :  49b ; 
+ 2a0   :  496 ; 
+ 2a1   :  491 ; 
+ 2a2   :  48c ; 
+ 2a3   :  487 ; 
+ 2a4   :  482 ; 
+ 2a5   :  47d ; 
+ 2a6   :  478 ; 
+ 2a7   :  473 ; 
+ 2a8   :  46e ; 
+ 2a9   :  469 ; 
+ 2aa   :  464 ; 
+ 2ab   :  45f ; 
+ 2ac   :  45a ; 
+ 2ad   :  455 ; 
+ 2ae   :  450 ; 
+ 2af   :  44b ; 
+ 2b0   :  446 ; 
+ 2b1   :  441 ; 
+ 2b2   :  43b ; 
+ 2b3   :  436 ; 
+ 2b4   :  431 ; 
+ 2b5   :  42c ; 
+ 2b6   :  427 ; 
+ 2b7   :  421 ; 
+ 2b8   :  41c ; 
+ 2b9   :  417 ; 
+ 2ba   :  412 ; 
+ 2bb   :  40d ; 
+ 2bc   :  407 ; 
+ 2bd   :  402 ; 
+ 2be   :  3fd ; 
+ 2bf   :  3f7 ; 
+ 2c0   :  3f2 ; 
+ 2c1   :  3ed ; 
+ 2c2   :  3e7 ; 
+ 2c3   :  3e2 ; 
+ 2c4   :  3dd ; 
+ 2c5   :  3d7 ; 
+ 2c6   :  3d2 ; 
+ 2c7   :  3cc ; 
+ 2c8   :  3c7 ; 
+ 2c9   :  3c2 ; 
+ 2ca   :  3bc ; 
+ 2cb   :  3b7 ; 
+ 2cc   :  3b1 ; 
+ 2cd   :  3ac ; 
+ 2ce   :  3a6 ; 
+ 2cf   :  3a1 ; 
+ 2d0   :  39b ; 
+ 2d1   :  396 ; 
+ 2d2   :  390 ; 
+ 2d3   :  38b ; 
+ 2d4   :  385 ; 
+ 2d5   :  37f ; 
+ 2d6   :  37a ; 
+ 2d7   :  374 ; 
+ 2d8   :  36f ; 
+ 2d9   :  369 ; 
+ 2da   :  363 ; 
+ 2db   :  35e ; 
+ 2dc   :  358 ; 
+ 2dd   :  352 ; 
+ 2de   :  34d ; 
+ 2df   :  347 ; 
+ 2e0   :  341 ; 
+ 2e1   :  33c ; 
+ 2e2   :  336 ; 
+ 2e3   :  330 ; 
+ 2e4   :  32a ; 
+ 2e5   :  325 ; 
+ 2e6   :  31f ; 
+ 2e7   :  319 ; 
+ 2e8   :  313 ; 
+ 2e9   :  30d ; 
+ 2ea   :  308 ; 
+ 2eb   :  302 ; 
+ 2ec   :  2fc ; 
+ 2ed   :  2f6 ; 
+ 2ee   :  2f0 ; 
+ 2ef   :  2ea ; 
+ 2f0   :  2e4 ; 
+ 2f1   :  2de ; 
+ 2f2   :  2d9 ; 
+ 2f3   :  2d3 ; 
+ 2f4   :  2cd ; 
+ 2f5   :  2c7 ; 
+ 2f6   :  2c1 ; 
+ 2f7   :  2bb ; 
+ 2f8   :  2b5 ; 
+ 2f9   :  2af ; 
+ 2fa   :  2a9 ; 
+ 2fb   :  2a3 ; 
+ 2fc   :  29d ; 
+ 2fd   :  297 ; 
+ 2fe   :  291 ; 
+ 2ff   :  28b ; 
+ 300   :  284 ; 
+ 301   :  27e ; 
+ 302   :  278 ; 
+ 303   :  272 ; 
+ 304   :  26c ; 
+ 305   :  266 ; 
+ 306   :  260 ; 
+ 307   :  25a ; 
+ 308   :  254 ; 
+ 309   :  24d ; 
+ 30a   :  247 ; 
+ 30b   :  241 ; 
+ 30c   :  23b ; 
+ 30d   :  235 ; 
+ 30e   :  22e ; 
+ 30f   :  228 ; 
+ 310   :  222 ; 
+ 311   :  21c ; 
+ 312   :  215 ; 
+ 313   :  20f ; 
+ 314   :  209 ; 
+ 315   :  202 ; 
+ 316   :  1fc ; 
+ 317   :  1f6 ; 
+ 318   :  1f0 ; 
+ 319   :  1e9 ; 
+ 31a   :  1e3 ; 
+ 31b   :  1dc ; 
+ 31c   :  1d6 ; 
+ 31d   :  1d0 ; 
+ 31e   :  1c9 ; 
+ 31f   :  1c3 ; 
+ 320   :  1bd ; 
+ 321   :  1b6 ; 
+ 322   :  1b0 ; 
+ 323   :  1a9 ; 
+ 324   :  1a3 ; 
+ 325   :  19c ; 
+ 326   :  196 ; 
+ 327   :  18f ; 
+ 328   :  189 ; 
+ 329   :  182 ; 
+ 32a   :  17c ; 
+ 32b   :  175 ; 
+ 32c   :  16f ; 
+ 32d   :  168 ; 
+ 32e   :  162 ; 
+ 32f   :  15b ; 
+ 330   :  155 ; 
+ 331   :  14e ; 
+ 332   :  147 ; 
+ 333   :  141 ; 
+ 334   :  13a ; 
+ 335   :  134 ; 
+ 336   :  12d ; 
+ 337   :  126 ; 
+ 338   :  120 ; 
+ 339   :  119 ; 
+ 33a   :  112 ; 
+ 33b   :  10c ; 
+ 33c   :  105 ; 
+ 33d   :  fe ; 
+ 33e   :  f8 ; 
+ 33f   :  f1 ; 
+ 340   :  ea ; 
+ 341   :  e4 ; 
+ 342   :  dd ; 
+ 343   :  d6 ; 
+ 344   :  cf ; 
+ 345   :  c9 ; 
+ 346   :  c2 ; 
+ 347   :  bb ; 
+ 348   :  b4 ; 
+ 349   :  ae ; 
+ 34a   :  a7 ; 
+ 34b   :  a0 ; 
+ 34c   :  99 ; 
+ 34d   :  92 ; 
+ 34e   :  8b ; 
+ 34f   :  85 ; 
+ 350   :  7e ; 
+ 351   :  77 ; 
+ 352   :  70 ; 
+ 353   :  69 ; 
+ 354   :  62 ; 
+ 355   :  5b ; 
+ 356   :  54 ; 
+ 357   :  4e ; 
+ 358   :  47 ; 
+ 359   :  40 ; 
+ 35a   :  39 ; 
+ 35b   :  32 ; 
+ 35c   :  2b ; 
+ 35d   :  24 ; 
+ 35e   :  1d ; 
+ 35f   :  16 ; 
+ 360   :  f ; 
+ 361   :  8 ; 
+ 362   :  1 ; 
+ 363   :  3fffa ; 
+ 364   :  3fff3 ; 
+ 365   :  3ffec ; 
+ 366   :  3ffe5 ; 
+ 367   :  3ffde ; 
+ 368   :  3ffd7 ; 
+ 369   :  3ffd0 ; 
+ 36a   :  3ffc9 ; 
+ 36b   :  3ffc2 ; 
+ 36c   :  3ffbb ; 
+ 36d   :  3ffb4 ; 
+ 36e   :  3ffad ; 
+ 36f   :  3ffa6 ; 
+ 370   :  3ff9e ; 
+ 371   :  3ff97 ; 
+ 372   :  3ff90 ; 
+ 373   :  3ff89 ; 
+ 374   :  3ff82 ; 
+ 375   :  3ff7b ; 
+ 376   :  3ff74 ; 
+ 377   :  3ff6d ; 
+ 378   :  3ff65 ; 
+ 379   :  3ff5e ; 
+ 37a   :  3ff57 ; 
+ 37b   :  3ff50 ; 
+ 37c   :  3ff49 ; 
+ 37d   :  3ff42 ; 
+ 37e   :  3ff3a ; 
+ 37f   :  3ff33 ; 
+ 380   :  3ff2c ; 
+ 381   :  3ff25 ; 
+ 382   :  3ff1e ; 
+ 383   :  3ff16 ; 
+ 384   :  3ff0f ; 
+ 385   :  3ff08 ; 
+ 386   :  3ff01 ; 
+ 387   :  3fef9 ; 
+ 388   :  3fef2 ; 
+ 389   :  3feeb ; 
+ 38a   :  3fee4 ; 
+ 38b   :  3fedc ; 
+ 38c   :  3fed5 ; 
+ 38d   :  3fece ; 
+ 38e   :  3fec7 ; 
+ 38f   :  3febf ; 
+ 390   :  3feb8 ; 
+ 391   :  3feb1 ; 
+ 392   :  3fea9 ; 
+ 393   :  3fea2 ; 
+ 394   :  3fe9b ; 
+ 395   :  3fe93 ; 
+ 396   :  3fe8c ; 
+ 397   :  3fe85 ; 
+ 398   :  3fe7d ; 
+ 399   :  3fe76 ; 
+ 39a   :  3fe6f ; 
+ 39b   :  3fe67 ; 
+ 39c   :  3fe60 ; 
+ 39d   :  3fe59 ; 
+ 39e   :  3fe51 ; 
+ 39f   :  3fe4a ; 
+ 3a0   :  3fe43 ; 
+ 3a1   :  3fe3b ; 
+ 3a2   :  3fe34 ; 
+ 3a3   :  3fe2c ; 
+ 3a4   :  3fe25 ; 
+ 3a5   :  3fe1e ; 
+ 3a6   :  3fe16 ; 
+ 3a7   :  3fe0f ; 
+ 3a8   :  3fe07 ; 
+ 3a9   :  3fe00 ; 
+ 3aa   :  3fdf9 ; 
+ 3ab   :  3fdf1 ; 
+ 3ac   :  3fdea ; 
+ 3ad   :  3fde2 ; 
+ 3ae   :  3fddb ; 
+ 3af   :  3fdd3 ; 
+ 3b0   :  3fdcc ; 
+ 3b1   :  3fdc4 ; 
+ 3b2   :  3fdbd ; 
+ 3b3   :  3fdb6 ; 
+ 3b4   :  3fdae ; 
+ 3b5   :  3fda7 ; 
+ 3b6   :  3fd9f ; 
+ 3b7   :  3fd98 ; 
+ 3b8   :  3fd90 ; 
+ 3b9   :  3fd89 ; 
+ 3ba   :  3fd81 ; 
+ 3bb   :  3fd7a ; 
+ 3bc   :  3fd72 ; 
+ 3bd   :  3fd6b ; 
+ 3be   :  3fd63 ; 
+ 3bf   :  3fd5c ; 
+ 3c0   :  3fd54 ; 
+ 3c1   :  3fd4d ; 
+ 3c2   :  3fd45 ; 
+ 3c3   :  3fd3e ; 
+ 3c4   :  3fd36 ; 
+ 3c5   :  3fd2f ; 
+ 3c6   :  3fd27 ; 
+ 3c7   :  3fd20 ; 
+ 3c8   :  3fd18 ; 
+ 3c9   :  3fd11 ; 
+ 3ca   :  3fd09 ; 
+ 3cb   :  3fd01 ; 
+ 3cc   :  3fcfa ; 
+ 3cd   :  3fcf2 ; 
+ 3ce   :  3fceb ; 
+ 3cf   :  3fce3 ; 
+ 3d0   :  3fcdc ; 
+ 3d1   :  3fcd4 ; 
+ 3d2   :  3fccd ; 
+ 3d3   :  3fcc5 ; 
+ 3d4   :  3fcbe ; 
+ 3d5   :  3fcb6 ; 
+ 3d6   :  3fcae ; 
+ 3d7   :  3fca7 ; 
+ 3d8   :  3fc9f ; 
+ 3d9   :  3fc98 ; 
+ 3da   :  3fc90 ; 
+ 3db   :  3fc89 ; 
+ 3dc   :  3fc81 ; 
+ 3dd   :  3fc79 ; 
+ 3de   :  3fc72 ; 
+ 3df   :  3fc6a ; 
+ 3e0   :  3fc63 ; 
+ 3e1   :  3fc5b ; 
+ 3e2   :  3fc53 ; 
+ 3e3   :  3fc4c ; 
+ 3e4   :  3fc44 ; 
+ 3e5   :  3fc3d ; 
+ 3e6   :  3fc35 ; 
+ 3e7   :  3fc2e ; 
+ 3e8   :  3fc26 ; 
+ 3e9   :  3fc1e ; 
+ 3ea   :  3fc17 ; 
+ 3eb   :  3fc0f ; 
+ 3ec   :  3fc08 ; 
+ 3ed   :  3fc00 ; 
+ 3ee   :  3fbf8 ; 
+ 3ef   :  3fbf1 ; 
+ 3f0   :  3fbe9 ; 
+ 3f1   :  3fbe2 ; 
+ 3f2   :  3fbda ; 
+ 3f3   :  3fbd2 ; 
+ 3f4   :  3fbcb ; 
+ 3f5   :  3fbc3 ; 
+ 3f6   :  3fbbc ; 
+ 3f7   :  3fbb4 ; 
+ 3f8   :  3fbac ; 
+ 3f9   :  3fba5 ; 
+ 3fa   :  3fb9d ; 
+ 3fb   :  3fb96 ; 
+ 3fc   :  3fb8e ; 
+ 3fd   :  3fb86 ; 
+ 3fe   :  3fb7f ; 
+ 3ff   :  3fb77 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_15.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_15.mif
new file mode 100644
index 0000000000000000000000000000000000000000..20c2700692089d13791c803112cf756bc02d798f
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_15.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  a1 ; 
+ 1   :  a0 ; 
+ 2   :  9e ; 
+ 3   :  9c ; 
+ 4   :  98 ; 
+ 5   :  94 ; 
+ 6   :  8f ; 
+ 7   :  8a ; 
+ 8   :  84 ; 
+ 9   :  7d ; 
+ a   :  76 ; 
+ b   :  6f ; 
+ c   :  68 ; 
+ d   :  61 ; 
+ e   :  5a ; 
+ f   :  53 ; 
+ 10   :  4c ; 
+ 11   :  45 ; 
+ 12   :  3f ; 
+ 13   :  3a ; 
+ 14   :  35 ; 
+ 15   :  30 ; 
+ 16   :  2c ; 
+ 17   :  29 ; 
+ 18   :  26 ; 
+ 19   :  24 ; 
+ 1a   :  22 ; 
+ 1b   :  21 ; 
+ 1c   :  20 ; 
+ 1d   :  20 ; 
+ 1e   :  21 ; 
+ 1f   :  21 ; 
+ 20   :  22 ; 
+ 21   :  23 ; 
+ 22   :  25 ; 
+ 23   :  26 ; 
+ 24   :  28 ; 
+ 25   :  29 ; 
+ 26   :  2b ; 
+ 27   :  2c ; 
+ 28   :  2e ; 
+ 29   :  2f ; 
+ 2a   :  30 ; 
+ 2b   :  31 ; 
+ 2c   :  32 ; 
+ 2d   :  33 ; 
+ 2e   :  33 ; 
+ 2f   :  34 ; 
+ 30   :  34 ; 
+ 31   :  34 ; 
+ 32   :  34 ; 
+ 33   :  34 ; 
+ 34   :  34 ; 
+ 35   :  34 ; 
+ 36   :  33 ; 
+ 37   :  33 ; 
+ 38   :  33 ; 
+ 39   :  33 ; 
+ 3a   :  33 ; 
+ 3b   :  33 ; 
+ 3c   :  33 ; 
+ 3d   :  33 ; 
+ 3e   :  34 ; 
+ 3f   :  34 ; 
+ 40   :  35 ; 
+ 41   :  35 ; 
+ 42   :  36 ; 
+ 43   :  37 ; 
+ 44   :  37 ; 
+ 45   :  38 ; 
+ 46   :  39 ; 
+ 47   :  39 ; 
+ 48   :  3a ; 
+ 49   :  3b ; 
+ 4a   :  3c ; 
+ 4b   :  3c ; 
+ 4c   :  3d ; 
+ 4d   :  3d ; 
+ 4e   :  3e ; 
+ 4f   :  3e ; 
+ 50   :  3f ; 
+ 51   :  3f ; 
+ 52   :  40 ; 
+ 53   :  40 ; 
+ 54   :  40 ; 
+ 55   :  40 ; 
+ 56   :  41 ; 
+ 57   :  41 ; 
+ 58   :  41 ; 
+ 59   :  42 ; 
+ 5a   :  42 ; 
+ 5b   :  42 ; 
+ 5c   :  42 ; 
+ 5d   :  43 ; 
+ 5e   :  43 ; 
+ 5f   :  44 ; 
+ 60   :  44 ; 
+ 61   :  45 ; 
+ 62   :  45 ; 
+ 63   :  46 ; 
+ 64   :  47 ; 
+ 65   :  47 ; 
+ 66   :  48 ; 
+ 67   :  49 ; 
+ 68   :  49 ; 
+ 69   :  4a ; 
+ 6a   :  4a ; 
+ 6b   :  4b ; 
+ 6c   :  4c ; 
+ 6d   :  4c ; 
+ 6e   :  4d ; 
+ 6f   :  4d ; 
+ 70   :  4e ; 
+ 71   :  4e ; 
+ 72   :  4f ; 
+ 73   :  4f ; 
+ 74   :  50 ; 
+ 75   :  50 ; 
+ 76   :  51 ; 
+ 77   :  51 ; 
+ 78   :  51 ; 
+ 79   :  52 ; 
+ 7a   :  52 ; 
+ 7b   :  53 ; 
+ 7c   :  53 ; 
+ 7d   :  54 ; 
+ 7e   :  54 ; 
+ 7f   :  55 ; 
+ 80   :  55 ; 
+ 81   :  56 ; 
+ 82   :  57 ; 
+ 83   :  57 ; 
+ 84   :  58 ; 
+ 85   :  59 ; 
+ 86   :  59 ; 
+ 87   :  5a ; 
+ 88   :  5b ; 
+ 89   :  5b ; 
+ 8a   :  5c ; 
+ 8b   :  5d ; 
+ 8c   :  5d ; 
+ 8d   :  5e ; 
+ 8e   :  5e ; 
+ 8f   :  5f ; 
+ 90   :  60 ; 
+ 91   :  60 ; 
+ 92   :  61 ; 
+ 93   :  61 ; 
+ 94   :  62 ; 
+ 95   :  62 ; 
+ 96   :  63 ; 
+ 97   :  64 ; 
+ 98   :  64 ; 
+ 99   :  65 ; 
+ 9a   :  65 ; 
+ 9b   :  66 ; 
+ 9c   :  66 ; 
+ 9d   :  67 ; 
+ 9e   :  68 ; 
+ 9f   :  68 ; 
+ a0   :  69 ; 
+ a1   :  6a ; 
+ a2   :  6a ; 
+ a3   :  6b ; 
+ a4   :  6c ; 
+ a5   :  6c ; 
+ a6   :  6d ; 
+ a7   :  6e ; 
+ a8   :  6e ; 
+ a9   :  6f ; 
+ aa   :  70 ; 
+ ab   :  71 ; 
+ ac   :  71 ; 
+ ad   :  72 ; 
+ ae   :  73 ; 
+ af   :  73 ; 
+ b0   :  74 ; 
+ b1   :  75 ; 
+ b2   :  75 ; 
+ b3   :  76 ; 
+ b4   :  77 ; 
+ b5   :  77 ; 
+ b6   :  78 ; 
+ b7   :  79 ; 
+ b8   :  79 ; 
+ b9   :  7a ; 
+ ba   :  7b ; 
+ bb   :  7b ; 
+ bc   :  7c ; 
+ bd   :  7d ; 
+ be   :  7d ; 
+ bf   :  7e ; 
+ c0   :  7f ; 
+ c1   :  80 ; 
+ c2   :  80 ; 
+ c3   :  81 ; 
+ c4   :  82 ; 
+ c5   :  83 ; 
+ c6   :  83 ; 
+ c7   :  84 ; 
+ c8   :  85 ; 
+ c9   :  86 ; 
+ ca   :  87 ; 
+ cb   :  87 ; 
+ cc   :  88 ; 
+ cd   :  89 ; 
+ ce   :  8a ; 
+ cf   :  8a ; 
+ d0   :  8b ; 
+ d1   :  8c ; 
+ d2   :  8d ; 
+ d3   :  8e ; 
+ d4   :  8e ; 
+ d5   :  8f ; 
+ d6   :  90 ; 
+ d7   :  91 ; 
+ d8   :  91 ; 
+ d9   :  92 ; 
+ da   :  93 ; 
+ db   :  94 ; 
+ dc   :  94 ; 
+ dd   :  95 ; 
+ de   :  96 ; 
+ df   :  97 ; 
+ e0   :  98 ; 
+ e1   :  98 ; 
+ e2   :  99 ; 
+ e3   :  9a ; 
+ e4   :  9b ; 
+ e5   :  9c ; 
+ e6   :  9d ; 
+ e7   :  9e ; 
+ e8   :  9e ; 
+ e9   :  9f ; 
+ ea   :  a0 ; 
+ eb   :  a1 ; 
+ ec   :  a2 ; 
+ ed   :  a3 ; 
+ ee   :  a4 ; 
+ ef   :  a5 ; 
+ f0   :  a5 ; 
+ f1   :  a6 ; 
+ f2   :  a7 ; 
+ f3   :  a8 ; 
+ f4   :  a9 ; 
+ f5   :  aa ; 
+ f6   :  ab ; 
+ f7   :  ab ; 
+ f8   :  ac ; 
+ f9   :  ad ; 
+ fa   :  ae ; 
+ fb   :  af ; 
+ fc   :  b0 ; 
+ fd   :  b1 ; 
+ fe   :  b2 ; 
+ ff   :  b2 ; 
+ 100   :  b3 ; 
+ 101   :  b4 ; 
+ 102   :  b5 ; 
+ 103   :  b6 ; 
+ 104   :  b7 ; 
+ 105   :  b8 ; 
+ 106   :  b9 ; 
+ 107   :  ba ; 
+ 108   :  bb ; 
+ 109   :  bc ; 
+ 10a   :  bd ; 
+ 10b   :  be ; 
+ 10c   :  bf ; 
+ 10d   :  c0 ; 
+ 10e   :  c1 ; 
+ 10f   :  c2 ; 
+ 110   :  c3 ; 
+ 111   :  c4 ; 
+ 112   :  c5 ; 
+ 113   :  c5 ; 
+ 114   :  c6 ; 
+ 115   :  c7 ; 
+ 116   :  c8 ; 
+ 117   :  c9 ; 
+ 118   :  ca ; 
+ 119   :  cb ; 
+ 11a   :  cc ; 
+ 11b   :  cd ; 
+ 11c   :  ce ; 
+ 11d   :  cf ; 
+ 11e   :  d0 ; 
+ 11f   :  d1 ; 
+ 120   :  d2 ; 
+ 121   :  d3 ; 
+ 122   :  d4 ; 
+ 123   :  d5 ; 
+ 124   :  d6 ; 
+ 125   :  d7 ; 
+ 126   :  d8 ; 
+ 127   :  d9 ; 
+ 128   :  da ; 
+ 129   :  dc ; 
+ 12a   :  dd ; 
+ 12b   :  de ; 
+ 12c   :  df ; 
+ 12d   :  e0 ; 
+ 12e   :  e1 ; 
+ 12f   :  e2 ; 
+ 130   :  e3 ; 
+ 131   :  e4 ; 
+ 132   :  e5 ; 
+ 133   :  e6 ; 
+ 134   :  e7 ; 
+ 135   :  e8 ; 
+ 136   :  e9 ; 
+ 137   :  ea ; 
+ 138   :  ec ; 
+ 139   :  ed ; 
+ 13a   :  ee ; 
+ 13b   :  ef ; 
+ 13c   :  f0 ; 
+ 13d   :  f1 ; 
+ 13e   :  f2 ; 
+ 13f   :  f3 ; 
+ 140   :  f4 ; 
+ 141   :  f5 ; 
+ 142   :  f7 ; 
+ 143   :  f8 ; 
+ 144   :  f9 ; 
+ 145   :  fa ; 
+ 146   :  fb ; 
+ 147   :  fc ; 
+ 148   :  fd ; 
+ 149   :  ff ; 
+ 14a   :  100 ; 
+ 14b   :  101 ; 
+ 14c   :  102 ; 
+ 14d   :  103 ; 
+ 14e   :  104 ; 
+ 14f   :  106 ; 
+ 150   :  107 ; 
+ 151   :  108 ; 
+ 152   :  109 ; 
+ 153   :  10a ; 
+ 154   :  10b ; 
+ 155   :  10d ; 
+ 156   :  10e ; 
+ 157   :  10f ; 
+ 158   :  110 ; 
+ 159   :  111 ; 
+ 15a   :  112 ; 
+ 15b   :  114 ; 
+ 15c   :  115 ; 
+ 15d   :  116 ; 
+ 15e   :  117 ; 
+ 15f   :  118 ; 
+ 160   :  11a ; 
+ 161   :  11b ; 
+ 162   :  11c ; 
+ 163   :  11d ; 
+ 164   :  11f ; 
+ 165   :  120 ; 
+ 166   :  121 ; 
+ 167   :  122 ; 
+ 168   :  124 ; 
+ 169   :  125 ; 
+ 16a   :  126 ; 
+ 16b   :  127 ; 
+ 16c   :  129 ; 
+ 16d   :  12a ; 
+ 16e   :  12b ; 
+ 16f   :  12d ; 
+ 170   :  12e ; 
+ 171   :  12f ; 
+ 172   :  130 ; 
+ 173   :  132 ; 
+ 174   :  133 ; 
+ 175   :  134 ; 
+ 176   :  135 ; 
+ 177   :  137 ; 
+ 178   :  138 ; 
+ 179   :  139 ; 
+ 17a   :  13b ; 
+ 17b   :  13c ; 
+ 17c   :  13d ; 
+ 17d   :  13f ; 
+ 17e   :  140 ; 
+ 17f   :  141 ; 
+ 180   :  143 ; 
+ 181   :  144 ; 
+ 182   :  145 ; 
+ 183   :  147 ; 
+ 184   :  148 ; 
+ 185   :  149 ; 
+ 186   :  14b ; 
+ 187   :  14c ; 
+ 188   :  14d ; 
+ 189   :  14f ; 
+ 18a   :  150 ; 
+ 18b   :  152 ; 
+ 18c   :  153 ; 
+ 18d   :  154 ; 
+ 18e   :  156 ; 
+ 18f   :  157 ; 
+ 190   :  158 ; 
+ 191   :  15a ; 
+ 192   :  15b ; 
+ 193   :  15d ; 
+ 194   :  15e ; 
+ 195   :  15f ; 
+ 196   :  161 ; 
+ 197   :  162 ; 
+ 198   :  164 ; 
+ 199   :  165 ; 
+ 19a   :  166 ; 
+ 19b   :  168 ; 
+ 19c   :  169 ; 
+ 19d   :  16b ; 
+ 19e   :  16c ; 
+ 19f   :  16e ; 
+ 1a0   :  16f ; 
+ 1a1   :  170 ; 
+ 1a2   :  172 ; 
+ 1a3   :  173 ; 
+ 1a4   :  175 ; 
+ 1a5   :  176 ; 
+ 1a6   :  178 ; 
+ 1a7   :  179 ; 
+ 1a8   :  17b ; 
+ 1a9   :  17c ; 
+ 1aa   :  17e ; 
+ 1ab   :  17f ; 
+ 1ac   :  181 ; 
+ 1ad   :  182 ; 
+ 1ae   :  184 ; 
+ 1af   :  185 ; 
+ 1b0   :  187 ; 
+ 1b1   :  188 ; 
+ 1b2   :  18a ; 
+ 1b3   :  18b ; 
+ 1b4   :  18d ; 
+ 1b5   :  18e ; 
+ 1b6   :  190 ; 
+ 1b7   :  191 ; 
+ 1b8   :  193 ; 
+ 1b9   :  194 ; 
+ 1ba   :  196 ; 
+ 1bb   :  197 ; 
+ 1bc   :  199 ; 
+ 1bd   :  19a ; 
+ 1be   :  19c ; 
+ 1bf   :  19d ; 
+ 1c0   :  19f ; 
+ 1c1   :  1a1 ; 
+ 1c2   :  1a2 ; 
+ 1c3   :  1a4 ; 
+ 1c4   :  1a5 ; 
+ 1c5   :  1a7 ; 
+ 1c6   :  1a8 ; 
+ 1c7   :  1aa ; 
+ 1c8   :  1ac ; 
+ 1c9   :  1ad ; 
+ 1ca   :  1af ; 
+ 1cb   :  1b0 ; 
+ 1cc   :  1b2 ; 
+ 1cd   :  1b4 ; 
+ 1ce   :  1b5 ; 
+ 1cf   :  1b7 ; 
+ 1d0   :  1b8 ; 
+ 1d1   :  1ba ; 
+ 1d2   :  1bc ; 
+ 1d3   :  1bd ; 
+ 1d4   :  1bf ; 
+ 1d5   :  1c0 ; 
+ 1d6   :  1c2 ; 
+ 1d7   :  1c4 ; 
+ 1d8   :  1c5 ; 
+ 1d9   :  1c7 ; 
+ 1da   :  1c9 ; 
+ 1db   :  1ca ; 
+ 1dc   :  1cc ; 
+ 1dd   :  1cd ; 
+ 1de   :  1cf ; 
+ 1df   :  1d1 ; 
+ 1e0   :  1d2 ; 
+ 1e1   :  1d4 ; 
+ 1e2   :  1d6 ; 
+ 1e3   :  1d7 ; 
+ 1e4   :  1d9 ; 
+ 1e5   :  1db ; 
+ 1e6   :  1dd ; 
+ 1e7   :  1de ; 
+ 1e8   :  1e0 ; 
+ 1e9   :  1e2 ; 
+ 1ea   :  1e3 ; 
+ 1eb   :  1e5 ; 
+ 1ec   :  1e7 ; 
+ 1ed   :  1e8 ; 
+ 1ee   :  1ea ; 
+ 1ef   :  1ec ; 
+ 1f0   :  1ee ; 
+ 1f1   :  1ef ; 
+ 1f2   :  1f1 ; 
+ 1f3   :  1f3 ; 
+ 1f4   :  1f5 ; 
+ 1f5   :  1f6 ; 
+ 1f6   :  1f8 ; 
+ 1f7   :  1fa ; 
+ 1f8   :  1fb ; 
+ 1f9   :  1fd ; 
+ 1fa   :  1ff ; 
+ 1fb   :  201 ; 
+ 1fc   :  202 ; 
+ 1fd   :  204 ; 
+ 1fe   :  206 ; 
+ 1ff   :  208 ; 
+ 200   :  20a ; 
+ 201   :  20b ; 
+ 202   :  20d ; 
+ 203   :  20f ; 
+ 204   :  211 ; 
+ 205   :  212 ; 
+ 206   :  214 ; 
+ 207   :  216 ; 
+ 208   :  218 ; 
+ 209   :  21a ; 
+ 20a   :  21b ; 
+ 20b   :  21d ; 
+ 20c   :  21f ; 
+ 20d   :  221 ; 
+ 20e   :  223 ; 
+ 20f   :  225 ; 
+ 210   :  226 ; 
+ 211   :  228 ; 
+ 212   :  22a ; 
+ 213   :  22c ; 
+ 214   :  22e ; 
+ 215   :  230 ; 
+ 216   :  231 ; 
+ 217   :  233 ; 
+ 218   :  235 ; 
+ 219   :  237 ; 
+ 21a   :  239 ; 
+ 21b   :  23b ; 
+ 21c   :  23d ; 
+ 21d   :  23e ; 
+ 21e   :  240 ; 
+ 21f   :  242 ; 
+ 220   :  244 ; 
+ 221   :  246 ; 
+ 222   :  248 ; 
+ 223   :  24a ; 
+ 224   :  24c ; 
+ 225   :  24e ; 
+ 226   :  24f ; 
+ 227   :  251 ; 
+ 228   :  253 ; 
+ 229   :  255 ; 
+ 22a   :  257 ; 
+ 22b   :  259 ; 
+ 22c   :  25b ; 
+ 22d   :  25d ; 
+ 22e   :  25f ; 
+ 22f   :  261 ; 
+ 230   :  263 ; 
+ 231   :  265 ; 
+ 232   :  267 ; 
+ 233   :  268 ; 
+ 234   :  26a ; 
+ 235   :  26c ; 
+ 236   :  26e ; 
+ 237   :  270 ; 
+ 238   :  272 ; 
+ 239   :  274 ; 
+ 23a   :  276 ; 
+ 23b   :  278 ; 
+ 23c   :  27a ; 
+ 23d   :  27c ; 
+ 23e   :  27e ; 
+ 23f   :  280 ; 
+ 240   :  282 ; 
+ 241   :  284 ; 
+ 242   :  286 ; 
+ 243   :  288 ; 
+ 244   :  28a ; 
+ 245   :  28c ; 
+ 246   :  28e ; 
+ 247   :  290 ; 
+ 248   :  292 ; 
+ 249   :  294 ; 
+ 24a   :  296 ; 
+ 24b   :  298 ; 
+ 24c   :  29a ; 
+ 24d   :  29c ; 
+ 24e   :  29e ; 
+ 24f   :  2a0 ; 
+ 250   :  2a2 ; 
+ 251   :  2a4 ; 
+ 252   :  2a6 ; 
+ 253   :  2a8 ; 
+ 254   :  2aa ; 
+ 255   :  2ac ; 
+ 256   :  2ae ; 
+ 257   :  2b0 ; 
+ 258   :  2b3 ; 
+ 259   :  2b5 ; 
+ 25a   :  2b7 ; 
+ 25b   :  2b9 ; 
+ 25c   :  2bb ; 
+ 25d   :  2bd ; 
+ 25e   :  2bf ; 
+ 25f   :  2c1 ; 
+ 260   :  2c3 ; 
+ 261   :  2c5 ; 
+ 262   :  2c7 ; 
+ 263   :  2c9 ; 
+ 264   :  2cb ; 
+ 265   :  2ce ; 
+ 266   :  2d0 ; 
+ 267   :  2d2 ; 
+ 268   :  2d4 ; 
+ 269   :  2d6 ; 
+ 26a   :  2d8 ; 
+ 26b   :  2da ; 
+ 26c   :  2dc ; 
+ 26d   :  2de ; 
+ 26e   :  2e1 ; 
+ 26f   :  2e3 ; 
+ 270   :  2e5 ; 
+ 271   :  2e7 ; 
+ 272   :  2e9 ; 
+ 273   :  2eb ; 
+ 274   :  2ed ; 
+ 275   :  2ef ; 
+ 276   :  2f2 ; 
+ 277   :  2f4 ; 
+ 278   :  2f6 ; 
+ 279   :  2f8 ; 
+ 27a   :  2fa ; 
+ 27b   :  2fc ; 
+ 27c   :  2ff ; 
+ 27d   :  301 ; 
+ 27e   :  303 ; 
+ 27f   :  305 ; 
+ 280   :  307 ; 
+ 281   :  309 ; 
+ 282   :  30c ; 
+ 283   :  30e ; 
+ 284   :  310 ; 
+ 285   :  312 ; 
+ 286   :  314 ; 
+ 287   :  316 ; 
+ 288   :  319 ; 
+ 289   :  31b ; 
+ 28a   :  31d ; 
+ 28b   :  31f ; 
+ 28c   :  321 ; 
+ 28d   :  324 ; 
+ 28e   :  326 ; 
+ 28f   :  328 ; 
+ 290   :  32a ; 
+ 291   :  32d ; 
+ 292   :  32f ; 
+ 293   :  331 ; 
+ 294   :  333 ; 
+ 295   :  335 ; 
+ 296   :  338 ; 
+ 297   :  33a ; 
+ 298   :  33c ; 
+ 299   :  33e ; 
+ 29a   :  341 ; 
+ 29b   :  343 ; 
+ 29c   :  345 ; 
+ 29d   :  347 ; 
+ 29e   :  34a ; 
+ 29f   :  34c ; 
+ 2a0   :  34e ; 
+ 2a1   :  350 ; 
+ 2a2   :  353 ; 
+ 2a3   :  355 ; 
+ 2a4   :  357 ; 
+ 2a5   :  359 ; 
+ 2a6   :  35c ; 
+ 2a7   :  35e ; 
+ 2a8   :  360 ; 
+ 2a9   :  363 ; 
+ 2aa   :  365 ; 
+ 2ab   :  367 ; 
+ 2ac   :  369 ; 
+ 2ad   :  36c ; 
+ 2ae   :  36e ; 
+ 2af   :  370 ; 
+ 2b0   :  373 ; 
+ 2b1   :  375 ; 
+ 2b2   :  377 ; 
+ 2b3   :  379 ; 
+ 2b4   :  37c ; 
+ 2b5   :  37e ; 
+ 2b6   :  380 ; 
+ 2b7   :  383 ; 
+ 2b8   :  385 ; 
+ 2b9   :  387 ; 
+ 2ba   :  38a ; 
+ 2bb   :  38c ; 
+ 2bc   :  38e ; 
+ 2bd   :  390 ; 
+ 2be   :  393 ; 
+ 2bf   :  395 ; 
+ 2c0   :  397 ; 
+ 2c1   :  39a ; 
+ 2c2   :  39c ; 
+ 2c3   :  39e ; 
+ 2c4   :  3a1 ; 
+ 2c5   :  3a3 ; 
+ 2c6   :  3a5 ; 
+ 2c7   :  3a8 ; 
+ 2c8   :  3aa ; 
+ 2c9   :  3ad ; 
+ 2ca   :  3af ; 
+ 2cb   :  3b1 ; 
+ 2cc   :  3b4 ; 
+ 2cd   :  3b6 ; 
+ 2ce   :  3b8 ; 
+ 2cf   :  3bb ; 
+ 2d0   :  3bd ; 
+ 2d1   :  3bf ; 
+ 2d2   :  3c2 ; 
+ 2d3   :  3c4 ; 
+ 2d4   :  3c6 ; 
+ 2d5   :  3c9 ; 
+ 2d6   :  3cb ; 
+ 2d7   :  3ce ; 
+ 2d8   :  3d0 ; 
+ 2d9   :  3d2 ; 
+ 2da   :  3d5 ; 
+ 2db   :  3d7 ; 
+ 2dc   :  3d9 ; 
+ 2dd   :  3dc ; 
+ 2de   :  3de ; 
+ 2df   :  3e1 ; 
+ 2e0   :  3e3 ; 
+ 2e1   :  3e5 ; 
+ 2e2   :  3e8 ; 
+ 2e3   :  3ea ; 
+ 2e4   :  3ed ; 
+ 2e5   :  3ef ; 
+ 2e6   :  3f1 ; 
+ 2e7   :  3f4 ; 
+ 2e8   :  3f6 ; 
+ 2e9   :  3f9 ; 
+ 2ea   :  3fb ; 
+ 2eb   :  3fd ; 
+ 2ec   :  400 ; 
+ 2ed   :  402 ; 
+ 2ee   :  405 ; 
+ 2ef   :  407 ; 
+ 2f0   :  40a ; 
+ 2f1   :  40c ; 
+ 2f2   :  40e ; 
+ 2f3   :  411 ; 
+ 2f4   :  413 ; 
+ 2f5   :  416 ; 
+ 2f6   :  418 ; 
+ 2f7   :  41a ; 
+ 2f8   :  41d ; 
+ 2f9   :  41f ; 
+ 2fa   :  422 ; 
+ 2fb   :  424 ; 
+ 2fc   :  427 ; 
+ 2fd   :  429 ; 
+ 2fe   :  42b ; 
+ 2ff   :  42e ; 
+ 300   :  430 ; 
+ 301   :  433 ; 
+ 302   :  435 ; 
+ 303   :  438 ; 
+ 304   :  43a ; 
+ 305   :  43d ; 
+ 306   :  43f ; 
+ 307   :  441 ; 
+ 308   :  444 ; 
+ 309   :  446 ; 
+ 30a   :  449 ; 
+ 30b   :  44b ; 
+ 30c   :  44e ; 
+ 30d   :  450 ; 
+ 30e   :  453 ; 
+ 30f   :  455 ; 
+ 310   :  458 ; 
+ 311   :  45a ; 
+ 312   :  45c ; 
+ 313   :  45f ; 
+ 314   :  461 ; 
+ 315   :  464 ; 
+ 316   :  466 ; 
+ 317   :  469 ; 
+ 318   :  46b ; 
+ 319   :  46e ; 
+ 31a   :  470 ; 
+ 31b   :  473 ; 
+ 31c   :  475 ; 
+ 31d   :  478 ; 
+ 31e   :  47a ; 
+ 31f   :  47d ; 
+ 320   :  47f ; 
+ 321   :  481 ; 
+ 322   :  484 ; 
+ 323   :  486 ; 
+ 324   :  489 ; 
+ 325   :  48b ; 
+ 326   :  48e ; 
+ 327   :  490 ; 
+ 328   :  493 ; 
+ 329   :  495 ; 
+ 32a   :  498 ; 
+ 32b   :  49a ; 
+ 32c   :  49d ; 
+ 32d   :  49f ; 
+ 32e   :  4a2 ; 
+ 32f   :  4a4 ; 
+ 330   :  4a7 ; 
+ 331   :  4a9 ; 
+ 332   :  4ac ; 
+ 333   :  4ae ; 
+ 334   :  4b1 ; 
+ 335   :  4b3 ; 
+ 336   :  4b6 ; 
+ 337   :  4b8 ; 
+ 338   :  4bb ; 
+ 339   :  4bd ; 
+ 33a   :  4c0 ; 
+ 33b   :  4c2 ; 
+ 33c   :  4c4 ; 
+ 33d   :  4c7 ; 
+ 33e   :  4c9 ; 
+ 33f   :  4cc ; 
+ 340   :  4ce ; 
+ 341   :  4d1 ; 
+ 342   :  4d3 ; 
+ 343   :  4d6 ; 
+ 344   :  4d8 ; 
+ 345   :  4db ; 
+ 346   :  4dd ; 
+ 347   :  4e0 ; 
+ 348   :  4e2 ; 
+ 349   :  4e5 ; 
+ 34a   :  4e7 ; 
+ 34b   :  4ea ; 
+ 34c   :  4ec ; 
+ 34d   :  4ef ; 
+ 34e   :  4f1 ; 
+ 34f   :  4f4 ; 
+ 350   :  4f6 ; 
+ 351   :  4f9 ; 
+ 352   :  4fb ; 
+ 353   :  4fe ; 
+ 354   :  500 ; 
+ 355   :  503 ; 
+ 356   :  505 ; 
+ 357   :  508 ; 
+ 358   :  50a ; 
+ 359   :  50d ; 
+ 35a   :  50f ; 
+ 35b   :  512 ; 
+ 35c   :  514 ; 
+ 35d   :  517 ; 
+ 35e   :  519 ; 
+ 35f   :  51c ; 
+ 360   :  51e ; 
+ 361   :  521 ; 
+ 362   :  523 ; 
+ 363   :  526 ; 
+ 364   :  528 ; 
+ 365   :  52b ; 
+ 366   :  52d ; 
+ 367   :  530 ; 
+ 368   :  532 ; 
+ 369   :  535 ; 
+ 36a   :  537 ; 
+ 36b   :  53a ; 
+ 36c   :  53c ; 
+ 36d   :  53f ; 
+ 36e   :  541 ; 
+ 36f   :  544 ; 
+ 370   :  546 ; 
+ 371   :  549 ; 
+ 372   :  54b ; 
+ 373   :  54e ; 
+ 374   :  550 ; 
+ 375   :  553 ; 
+ 376   :  555 ; 
+ 377   :  557 ; 
+ 378   :  55a ; 
+ 379   :  55c ; 
+ 37a   :  55f ; 
+ 37b   :  561 ; 
+ 37c   :  564 ; 
+ 37d   :  566 ; 
+ 37e   :  569 ; 
+ 37f   :  56b ; 
+ 380   :  56e ; 
+ 381   :  570 ; 
+ 382   :  573 ; 
+ 383   :  575 ; 
+ 384   :  578 ; 
+ 385   :  57a ; 
+ 386   :  57d ; 
+ 387   :  57f ; 
+ 388   :  582 ; 
+ 389   :  584 ; 
+ 38a   :  586 ; 
+ 38b   :  589 ; 
+ 38c   :  58b ; 
+ 38d   :  58e ; 
+ 38e   :  590 ; 
+ 38f   :  593 ; 
+ 390   :  595 ; 
+ 391   :  598 ; 
+ 392   :  59a ; 
+ 393   :  59d ; 
+ 394   :  59f ; 
+ 395   :  5a2 ; 
+ 396   :  5a4 ; 
+ 397   :  5a6 ; 
+ 398   :  5a9 ; 
+ 399   :  5ab ; 
+ 39a   :  5ae ; 
+ 39b   :  5b0 ; 
+ 39c   :  5b3 ; 
+ 39d   :  5b5 ; 
+ 39e   :  5b7 ; 
+ 39f   :  5ba ; 
+ 3a0   :  5bc ; 
+ 3a1   :  5bf ; 
+ 3a2   :  5c1 ; 
+ 3a3   :  5c4 ; 
+ 3a4   :  5c6 ; 
+ 3a5   :  5c9 ; 
+ 3a6   :  5cb ; 
+ 3a7   :  5cd ; 
+ 3a8   :  5d0 ; 
+ 3a9   :  5d2 ; 
+ 3aa   :  5d5 ; 
+ 3ab   :  5d7 ; 
+ 3ac   :  5d9 ; 
+ 3ad   :  5dc ; 
+ 3ae   :  5de ; 
+ 3af   :  5e1 ; 
+ 3b0   :  5e3 ; 
+ 3b1   :  5e6 ; 
+ 3b2   :  5e8 ; 
+ 3b3   :  5ea ; 
+ 3b4   :  5ed ; 
+ 3b5   :  5ef ; 
+ 3b6   :  5f2 ; 
+ 3b7   :  5f4 ; 
+ 3b8   :  5f6 ; 
+ 3b9   :  5f9 ; 
+ 3ba   :  5fb ; 
+ 3bb   :  5fe ; 
+ 3bc   :  600 ; 
+ 3bd   :  602 ; 
+ 3be   :  605 ; 
+ 3bf   :  607 ; 
+ 3c0   :  609 ; 
+ 3c1   :  60c ; 
+ 3c2   :  60e ; 
+ 3c3   :  611 ; 
+ 3c4   :  613 ; 
+ 3c5   :  615 ; 
+ 3c6   :  618 ; 
+ 3c7   :  61a ; 
+ 3c8   :  61c ; 
+ 3c9   :  61f ; 
+ 3ca   :  621 ; 
+ 3cb   :  623 ; 
+ 3cc   :  626 ; 
+ 3cd   :  628 ; 
+ 3ce   :  62a ; 
+ 3cf   :  62d ; 
+ 3d0   :  62f ; 
+ 3d1   :  631 ; 
+ 3d2   :  634 ; 
+ 3d3   :  636 ; 
+ 3d4   :  638 ; 
+ 3d5   :  63b ; 
+ 3d6   :  63d ; 
+ 3d7   :  63f ; 
+ 3d8   :  642 ; 
+ 3d9   :  644 ; 
+ 3da   :  646 ; 
+ 3db   :  649 ; 
+ 3dc   :  64b ; 
+ 3dd   :  64d ; 
+ 3de   :  650 ; 
+ 3df   :  652 ; 
+ 3e0   :  654 ; 
+ 3e1   :  656 ; 
+ 3e2   :  659 ; 
+ 3e3   :  65b ; 
+ 3e4   :  65d ; 
+ 3e5   :  660 ; 
+ 3e6   :  662 ; 
+ 3e7   :  664 ; 
+ 3e8   :  666 ; 
+ 3e9   :  669 ; 
+ 3ea   :  66b ; 
+ 3eb   :  66d ; 
+ 3ec   :  66f ; 
+ 3ed   :  672 ; 
+ 3ee   :  674 ; 
+ 3ef   :  676 ; 
+ 3f0   :  678 ; 
+ 3f1   :  67b ; 
+ 3f2   :  67d ; 
+ 3f3   :  67f ; 
+ 3f4   :  681 ; 
+ 3f5   :  684 ; 
+ 3f6   :  686 ; 
+ 3f7   :  688 ; 
+ 3f8   :  68a ; 
+ 3f9   :  68d ; 
+ 3fa   :  68f ; 
+ 3fb   :  691 ; 
+ 3fc   :  693 ; 
+ 3fd   :  695 ; 
+ 3fe   :  698 ; 
+ 3ff   :  69a ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_2.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_2.mif
new file mode 100644
index 0000000000000000000000000000000000000000..c98595d9a7ad942cf4c110024aaf3e939040647d
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_2.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  12b ; 
+ 1   :  11e ; 
+ 2   :  111 ; 
+ 3   :  104 ; 
+ 4   :  f7 ; 
+ 5   :  ea ; 
+ 6   :  dd ; 
+ 7   :  d0 ; 
+ 8   :  c3 ; 
+ 9   :  b6 ; 
+ a   :  a9 ; 
+ b   :  9b ; 
+ c   :  8e ; 
+ d   :  81 ; 
+ e   :  74 ; 
+ f   :  67 ; 
+ 10   :  5a ; 
+ 11   :  4d ; 
+ 12   :  40 ; 
+ 13   :  34 ; 
+ 14   :  27 ; 
+ 15   :  1a ; 
+ 16   :  d ; 
+ 17   :  0 ; 
+ 18   :  3fff3 ; 
+ 19   :  3ffe6 ; 
+ 1a   :  3ffd9 ; 
+ 1b   :  3ffcc ; 
+ 1c   :  3ffbf ; 
+ 1d   :  3ffb3 ; 
+ 1e   :  3ffa6 ; 
+ 1f   :  3ff99 ; 
+ 20   :  3ff8c ; 
+ 21   :  3ff7f ; 
+ 22   :  3ff73 ; 
+ 23   :  3ff66 ; 
+ 24   :  3ff59 ; 
+ 25   :  3ff4c ; 
+ 26   :  3ff3f ; 
+ 27   :  3ff33 ; 
+ 28   :  3ff26 ; 
+ 29   :  3ff19 ; 
+ 2a   :  3ff0d ; 
+ 2b   :  3ff00 ; 
+ 2c   :  3fef3 ; 
+ 2d   :  3fee7 ; 
+ 2e   :  3feda ; 
+ 2f   :  3fecd ; 
+ 30   :  3fec1 ; 
+ 31   :  3feb4 ; 
+ 32   :  3fea8 ; 
+ 33   :  3fe9b ; 
+ 34   :  3fe8f ; 
+ 35   :  3fe82 ; 
+ 36   :  3fe75 ; 
+ 37   :  3fe69 ; 
+ 38   :  3fe5c ; 
+ 39   :  3fe50 ; 
+ 3a   :  3fe44 ; 
+ 3b   :  3fe37 ; 
+ 3c   :  3fe2b ; 
+ 3d   :  3fe1e ; 
+ 3e   :  3fe12 ; 
+ 3f   :  3fe05 ; 
+ 40   :  3fdf9 ; 
+ 41   :  3fded ; 
+ 42   :  3fde0 ; 
+ 43   :  3fdd4 ; 
+ 44   :  3fdc8 ; 
+ 45   :  3fdbb ; 
+ 46   :  3fdaf ; 
+ 47   :  3fda3 ; 
+ 48   :  3fd97 ; 
+ 49   :  3fd8a ; 
+ 4a   :  3fd7e ; 
+ 4b   :  3fd72 ; 
+ 4c   :  3fd66 ; 
+ 4d   :  3fd5a ; 
+ 4e   :  3fd4d ; 
+ 4f   :  3fd41 ; 
+ 50   :  3fd35 ; 
+ 51   :  3fd29 ; 
+ 52   :  3fd1d ; 
+ 53   :  3fd11 ; 
+ 54   :  3fd05 ; 
+ 55   :  3fcf9 ; 
+ 56   :  3fced ; 
+ 57   :  3fce1 ; 
+ 58   :  3fcd5 ; 
+ 59   :  3fcc9 ; 
+ 5a   :  3fcbd ; 
+ 5b   :  3fcb1 ; 
+ 5c   :  3fca5 ; 
+ 5d   :  3fc99 ; 
+ 5e   :  3fc8d ; 
+ 5f   :  3fc81 ; 
+ 60   :  3fc75 ; 
+ 61   :  3fc6a ; 
+ 62   :  3fc5e ; 
+ 63   :  3fc52 ; 
+ 64   :  3fc46 ; 
+ 65   :  3fc3a ; 
+ 66   :  3fc2f ; 
+ 67   :  3fc23 ; 
+ 68   :  3fc17 ; 
+ 69   :  3fc0c ; 
+ 6a   :  3fc00 ; 
+ 6b   :  3fbf4 ; 
+ 6c   :  3fbe9 ; 
+ 6d   :  3fbdd ; 
+ 6e   :  3fbd1 ; 
+ 6f   :  3fbc6 ; 
+ 70   :  3fbba ; 
+ 71   :  3fbaf ; 
+ 72   :  3fba3 ; 
+ 73   :  3fb98 ; 
+ 74   :  3fb8c ; 
+ 75   :  3fb81 ; 
+ 76   :  3fb75 ; 
+ 77   :  3fb6a ; 
+ 78   :  3fb5e ; 
+ 79   :  3fb53 ; 
+ 7a   :  3fb48 ; 
+ 7b   :  3fb3c ; 
+ 7c   :  3fb31 ; 
+ 7d   :  3fb26 ; 
+ 7e   :  3fb1a ; 
+ 7f   :  3fb0f ; 
+ 80   :  3fb04 ; 
+ 81   :  3faf9 ; 
+ 82   :  3faee ; 
+ 83   :  3fae2 ; 
+ 84   :  3fad7 ; 
+ 85   :  3facc ; 
+ 86   :  3fac1 ; 
+ 87   :  3fab6 ; 
+ 88   :  3faab ; 
+ 89   :  3faa0 ; 
+ 8a   :  3fa95 ; 
+ 8b   :  3fa8a ; 
+ 8c   :  3fa7f ; 
+ 8d   :  3fa74 ; 
+ 8e   :  3fa69 ; 
+ 8f   :  3fa5e ; 
+ 90   :  3fa53 ; 
+ 91   :  3fa48 ; 
+ 92   :  3fa3d ; 
+ 93   :  3fa32 ; 
+ 94   :  3fa28 ; 
+ 95   :  3fa1d ; 
+ 96   :  3fa12 ; 
+ 97   :  3fa07 ; 
+ 98   :  3f9fc ; 
+ 99   :  3f9f2 ; 
+ 9a   :  3f9e7 ; 
+ 9b   :  3f9dc ; 
+ 9c   :  3f9d2 ; 
+ 9d   :  3f9c7 ; 
+ 9e   :  3f9bd ; 
+ 9f   :  3f9b2 ; 
+ a0   :  3f9a7 ; 
+ a1   :  3f99d ; 
+ a2   :  3f992 ; 
+ a3   :  3f988 ; 
+ a4   :  3f97d ; 
+ a5   :  3f973 ; 
+ a6   :  3f969 ; 
+ a7   :  3f95e ; 
+ a8   :  3f954 ; 
+ a9   :  3f949 ; 
+ aa   :  3f93f ; 
+ ab   :  3f935 ; 
+ ac   :  3f92b ; 
+ ad   :  3f920 ; 
+ ae   :  3f916 ; 
+ af   :  3f90c ; 
+ b0   :  3f902 ; 
+ b1   :  3f8f7 ; 
+ b2   :  3f8ed ; 
+ b3   :  3f8e3 ; 
+ b4   :  3f8d9 ; 
+ b5   :  3f8cf ; 
+ b6   :  3f8c5 ; 
+ b7   :  3f8bb ; 
+ b8   :  3f8b1 ; 
+ b9   :  3f8a7 ; 
+ ba   :  3f89d ; 
+ bb   :  3f893 ; 
+ bc   :  3f889 ; 
+ bd   :  3f87f ; 
+ be   :  3f876 ; 
+ bf   :  3f86c ; 
+ c0   :  3f862 ; 
+ c1   :  3f858 ; 
+ c2   :  3f84e ; 
+ c3   :  3f845 ; 
+ c4   :  3f83b ; 
+ c5   :  3f831 ; 
+ c6   :  3f828 ; 
+ c7   :  3f81e ; 
+ c8   :  3f814 ; 
+ c9   :  3f80b ; 
+ ca   :  3f801 ; 
+ cb   :  3f7f8 ; 
+ cc   :  3f7ee ; 
+ cd   :  3f7e5 ; 
+ ce   :  3f7db ; 
+ cf   :  3f7d2 ; 
+ d0   :  3f7c9 ; 
+ d1   :  3f7bf ; 
+ d2   :  3f7b6 ; 
+ d3   :  3f7ad ; 
+ d4   :  3f7a3 ; 
+ d5   :  3f79a ; 
+ d6   :  3f791 ; 
+ d7   :  3f788 ; 
+ d8   :  3f77e ; 
+ d9   :  3f775 ; 
+ da   :  3f76c ; 
+ db   :  3f763 ; 
+ dc   :  3f75a ; 
+ dd   :  3f751 ; 
+ de   :  3f748 ; 
+ df   :  3f73f ; 
+ e0   :  3f736 ; 
+ e1   :  3f72d ; 
+ e2   :  3f724 ; 
+ e3   :  3f71b ; 
+ e4   :  3f712 ; 
+ e5   :  3f709 ; 
+ e6   :  3f700 ; 
+ e7   :  3f6f8 ; 
+ e8   :  3f6ef ; 
+ e9   :  3f6e6 ; 
+ ea   :  3f6dd ; 
+ eb   :  3f6d5 ; 
+ ec   :  3f6cc ; 
+ ed   :  3f6c3 ; 
+ ee   :  3f6bb ; 
+ ef   :  3f6b2 ; 
+ f0   :  3f6aa ; 
+ f1   :  3f6a1 ; 
+ f2   :  3f699 ; 
+ f3   :  3f690 ; 
+ f4   :  3f688 ; 
+ f5   :  3f67f ; 
+ f6   :  3f677 ; 
+ f7   :  3f66e ; 
+ f8   :  3f666 ; 
+ f9   :  3f65e ; 
+ fa   :  3f656 ; 
+ fb   :  3f64d ; 
+ fc   :  3f645 ; 
+ fd   :  3f63d ; 
+ fe   :  3f635 ; 
+ ff   :  3f62d ; 
+ 100   :  3f624 ; 
+ 101   :  3f61c ; 
+ 102   :  3f614 ; 
+ 103   :  3f60c ; 
+ 104   :  3f604 ; 
+ 105   :  3f5fc ; 
+ 106   :  3f5f4 ; 
+ 107   :  3f5ec ; 
+ 108   :  3f5e4 ; 
+ 109   :  3f5dd ; 
+ 10a   :  3f5d5 ; 
+ 10b   :  3f5cd ; 
+ 10c   :  3f5c5 ; 
+ 10d   :  3f5bd ; 
+ 10e   :  3f5b6 ; 
+ 10f   :  3f5ae ; 
+ 110   :  3f5a6 ; 
+ 111   :  3f59f ; 
+ 112   :  3f597 ; 
+ 113   :  3f58f ; 
+ 114   :  3f588 ; 
+ 115   :  3f580 ; 
+ 116   :  3f579 ; 
+ 117   :  3f571 ; 
+ 118   :  3f56a ; 
+ 119   :  3f563 ; 
+ 11a   :  3f55b ; 
+ 11b   :  3f554 ; 
+ 11c   :  3f54c ; 
+ 11d   :  3f545 ; 
+ 11e   :  3f53e ; 
+ 11f   :  3f537 ; 
+ 120   :  3f52f ; 
+ 121   :  3f528 ; 
+ 122   :  3f521 ; 
+ 123   :  3f51a ; 
+ 124   :  3f513 ; 
+ 125   :  3f50c ; 
+ 126   :  3f505 ; 
+ 127   :  3f4fe ; 
+ 128   :  3f4f7 ; 
+ 129   :  3f4f0 ; 
+ 12a   :  3f4e9 ; 
+ 12b   :  3f4e2 ; 
+ 12c   :  3f4db ; 
+ 12d   :  3f4d4 ; 
+ 12e   :  3f4cd ; 
+ 12f   :  3f4c7 ; 
+ 130   :  3f4c0 ; 
+ 131   :  3f4b9 ; 
+ 132   :  3f4b3 ; 
+ 133   :  3f4ac ; 
+ 134   :  3f4a5 ; 
+ 135   :  3f49f ; 
+ 136   :  3f498 ; 
+ 137   :  3f492 ; 
+ 138   :  3f48b ; 
+ 139   :  3f485 ; 
+ 13a   :  3f47e ; 
+ 13b   :  3f478 ; 
+ 13c   :  3f471 ; 
+ 13d   :  3f46b ; 
+ 13e   :  3f465 ; 
+ 13f   :  3f45e ; 
+ 140   :  3f458 ; 
+ 141   :  3f452 ; 
+ 142   :  3f44b ; 
+ 143   :  3f445 ; 
+ 144   :  3f43f ; 
+ 145   :  3f439 ; 
+ 146   :  3f433 ; 
+ 147   :  3f42d ; 
+ 148   :  3f427 ; 
+ 149   :  3f421 ; 
+ 14a   :  3f41b ; 
+ 14b   :  3f415 ; 
+ 14c   :  3f40f ; 
+ 14d   :  3f409 ; 
+ 14e   :  3f403 ; 
+ 14f   :  3f3fd ; 
+ 150   :  3f3f8 ; 
+ 151   :  3f3f2 ; 
+ 152   :  3f3ec ; 
+ 153   :  3f3e6 ; 
+ 154   :  3f3e1 ; 
+ 155   :  3f3db ; 
+ 156   :  3f3d5 ; 
+ 157   :  3f3d0 ; 
+ 158   :  3f3ca ; 
+ 159   :  3f3c5 ; 
+ 15a   :  3f3bf ; 
+ 15b   :  3f3ba ; 
+ 15c   :  3f3b4 ; 
+ 15d   :  3f3af ; 
+ 15e   :  3f3a9 ; 
+ 15f   :  3f3a4 ; 
+ 160   :  3f39f ; 
+ 161   :  3f39a ; 
+ 162   :  3f394 ; 
+ 163   :  3f38f ; 
+ 164   :  3f38a ; 
+ 165   :  3f385 ; 
+ 166   :  3f380 ; 
+ 167   :  3f37a ; 
+ 168   :  3f375 ; 
+ 169   :  3f370 ; 
+ 16a   :  3f36b ; 
+ 16b   :  3f366 ; 
+ 16c   :  3f361 ; 
+ 16d   :  3f35c ; 
+ 16e   :  3f357 ; 
+ 16f   :  3f353 ; 
+ 170   :  3f34e ; 
+ 171   :  3f349 ; 
+ 172   :  3f344 ; 
+ 173   :  3f33f ; 
+ 174   :  3f33b ; 
+ 175   :  3f336 ; 
+ 176   :  3f331 ; 
+ 177   :  3f32d ; 
+ 178   :  3f328 ; 
+ 179   :  3f324 ; 
+ 17a   :  3f31f ; 
+ 17b   :  3f31b ; 
+ 17c   :  3f316 ; 
+ 17d   :  3f312 ; 
+ 17e   :  3f30d ; 
+ 17f   :  3f309 ; 
+ 180   :  3f305 ; 
+ 181   :  3f300 ; 
+ 182   :  3f2fc ; 
+ 183   :  3f2f8 ; 
+ 184   :  3f2f3 ; 
+ 185   :  3f2ef ; 
+ 186   :  3f2eb ; 
+ 187   :  3f2e7 ; 
+ 188   :  3f2e3 ; 
+ 189   :  3f2df ; 
+ 18a   :  3f2db ; 
+ 18b   :  3f2d7 ; 
+ 18c   :  3f2d3 ; 
+ 18d   :  3f2cf ; 
+ 18e   :  3f2cb ; 
+ 18f   :  3f2c7 ; 
+ 190   :  3f2c3 ; 
+ 191   :  3f2bf ; 
+ 192   :  3f2bb ; 
+ 193   :  3f2b8 ; 
+ 194   :  3f2b4 ; 
+ 195   :  3f2b0 ; 
+ 196   :  3f2ad ; 
+ 197   :  3f2a9 ; 
+ 198   :  3f2a5 ; 
+ 199   :  3f2a2 ; 
+ 19a   :  3f29e ; 
+ 19b   :  3f29b ; 
+ 19c   :  3f297 ; 
+ 19d   :  3f294 ; 
+ 19e   :  3f290 ; 
+ 19f   :  3f28d ; 
+ 1a0   :  3f289 ; 
+ 1a1   :  3f286 ; 
+ 1a2   :  3f283 ; 
+ 1a3   :  3f27f ; 
+ 1a4   :  3f27c ; 
+ 1a5   :  3f279 ; 
+ 1a6   :  3f276 ; 
+ 1a7   :  3f273 ; 
+ 1a8   :  3f26f ; 
+ 1a9   :  3f26c ; 
+ 1aa   :  3f269 ; 
+ 1ab   :  3f266 ; 
+ 1ac   :  3f263 ; 
+ 1ad   :  3f260 ; 
+ 1ae   :  3f25d ; 
+ 1af   :  3f25a ; 
+ 1b0   :  3f258 ; 
+ 1b1   :  3f255 ; 
+ 1b2   :  3f252 ; 
+ 1b3   :  3f24f ; 
+ 1b4   :  3f24c ; 
+ 1b5   :  3f24a ; 
+ 1b6   :  3f247 ; 
+ 1b7   :  3f244 ; 
+ 1b8   :  3f241 ; 
+ 1b9   :  3f23f ; 
+ 1ba   :  3f23c ; 
+ 1bb   :  3f23a ; 
+ 1bc   :  3f237 ; 
+ 1bd   :  3f235 ; 
+ 1be   :  3f232 ; 
+ 1bf   :  3f230 ; 
+ 1c0   :  3f22d ; 
+ 1c1   :  3f22b ; 
+ 1c2   :  3f229 ; 
+ 1c3   :  3f226 ; 
+ 1c4   :  3f224 ; 
+ 1c5   :  3f222 ; 
+ 1c6   :  3f220 ; 
+ 1c7   :  3f21d ; 
+ 1c8   :  3f21b ; 
+ 1c9   :  3f219 ; 
+ 1ca   :  3f217 ; 
+ 1cb   :  3f215 ; 
+ 1cc   :  3f213 ; 
+ 1cd   :  3f211 ; 
+ 1ce   :  3f20f ; 
+ 1cf   :  3f20d ; 
+ 1d0   :  3f20b ; 
+ 1d1   :  3f209 ; 
+ 1d2   :  3f207 ; 
+ 1d3   :  3f205 ; 
+ 1d4   :  3f204 ; 
+ 1d5   :  3f202 ; 
+ 1d6   :  3f200 ; 
+ 1d7   :  3f1fe ; 
+ 1d8   :  3f1fd ; 
+ 1d9   :  3f1fb ; 
+ 1da   :  3f1f9 ; 
+ 1db   :  3f1f8 ; 
+ 1dc   :  3f1f6 ; 
+ 1dd   :  3f1f5 ; 
+ 1de   :  3f1f3 ; 
+ 1df   :  3f1f2 ; 
+ 1e0   :  3f1f0 ; 
+ 1e1   :  3f1ef ; 
+ 1e2   :  3f1ed ; 
+ 1e3   :  3f1ec ; 
+ 1e4   :  3f1eb ; 
+ 1e5   :  3f1e9 ; 
+ 1e6   :  3f1e8 ; 
+ 1e7   :  3f1e7 ; 
+ 1e8   :  3f1e6 ; 
+ 1e9   :  3f1e4 ; 
+ 1ea   :  3f1e3 ; 
+ 1eb   :  3f1e2 ; 
+ 1ec   :  3f1e1 ; 
+ 1ed   :  3f1e0 ; 
+ 1ee   :  3f1df ; 
+ 1ef   :  3f1de ; 
+ 1f0   :  3f1dd ; 
+ 1f1   :  3f1dc ; 
+ 1f2   :  3f1db ; 
+ 1f3   :  3f1da ; 
+ 1f4   :  3f1d9 ; 
+ 1f5   :  3f1d8 ; 
+ 1f6   :  3f1d8 ; 
+ 1f7   :  3f1d7 ; 
+ 1f8   :  3f1d6 ; 
+ 1f9   :  3f1d5 ; 
+ 1fa   :  3f1d5 ; 
+ 1fb   :  3f1d4 ; 
+ 1fc   :  3f1d3 ; 
+ 1fd   :  3f1d3 ; 
+ 1fe   :  3f1d2 ; 
+ 1ff   :  3f1d2 ; 
+ 200   :  3f1d1 ; 
+ 201   :  3f1d1 ; 
+ 202   :  3f1d0 ; 
+ 203   :  3f1d0 ; 
+ 204   :  3f1cf ; 
+ 205   :  3f1cf ; 
+ 206   :  3f1cf ; 
+ 207   :  3f1ce ; 
+ 208   :  3f1ce ; 
+ 209   :  3f1ce ; 
+ 20a   :  3f1ce ; 
+ 20b   :  3f1cd ; 
+ 20c   :  3f1cd ; 
+ 20d   :  3f1cd ; 
+ 20e   :  3f1cd ; 
+ 20f   :  3f1cd ; 
+ 210   :  3f1cd ; 
+ 211   :  3f1cd ; 
+ 212   :  3f1cd ; 
+ 213   :  3f1cd ; 
+ 214   :  3f1cd ; 
+ 215   :  3f1cd ; 
+ 216   :  3f1cd ; 
+ 217   :  3f1cd ; 
+ 218   :  3f1cd ; 
+ 219   :  3f1ce ; 
+ 21a   :  3f1ce ; 
+ 21b   :  3f1ce ; 
+ 21c   :  3f1ce ; 
+ 21d   :  3f1cf ; 
+ 21e   :  3f1cf ; 
+ 21f   :  3f1cf ; 
+ 220   :  3f1d0 ; 
+ 221   :  3f1d0 ; 
+ 222   :  3f1d1 ; 
+ 223   :  3f1d1 ; 
+ 224   :  3f1d2 ; 
+ 225   :  3f1d2 ; 
+ 226   :  3f1d3 ; 
+ 227   :  3f1d3 ; 
+ 228   :  3f1d4 ; 
+ 229   :  3f1d5 ; 
+ 22a   :  3f1d5 ; 
+ 22b   :  3f1d6 ; 
+ 22c   :  3f1d7 ; 
+ 22d   :  3f1d7 ; 
+ 22e   :  3f1d8 ; 
+ 22f   :  3f1d9 ; 
+ 230   :  3f1da ; 
+ 231   :  3f1db ; 
+ 232   :  3f1dc ; 
+ 233   :  3f1dd ; 
+ 234   :  3f1dd ; 
+ 235   :  3f1de ; 
+ 236   :  3f1df ; 
+ 237   :  3f1e0 ; 
+ 238   :  3f1e1 ; 
+ 239   :  3f1e3 ; 
+ 23a   :  3f1e4 ; 
+ 23b   :  3f1e5 ; 
+ 23c   :  3f1e6 ; 
+ 23d   :  3f1e7 ; 
+ 23e   :  3f1e8 ; 
+ 23f   :  3f1ea ; 
+ 240   :  3f1eb ; 
+ 241   :  3f1ec ; 
+ 242   :  3f1ed ; 
+ 243   :  3f1ef ; 
+ 244   :  3f1f0 ; 
+ 245   :  3f1f2 ; 
+ 246   :  3f1f3 ; 
+ 247   :  3f1f4 ; 
+ 248   :  3f1f6 ; 
+ 249   :  3f1f7 ; 
+ 24a   :  3f1f9 ; 
+ 24b   :  3f1fb ; 
+ 24c   :  3f1fc ; 
+ 24d   :  3f1fe ; 
+ 24e   :  3f1ff ; 
+ 24f   :  3f201 ; 
+ 250   :  3f203 ; 
+ 251   :  3f204 ; 
+ 252   :  3f206 ; 
+ 253   :  3f208 ; 
+ 254   :  3f20a ; 
+ 255   :  3f20c ; 
+ 256   :  3f20d ; 
+ 257   :  3f20f ; 
+ 258   :  3f211 ; 
+ 259   :  3f213 ; 
+ 25a   :  3f215 ; 
+ 25b   :  3f217 ; 
+ 25c   :  3f219 ; 
+ 25d   :  3f21b ; 
+ 25e   :  3f21d ; 
+ 25f   :  3f21f ; 
+ 260   :  3f221 ; 
+ 261   :  3f223 ; 
+ 262   :  3f225 ; 
+ 263   :  3f228 ; 
+ 264   :  3f22a ; 
+ 265   :  3f22c ; 
+ 266   :  3f22e ; 
+ 267   :  3f231 ; 
+ 268   :  3f233 ; 
+ 269   :  3f235 ; 
+ 26a   :  3f238 ; 
+ 26b   :  3f23a ; 
+ 26c   :  3f23c ; 
+ 26d   :  3f23f ; 
+ 26e   :  3f241 ; 
+ 26f   :  3f244 ; 
+ 270   :  3f246 ; 
+ 271   :  3f249 ; 
+ 272   :  3f24b ; 
+ 273   :  3f24e ; 
+ 274   :  3f250 ; 
+ 275   :  3f253 ; 
+ 276   :  3f256 ; 
+ 277   :  3f258 ; 
+ 278   :  3f25b ; 
+ 279   :  3f25e ; 
+ 27a   :  3f260 ; 
+ 27b   :  3f263 ; 
+ 27c   :  3f266 ; 
+ 27d   :  3f269 ; 
+ 27e   :  3f26c ; 
+ 27f   :  3f26e ; 
+ 280   :  3f271 ; 
+ 281   :  3f274 ; 
+ 282   :  3f277 ; 
+ 283   :  3f27a ; 
+ 284   :  3f27d ; 
+ 285   :  3f280 ; 
+ 286   :  3f283 ; 
+ 287   :  3f286 ; 
+ 288   :  3f289 ; 
+ 289   :  3f28c ; 
+ 28a   :  3f290 ; 
+ 28b   :  3f293 ; 
+ 28c   :  3f296 ; 
+ 28d   :  3f299 ; 
+ 28e   :  3f29c ; 
+ 28f   :  3f29f ; 
+ 290   :  3f2a3 ; 
+ 291   :  3f2a6 ; 
+ 292   :  3f2a9 ; 
+ 293   :  3f2ad ; 
+ 294   :  3f2b0 ; 
+ 295   :  3f2b3 ; 
+ 296   :  3f2b7 ; 
+ 297   :  3f2ba ; 
+ 298   :  3f2be ; 
+ 299   :  3f2c1 ; 
+ 29a   :  3f2c5 ; 
+ 29b   :  3f2c8 ; 
+ 29c   :  3f2cc ; 
+ 29d   :  3f2cf ; 
+ 29e   :  3f2d3 ; 
+ 29f   :  3f2d6 ; 
+ 2a0   :  3f2da ; 
+ 2a1   :  3f2de ; 
+ 2a2   :  3f2e1 ; 
+ 2a3   :  3f2e5 ; 
+ 2a4   :  3f2e9 ; 
+ 2a5   :  3f2ec ; 
+ 2a6   :  3f2f0 ; 
+ 2a7   :  3f2f4 ; 
+ 2a8   :  3f2f8 ; 
+ 2a9   :  3f2fb ; 
+ 2aa   :  3f2ff ; 
+ 2ab   :  3f303 ; 
+ 2ac   :  3f307 ; 
+ 2ad   :  3f30b ; 
+ 2ae   :  3f30f ; 
+ 2af   :  3f313 ; 
+ 2b0   :  3f317 ; 
+ 2b1   :  3f31b ; 
+ 2b2   :  3f31f ; 
+ 2b3   :  3f323 ; 
+ 2b4   :  3f327 ; 
+ 2b5   :  3f32b ; 
+ 2b6   :  3f32f ; 
+ 2b7   :  3f333 ; 
+ 2b8   :  3f337 ; 
+ 2b9   :  3f33b ; 
+ 2ba   :  3f340 ; 
+ 2bb   :  3f344 ; 
+ 2bc   :  3f348 ; 
+ 2bd   :  3f34c ; 
+ 2be   :  3f350 ; 
+ 2bf   :  3f355 ; 
+ 2c0   :  3f359 ; 
+ 2c1   :  3f35d ; 
+ 2c2   :  3f362 ; 
+ 2c3   :  3f366 ; 
+ 2c4   :  3f36a ; 
+ 2c5   :  3f36f ; 
+ 2c6   :  3f373 ; 
+ 2c7   :  3f378 ; 
+ 2c8   :  3f37c ; 
+ 2c9   :  3f381 ; 
+ 2ca   :  3f385 ; 
+ 2cb   :  3f38a ; 
+ 2cc   :  3f38e ; 
+ 2cd   :  3f393 ; 
+ 2ce   :  3f397 ; 
+ 2cf   :  3f39c ; 
+ 2d0   :  3f3a0 ; 
+ 2d1   :  3f3a5 ; 
+ 2d2   :  3f3aa ; 
+ 2d3   :  3f3ae ; 
+ 2d4   :  3f3b3 ; 
+ 2d5   :  3f3b8 ; 
+ 2d6   :  3f3bd ; 
+ 2d7   :  3f3c1 ; 
+ 2d8   :  3f3c6 ; 
+ 2d9   :  3f3cb ; 
+ 2da   :  3f3d0 ; 
+ 2db   :  3f3d4 ; 
+ 2dc   :  3f3d9 ; 
+ 2dd   :  3f3de ; 
+ 2de   :  3f3e3 ; 
+ 2df   :  3f3e8 ; 
+ 2e0   :  3f3ed ; 
+ 2e1   :  3f3f2 ; 
+ 2e2   :  3f3f7 ; 
+ 2e3   :  3f3fc ; 
+ 2e4   :  3f401 ; 
+ 2e5   :  3f406 ; 
+ 2e6   :  3f40b ; 
+ 2e7   :  3f410 ; 
+ 2e8   :  3f415 ; 
+ 2e9   :  3f41a ; 
+ 2ea   :  3f41f ; 
+ 2eb   :  3f424 ; 
+ 2ec   :  3f429 ; 
+ 2ed   :  3f42e ; 
+ 2ee   :  3f434 ; 
+ 2ef   :  3f439 ; 
+ 2f0   :  3f43e ; 
+ 2f1   :  3f443 ; 
+ 2f2   :  3f448 ; 
+ 2f3   :  3f44e ; 
+ 2f4   :  3f453 ; 
+ 2f5   :  3f458 ; 
+ 2f6   :  3f45e ; 
+ 2f7   :  3f463 ; 
+ 2f8   :  3f468 ; 
+ 2f9   :  3f46e ; 
+ 2fa   :  3f473 ; 
+ 2fb   :  3f478 ; 
+ 2fc   :  3f47e ; 
+ 2fd   :  3f483 ; 
+ 2fe   :  3f489 ; 
+ 2ff   :  3f48e ; 
+ 300   :  3f494 ; 
+ 301   :  3f499 ; 
+ 302   :  3f49f ; 
+ 303   :  3f4a4 ; 
+ 304   :  3f4aa ; 
+ 305   :  3f4af ; 
+ 306   :  3f4b5 ; 
+ 307   :  3f4ba ; 
+ 308   :  3f4c0 ; 
+ 309   :  3f4c6 ; 
+ 30a   :  3f4cb ; 
+ 30b   :  3f4d1 ; 
+ 30c   :  3f4d7 ; 
+ 30d   :  3f4dc ; 
+ 30e   :  3f4e2 ; 
+ 30f   :  3f4e8 ; 
+ 310   :  3f4ed ; 
+ 311   :  3f4f3 ; 
+ 312   :  3f4f9 ; 
+ 313   :  3f4ff ; 
+ 314   :  3f504 ; 
+ 315   :  3f50a ; 
+ 316   :  3f510 ; 
+ 317   :  3f516 ; 
+ 318   :  3f51c ; 
+ 319   :  3f522 ; 
+ 31a   :  3f528 ; 
+ 31b   :  3f52d ; 
+ 31c   :  3f533 ; 
+ 31d   :  3f539 ; 
+ 31e   :  3f53f ; 
+ 31f   :  3f545 ; 
+ 320   :  3f54b ; 
+ 321   :  3f551 ; 
+ 322   :  3f557 ; 
+ 323   :  3f55d ; 
+ 324   :  3f563 ; 
+ 325   :  3f569 ; 
+ 326   :  3f56f ; 
+ 327   :  3f575 ; 
+ 328   :  3f57b ; 
+ 329   :  3f582 ; 
+ 32a   :  3f588 ; 
+ 32b   :  3f58e ; 
+ 32c   :  3f594 ; 
+ 32d   :  3f59a ; 
+ 32e   :  3f5a0 ; 
+ 32f   :  3f5a6 ; 
+ 330   :  3f5ad ; 
+ 331   :  3f5b3 ; 
+ 332   :  3f5b9 ; 
+ 333   :  3f5bf ; 
+ 334   :  3f5c6 ; 
+ 335   :  3f5cc ; 
+ 336   :  3f5d2 ; 
+ 337   :  3f5d8 ; 
+ 338   :  3f5df ; 
+ 339   :  3f5e5 ; 
+ 33a   :  3f5eb ; 
+ 33b   :  3f5f2 ; 
+ 33c   :  3f5f8 ; 
+ 33d   :  3f5fe ; 
+ 33e   :  3f605 ; 
+ 33f   :  3f60b ; 
+ 340   :  3f611 ; 
+ 341   :  3f618 ; 
+ 342   :  3f61e ; 
+ 343   :  3f625 ; 
+ 344   :  3f62b ; 
+ 345   :  3f632 ; 
+ 346   :  3f638 ; 
+ 347   :  3f63f ; 
+ 348   :  3f645 ; 
+ 349   :  3f64c ; 
+ 34a   :  3f652 ; 
+ 34b   :  3f659 ; 
+ 34c   :  3f65f ; 
+ 34d   :  3f666 ; 
+ 34e   :  3f66c ; 
+ 34f   :  3f673 ; 
+ 350   :  3f67a ; 
+ 351   :  3f680 ; 
+ 352   :  3f687 ; 
+ 353   :  3f68d ; 
+ 354   :  3f694 ; 
+ 355   :  3f69b ; 
+ 356   :  3f6a1 ; 
+ 357   :  3f6a8 ; 
+ 358   :  3f6af ; 
+ 359   :  3f6b5 ; 
+ 35a   :  3f6bc ; 
+ 35b   :  3f6c3 ; 
+ 35c   :  3f6c9 ; 
+ 35d   :  3f6d0 ; 
+ 35e   :  3f6d7 ; 
+ 35f   :  3f6de ; 
+ 360   :  3f6e4 ; 
+ 361   :  3f6eb ; 
+ 362   :  3f6f2 ; 
+ 363   :  3f6f9 ; 
+ 364   :  3f700 ; 
+ 365   :  3f706 ; 
+ 366   :  3f70d ; 
+ 367   :  3f714 ; 
+ 368   :  3f71b ; 
+ 369   :  3f722 ; 
+ 36a   :  3f729 ; 
+ 36b   :  3f730 ; 
+ 36c   :  3f736 ; 
+ 36d   :  3f73d ; 
+ 36e   :  3f744 ; 
+ 36f   :  3f74b ; 
+ 370   :  3f752 ; 
+ 371   :  3f759 ; 
+ 372   :  3f760 ; 
+ 373   :  3f767 ; 
+ 374   :  3f76e ; 
+ 375   :  3f775 ; 
+ 376   :  3f77c ; 
+ 377   :  3f783 ; 
+ 378   :  3f78a ; 
+ 379   :  3f791 ; 
+ 37a   :  3f798 ; 
+ 37b   :  3f79f ; 
+ 37c   :  3f7a6 ; 
+ 37d   :  3f7ad ; 
+ 37e   :  3f7b4 ; 
+ 37f   :  3f7bb ; 
+ 380   :  3f7c2 ; 
+ 381   :  3f7c9 ; 
+ 382   :  3f7d0 ; 
+ 383   :  3f7d7 ; 
+ 384   :  3f7de ; 
+ 385   :  3f7e5 ; 
+ 386   :  3f7ec ; 
+ 387   :  3f7f4 ; 
+ 388   :  3f7fb ; 
+ 389   :  3f802 ; 
+ 38a   :  3f809 ; 
+ 38b   :  3f810 ; 
+ 38c   :  3f817 ; 
+ 38d   :  3f81e ; 
+ 38e   :  3f826 ; 
+ 38f   :  3f82d ; 
+ 390   :  3f834 ; 
+ 391   :  3f83b ; 
+ 392   :  3f842 ; 
+ 393   :  3f84a ; 
+ 394   :  3f851 ; 
+ 395   :  3f858 ; 
+ 396   :  3f85f ; 
+ 397   :  3f866 ; 
+ 398   :  3f86e ; 
+ 399   :  3f875 ; 
+ 39a   :  3f87c ; 
+ 39b   :  3f883 ; 
+ 39c   :  3f88b ; 
+ 39d   :  3f892 ; 
+ 39e   :  3f899 ; 
+ 39f   :  3f8a1 ; 
+ 3a0   :  3f8a8 ; 
+ 3a1   :  3f8af ; 
+ 3a2   :  3f8b6 ; 
+ 3a3   :  3f8be ; 
+ 3a4   :  3f8c5 ; 
+ 3a5   :  3f8cc ; 
+ 3a6   :  3f8d4 ; 
+ 3a7   :  3f8db ; 
+ 3a8   :  3f8e2 ; 
+ 3a9   :  3f8ea ; 
+ 3aa   :  3f8f1 ; 
+ 3ab   :  3f8f8 ; 
+ 3ac   :  3f900 ; 
+ 3ad   :  3f907 ; 
+ 3ae   :  3f90f ; 
+ 3af   :  3f916 ; 
+ 3b0   :  3f91d ; 
+ 3b1   :  3f925 ; 
+ 3b2   :  3f92c ; 
+ 3b3   :  3f933 ; 
+ 3b4   :  3f93b ; 
+ 3b5   :  3f942 ; 
+ 3b6   :  3f94a ; 
+ 3b7   :  3f951 ; 
+ 3b8   :  3f959 ; 
+ 3b9   :  3f960 ; 
+ 3ba   :  3f967 ; 
+ 3bb   :  3f96f ; 
+ 3bc   :  3f976 ; 
+ 3bd   :  3f97e ; 
+ 3be   :  3f985 ; 
+ 3bf   :  3f98d ; 
+ 3c0   :  3f994 ; 
+ 3c1   :  3f99c ; 
+ 3c2   :  3f9a3 ; 
+ 3c3   :  3f9aa ; 
+ 3c4   :  3f9b2 ; 
+ 3c5   :  3f9b9 ; 
+ 3c6   :  3f9c1 ; 
+ 3c7   :  3f9c8 ; 
+ 3c8   :  3f9d0 ; 
+ 3c9   :  3f9d7 ; 
+ 3ca   :  3f9df ; 
+ 3cb   :  3f9e6 ; 
+ 3cc   :  3f9ee ; 
+ 3cd   :  3f9f5 ; 
+ 3ce   :  3f9fd ; 
+ 3cf   :  3fa04 ; 
+ 3d0   :  3fa0c ; 
+ 3d1   :  3fa13 ; 
+ 3d2   :  3fa1b ; 
+ 3d3   :  3fa22 ; 
+ 3d4   :  3fa2a ; 
+ 3d5   :  3fa32 ; 
+ 3d6   :  3fa39 ; 
+ 3d7   :  3fa41 ; 
+ 3d8   :  3fa48 ; 
+ 3d9   :  3fa50 ; 
+ 3da   :  3fa57 ; 
+ 3db   :  3fa5f ; 
+ 3dc   :  3fa66 ; 
+ 3dd   :  3fa6e ; 
+ 3de   :  3fa75 ; 
+ 3df   :  3fa7d ; 
+ 3e0   :  3fa85 ; 
+ 3e1   :  3fa8c ; 
+ 3e2   :  3fa94 ; 
+ 3e3   :  3fa9b ; 
+ 3e4   :  3faa3 ; 
+ 3e5   :  3faaa ; 
+ 3e6   :  3fab2 ; 
+ 3e7   :  3fab9 ; 
+ 3e8   :  3fac1 ; 
+ 3e9   :  3fac9 ; 
+ 3ea   :  3fad0 ; 
+ 3eb   :  3fad8 ; 
+ 3ec   :  3fadf ; 
+ 3ed   :  3fae7 ; 
+ 3ee   :  3faef ; 
+ 3ef   :  3faf6 ; 
+ 3f0   :  3fafe ; 
+ 3f1   :  3fb05 ; 
+ 3f2   :  3fb0d ; 
+ 3f3   :  3fb14 ; 
+ 3f4   :  3fb1c ; 
+ 3f5   :  3fb24 ; 
+ 3f6   :  3fb2b ; 
+ 3f7   :  3fb33 ; 
+ 3f8   :  3fb3a ; 
+ 3f9   :  3fb42 ; 
+ 3fa   :  3fb4a ; 
+ 3fb   :  3fb51 ; 
+ 3fc   :  3fb59 ; 
+ 3fd   :  3fb60 ; 
+ 3fe   :  3fb68 ; 
+ 3ff   :  3fb70 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_3.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_3.mif
new file mode 100644
index 0000000000000000000000000000000000000000..6a8a89fc906f59c4b74c552c639a339f9735e3ae
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_3.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  168 ; 
+ 1   :  17c ; 
+ 2   :  191 ; 
+ 3   :  1a5 ; 
+ 4   :  1ba ; 
+ 5   :  1ce ; 
+ 6   :  1e3 ; 
+ 7   :  1f7 ; 
+ 8   :  20b ; 
+ 9   :  220 ; 
+ a   :  234 ; 
+ b   :  248 ; 
+ c   :  25d ; 
+ d   :  271 ; 
+ e   :  285 ; 
+ f   :  299 ; 
+ 10   :  2ad ; 
+ 11   :  2c2 ; 
+ 12   :  2d6 ; 
+ 13   :  2ea ; 
+ 14   :  2fe ; 
+ 15   :  312 ; 
+ 16   :  326 ; 
+ 17   :  33a ; 
+ 18   :  34e ; 
+ 19   :  362 ; 
+ 1a   :  376 ; 
+ 1b   :  38a ; 
+ 1c   :  39e ; 
+ 1d   :  3b1 ; 
+ 1e   :  3c5 ; 
+ 1f   :  3d9 ; 
+ 20   :  3ed ; 
+ 21   :  401 ; 
+ 22   :  414 ; 
+ 23   :  428 ; 
+ 24   :  43c ; 
+ 25   :  44f ; 
+ 26   :  463 ; 
+ 27   :  477 ; 
+ 28   :  48a ; 
+ 29   :  49e ; 
+ 2a   :  4b1 ; 
+ 2b   :  4c5 ; 
+ 2c   :  4d8 ; 
+ 2d   :  4eb ; 
+ 2e   :  4ff ; 
+ 2f   :  512 ; 
+ 30   :  526 ; 
+ 31   :  539 ; 
+ 32   :  54c ; 
+ 33   :  55f ; 
+ 34   :  573 ; 
+ 35   :  586 ; 
+ 36   :  599 ; 
+ 37   :  5ac ; 
+ 38   :  5bf ; 
+ 39   :  5d2 ; 
+ 3a   :  5e5 ; 
+ 3b   :  5f8 ; 
+ 3c   :  60b ; 
+ 3d   :  61e ; 
+ 3e   :  631 ; 
+ 3f   :  644 ; 
+ 40   :  657 ; 
+ 41   :  66a ; 
+ 42   :  67d ; 
+ 43   :  68f ; 
+ 44   :  6a2 ; 
+ 45   :  6b5 ; 
+ 46   :  6c7 ; 
+ 47   :  6da ; 
+ 48   :  6ed ; 
+ 49   :  6ff ; 
+ 4a   :  712 ; 
+ 4b   :  724 ; 
+ 4c   :  737 ; 
+ 4d   :  749 ; 
+ 4e   :  75c ; 
+ 4f   :  76e ; 
+ 50   :  780 ; 
+ 51   :  793 ; 
+ 52   :  7a5 ; 
+ 53   :  7b7 ; 
+ 54   :  7c9 ; 
+ 55   :  7db ; 
+ 56   :  7ee ; 
+ 57   :  800 ; 
+ 58   :  812 ; 
+ 59   :  824 ; 
+ 5a   :  836 ; 
+ 5b   :  848 ; 
+ 5c   :  85a ; 
+ 5d   :  86c ; 
+ 5e   :  87d ; 
+ 5f   :  88f ; 
+ 60   :  8a1 ; 
+ 61   :  8b3 ; 
+ 62   :  8c5 ; 
+ 63   :  8d6 ; 
+ 64   :  8e8 ; 
+ 65   :  8f9 ; 
+ 66   :  90b ; 
+ 67   :  91d ; 
+ 68   :  92e ; 
+ 69   :  940 ; 
+ 6a   :  951 ; 
+ 6b   :  962 ; 
+ 6c   :  974 ; 
+ 6d   :  985 ; 
+ 6e   :  996 ; 
+ 6f   :  9a8 ; 
+ 70   :  9b9 ; 
+ 71   :  9ca ; 
+ 72   :  9db ; 
+ 73   :  9ec ; 
+ 74   :  9fd ; 
+ 75   :  a0e ; 
+ 76   :  a1f ; 
+ 77   :  a30 ; 
+ 78   :  a41 ; 
+ 79   :  a52 ; 
+ 7a   :  a63 ; 
+ 7b   :  a74 ; 
+ 7c   :  a84 ; 
+ 7d   :  a95 ; 
+ 7e   :  aa6 ; 
+ 7f   :  ab6 ; 
+ 80   :  ac7 ; 
+ 81   :  ad7 ; 
+ 82   :  ae8 ; 
+ 83   :  af8 ; 
+ 84   :  b09 ; 
+ 85   :  b19 ; 
+ 86   :  b2a ; 
+ 87   :  b3a ; 
+ 88   :  b4a ; 
+ 89   :  b5a ; 
+ 8a   :  b6b ; 
+ 8b   :  b7b ; 
+ 8c   :  b8b ; 
+ 8d   :  b9b ; 
+ 8e   :  bab ; 
+ 8f   :  bbb ; 
+ 90   :  bcb ; 
+ 91   :  bdb ; 
+ 92   :  beb ; 
+ 93   :  bfa ; 
+ 94   :  c0a ; 
+ 95   :  c1a ; 
+ 96   :  c2a ; 
+ 97   :  c39 ; 
+ 98   :  c49 ; 
+ 99   :  c58 ; 
+ 9a   :  c68 ; 
+ 9b   :  c77 ; 
+ 9c   :  c87 ; 
+ 9d   :  c96 ; 
+ 9e   :  ca6 ; 
+ 9f   :  cb5 ; 
+ a0   :  cc4 ; 
+ a1   :  cd3 ; 
+ a2   :  ce3 ; 
+ a3   :  cf2 ; 
+ a4   :  d01 ; 
+ a5   :  d10 ; 
+ a6   :  d1f ; 
+ a7   :  d2e ; 
+ a8   :  d3d ; 
+ a9   :  d4c ; 
+ aa   :  d5b ; 
+ ab   :  d69 ; 
+ ac   :  d78 ; 
+ ad   :  d87 ; 
+ ae   :  d95 ; 
+ af   :  da4 ; 
+ b0   :  db3 ; 
+ b1   :  dc1 ; 
+ b2   :  dd0 ; 
+ b3   :  dde ; 
+ b4   :  dec ; 
+ b5   :  dfb ; 
+ b6   :  e09 ; 
+ b7   :  e17 ; 
+ b8   :  e26 ; 
+ b9   :  e34 ; 
+ ba   :  e42 ; 
+ bb   :  e50 ; 
+ bc   :  e5e ; 
+ bd   :  e6c ; 
+ be   :  e7a ; 
+ bf   :  e88 ; 
+ c0   :  e96 ; 
+ c1   :  ea3 ; 
+ c2   :  eb1 ; 
+ c3   :  ebf ; 
+ c4   :  ecd ; 
+ c5   :  eda ; 
+ c6   :  ee8 ; 
+ c7   :  ef5 ; 
+ c8   :  f03 ; 
+ c9   :  f10 ; 
+ ca   :  f1e ; 
+ cb   :  f2b ; 
+ cc   :  f38 ; 
+ cd   :  f45 ; 
+ ce   :  f53 ; 
+ cf   :  f60 ; 
+ d0   :  f6d ; 
+ d1   :  f7a ; 
+ d2   :  f87 ; 
+ d3   :  f94 ; 
+ d4   :  fa1 ; 
+ d5   :  fae ; 
+ d6   :  fbb ; 
+ d7   :  fc7 ; 
+ d8   :  fd4 ; 
+ d9   :  fe1 ; 
+ da   :  fed ; 
+ db   :  ffa ; 
+ dc   :  1006 ; 
+ dd   :  1013 ; 
+ de   :  101f ; 
+ df   :  102c ; 
+ e0   :  1038 ; 
+ e1   :  1044 ; 
+ e2   :  1051 ; 
+ e3   :  105d ; 
+ e4   :  1069 ; 
+ e5   :  1075 ; 
+ e6   :  1081 ; 
+ e7   :  108d ; 
+ e8   :  1099 ; 
+ e9   :  10a5 ; 
+ ea   :  10b1 ; 
+ eb   :  10bd ; 
+ ec   :  10c8 ; 
+ ed   :  10d4 ; 
+ ee   :  10e0 ; 
+ ef   :  10eb ; 
+ f0   :  10f7 ; 
+ f1   :  1102 ; 
+ f2   :  110e ; 
+ f3   :  1119 ; 
+ f4   :  1125 ; 
+ f5   :  1130 ; 
+ f6   :  113b ; 
+ f7   :  1147 ; 
+ f8   :  1152 ; 
+ f9   :  115d ; 
+ fa   :  1168 ; 
+ fb   :  1173 ; 
+ fc   :  117e ; 
+ fd   :  1189 ; 
+ fe   :  1194 ; 
+ ff   :  119e ; 
+ 100   :  11a9 ; 
+ 101   :  11b4 ; 
+ 102   :  11bf ; 
+ 103   :  11c9 ; 
+ 104   :  11d4 ; 
+ 105   :  11de ; 
+ 106   :  11e9 ; 
+ 107   :  11f3 ; 
+ 108   :  11fd ; 
+ 109   :  1208 ; 
+ 10a   :  1212 ; 
+ 10b   :  121c ; 
+ 10c   :  1226 ; 
+ 10d   :  1231 ; 
+ 10e   :  123b ; 
+ 10f   :  1245 ; 
+ 110   :  124f ; 
+ 111   :  1258 ; 
+ 112   :  1262 ; 
+ 113   :  126c ; 
+ 114   :  1276 ; 
+ 115   :  1280 ; 
+ 116   :  1289 ; 
+ 117   :  1293 ; 
+ 118   :  129c ; 
+ 119   :  12a6 ; 
+ 11a   :  12af ; 
+ 11b   :  12b9 ; 
+ 11c   :  12c2 ; 
+ 11d   :  12cb ; 
+ 11e   :  12d4 ; 
+ 11f   :  12de ; 
+ 120   :  12e7 ; 
+ 121   :  12f0 ; 
+ 122   :  12f9 ; 
+ 123   :  1302 ; 
+ 124   :  130b ; 
+ 125   :  1314 ; 
+ 126   :  131d ; 
+ 127   :  1325 ; 
+ 128   :  132e ; 
+ 129   :  1337 ; 
+ 12a   :  133f ; 
+ 12b   :  1348 ; 
+ 12c   :  1350 ; 
+ 12d   :  1359 ; 
+ 12e   :  1361 ; 
+ 12f   :  136a ; 
+ 130   :  1372 ; 
+ 131   :  137a ; 
+ 132   :  1382 ; 
+ 133   :  138a ; 
+ 134   :  1393 ; 
+ 135   :  139b ; 
+ 136   :  13a3 ; 
+ 137   :  13ab ; 
+ 138   :  13b2 ; 
+ 139   :  13ba ; 
+ 13a   :  13c2 ; 
+ 13b   :  13ca ; 
+ 13c   :  13d1 ; 
+ 13d   :  13d9 ; 
+ 13e   :  13e1 ; 
+ 13f   :  13e8 ; 
+ 140   :  13f0 ; 
+ 141   :  13f7 ; 
+ 142   :  13fe ; 
+ 143   :  1406 ; 
+ 144   :  140d ; 
+ 145   :  1414 ; 
+ 146   :  141b ; 
+ 147   :  1422 ; 
+ 148   :  1429 ; 
+ 149   :  1430 ; 
+ 14a   :  1437 ; 
+ 14b   :  143e ; 
+ 14c   :  1445 ; 
+ 14d   :  144c ; 
+ 14e   :  1453 ; 
+ 14f   :  1459 ; 
+ 150   :  1460 ; 
+ 151   :  1467 ; 
+ 152   :  146d ; 
+ 153   :  1474 ; 
+ 154   :  147a ; 
+ 155   :  1480 ; 
+ 156   :  1487 ; 
+ 157   :  148d ; 
+ 158   :  1493 ; 
+ 159   :  1499 ; 
+ 15a   :  149f ; 
+ 15b   :  14a5 ; 
+ 15c   :  14ab ; 
+ 15d   :  14b1 ; 
+ 15e   :  14b7 ; 
+ 15f   :  14bd ; 
+ 160   :  14c3 ; 
+ 161   :  14c9 ; 
+ 162   :  14ce ; 
+ 163   :  14d4 ; 
+ 164   :  14d9 ; 
+ 165   :  14df ; 
+ 166   :  14e4 ; 
+ 167   :  14ea ; 
+ 168   :  14ef ; 
+ 169   :  14f4 ; 
+ 16a   :  14fa ; 
+ 16b   :  14ff ; 
+ 16c   :  1504 ; 
+ 16d   :  1509 ; 
+ 16e   :  150e ; 
+ 16f   :  1513 ; 
+ 170   :  1518 ; 
+ 171   :  151d ; 
+ 172   :  1522 ; 
+ 173   :  1527 ; 
+ 174   :  152b ; 
+ 175   :  1530 ; 
+ 176   :  1535 ; 
+ 177   :  1539 ; 
+ 178   :  153e ; 
+ 179   :  1542 ; 
+ 17a   :  1547 ; 
+ 17b   :  154b ; 
+ 17c   :  154f ; 
+ 17d   :  1553 ; 
+ 17e   :  1558 ; 
+ 17f   :  155c ; 
+ 180   :  1560 ; 
+ 181   :  1564 ; 
+ 182   :  1568 ; 
+ 183   :  156c ; 
+ 184   :  1570 ; 
+ 185   :  1574 ; 
+ 186   :  1577 ; 
+ 187   :  157b ; 
+ 188   :  157f ; 
+ 189   :  1582 ; 
+ 18a   :  1586 ; 
+ 18b   :  1589 ; 
+ 18c   :  158d ; 
+ 18d   :  1590 ; 
+ 18e   :  1594 ; 
+ 18f   :  1597 ; 
+ 190   :  159a ; 
+ 191   :  159d ; 
+ 192   :  15a1 ; 
+ 193   :  15a4 ; 
+ 194   :  15a7 ; 
+ 195   :  15aa ; 
+ 196   :  15ad ; 
+ 197   :  15af ; 
+ 198   :  15b2 ; 
+ 199   :  15b5 ; 
+ 19a   :  15b8 ; 
+ 19b   :  15ba ; 
+ 19c   :  15bd ; 
+ 19d   :  15c0 ; 
+ 19e   :  15c2 ; 
+ 19f   :  15c5 ; 
+ 1a0   :  15c7 ; 
+ 1a1   :  15c9 ; 
+ 1a2   :  15cc ; 
+ 1a3   :  15ce ; 
+ 1a4   :  15d0 ; 
+ 1a5   :  15d2 ; 
+ 1a6   :  15d4 ; 
+ 1a7   :  15d6 ; 
+ 1a8   :  15d8 ; 
+ 1a9   :  15da ; 
+ 1aa   :  15dc ; 
+ 1ab   :  15de ; 
+ 1ac   :  15e0 ; 
+ 1ad   :  15e2 ; 
+ 1ae   :  15e3 ; 
+ 1af   :  15e5 ; 
+ 1b0   :  15e7 ; 
+ 1b1   :  15e8 ; 
+ 1b2   :  15ea ; 
+ 1b3   :  15eb ; 
+ 1b4   :  15ec ; 
+ 1b5   :  15ee ; 
+ 1b6   :  15ef ; 
+ 1b7   :  15f0 ; 
+ 1b8   :  15f1 ; 
+ 1b9   :  15f3 ; 
+ 1ba   :  15f4 ; 
+ 1bb   :  15f5 ; 
+ 1bc   :  15f6 ; 
+ 1bd   :  15f7 ; 
+ 1be   :  15f7 ; 
+ 1bf   :  15f8 ; 
+ 1c0   :  15f9 ; 
+ 1c1   :  15fa ; 
+ 1c2   :  15fa ; 
+ 1c3   :  15fb ; 
+ 1c4   :  15fc ; 
+ 1c5   :  15fc ; 
+ 1c6   :  15fc ; 
+ 1c7   :  15fd ; 
+ 1c8   :  15fd ; 
+ 1c9   :  15fe ; 
+ 1ca   :  15fe ; 
+ 1cb   :  15fe ; 
+ 1cc   :  15fe ; 
+ 1cd   :  15fe ; 
+ 1ce   :  15fe ; 
+ 1cf   :  15fe ; 
+ 1d0   :  15fe ; 
+ 1d1   :  15fe ; 
+ 1d2   :  15fe ; 
+ 1d3   :  15fe ; 
+ 1d4   :  15fe ; 
+ 1d5   :  15fd ; 
+ 1d6   :  15fd ; 
+ 1d7   :  15fd ; 
+ 1d8   :  15fc ; 
+ 1d9   :  15fc ; 
+ 1da   :  15fb ; 
+ 1db   :  15fa ; 
+ 1dc   :  15fa ; 
+ 1dd   :  15f9 ; 
+ 1de   :  15f8 ; 
+ 1df   :  15f8 ; 
+ 1e0   :  15f7 ; 
+ 1e1   :  15f6 ; 
+ 1e2   :  15f5 ; 
+ 1e3   :  15f4 ; 
+ 1e4   :  15f3 ; 
+ 1e5   :  15f2 ; 
+ 1e6   :  15f1 ; 
+ 1e7   :  15ef ; 
+ 1e8   :  15ee ; 
+ 1e9   :  15ed ; 
+ 1ea   :  15ec ; 
+ 1eb   :  15ea ; 
+ 1ec   :  15e9 ; 
+ 1ed   :  15e7 ; 
+ 1ee   :  15e6 ; 
+ 1ef   :  15e4 ; 
+ 1f0   :  15e3 ; 
+ 1f1   :  15e1 ; 
+ 1f2   :  15df ; 
+ 1f3   :  15dd ; 
+ 1f4   :  15dc ; 
+ 1f5   :  15da ; 
+ 1f6   :  15d8 ; 
+ 1f7   :  15d6 ; 
+ 1f8   :  15d4 ; 
+ 1f9   :  15d2 ; 
+ 1fa   :  15d0 ; 
+ 1fb   :  15cd ; 
+ 1fc   :  15cb ; 
+ 1fd   :  15c9 ; 
+ 1fe   :  15c7 ; 
+ 1ff   :  15c4 ; 
+ 200   :  15c2 ; 
+ 201   :  15bf ; 
+ 202   :  15bd ; 
+ 203   :  15ba ; 
+ 204   :  15b8 ; 
+ 205   :  15b5 ; 
+ 206   :  15b2 ; 
+ 207   :  15b0 ; 
+ 208   :  15ad ; 
+ 209   :  15aa ; 
+ 20a   :  15a7 ; 
+ 20b   :  15a4 ; 
+ 20c   :  15a1 ; 
+ 20d   :  159e ; 
+ 20e   :  159b ; 
+ 20f   :  1598 ; 
+ 210   :  1595 ; 
+ 211   :  1592 ; 
+ 212   :  158f ; 
+ 213   :  158b ; 
+ 214   :  1588 ; 
+ 215   :  1585 ; 
+ 216   :  1581 ; 
+ 217   :  157e ; 
+ 218   :  157a ; 
+ 219   :  1577 ; 
+ 21a   :  1573 ; 
+ 21b   :  156f ; 
+ 21c   :  156c ; 
+ 21d   :  1568 ; 
+ 21e   :  1564 ; 
+ 21f   :  1560 ; 
+ 220   :  155c ; 
+ 221   :  1558 ; 
+ 222   :  1554 ; 
+ 223   :  1550 ; 
+ 224   :  154c ; 
+ 225   :  1548 ; 
+ 226   :  1544 ; 
+ 227   :  1540 ; 
+ 228   :  153c ; 
+ 229   :  1537 ; 
+ 22a   :  1533 ; 
+ 22b   :  152f ; 
+ 22c   :  152a ; 
+ 22d   :  1526 ; 
+ 22e   :  1521 ; 
+ 22f   :  151d ; 
+ 230   :  1518 ; 
+ 231   :  1514 ; 
+ 232   :  150f ; 
+ 233   :  150a ; 
+ 234   :  1505 ; 
+ 235   :  1500 ; 
+ 236   :  14fc ; 
+ 237   :  14f7 ; 
+ 238   :  14f2 ; 
+ 239   :  14ed ; 
+ 23a   :  14e8 ; 
+ 23b   :  14e3 ; 
+ 23c   :  14de ; 
+ 23d   :  14d8 ; 
+ 23e   :  14d3 ; 
+ 23f   :  14ce ; 
+ 240   :  14c9 ; 
+ 241   :  14c3 ; 
+ 242   :  14be ; 
+ 243   :  14b9 ; 
+ 244   :  14b3 ; 
+ 245   :  14ae ; 
+ 246   :  14a8 ; 
+ 247   :  14a2 ; 
+ 248   :  149d ; 
+ 249   :  1497 ; 
+ 24a   :  1491 ; 
+ 24b   :  148c ; 
+ 24c   :  1486 ; 
+ 24d   :  1480 ; 
+ 24e   :  147a ; 
+ 24f   :  1474 ; 
+ 250   :  146e ; 
+ 251   :  1468 ; 
+ 252   :  1462 ; 
+ 253   :  145c ; 
+ 254   :  1456 ; 
+ 255   :  1450 ; 
+ 256   :  144a ; 
+ 257   :  1443 ; 
+ 258   :  143d ; 
+ 259   :  1437 ; 
+ 25a   :  1430 ; 
+ 25b   :  142a ; 
+ 25c   :  1424 ; 
+ 25d   :  141d ; 
+ 25e   :  1416 ; 
+ 25f   :  1410 ; 
+ 260   :  1409 ; 
+ 261   :  1403 ; 
+ 262   :  13fc ; 
+ 263   :  13f5 ; 
+ 264   :  13ee ; 
+ 265   :  13e8 ; 
+ 266   :  13e1 ; 
+ 267   :  13da ; 
+ 268   :  13d3 ; 
+ 269   :  13cc ; 
+ 26a   :  13c5 ; 
+ 26b   :  13be ; 
+ 26c   :  13b7 ; 
+ 26d   :  13b0 ; 
+ 26e   :  13a9 ; 
+ 26f   :  13a1 ; 
+ 270   :  139a ; 
+ 271   :  1393 ; 
+ 272   :  138c ; 
+ 273   :  1384 ; 
+ 274   :  137d ; 
+ 275   :  1376 ; 
+ 276   :  136e ; 
+ 277   :  1367 ; 
+ 278   :  135f ; 
+ 279   :  1357 ; 
+ 27a   :  1350 ; 
+ 27b   :  1348 ; 
+ 27c   :  1341 ; 
+ 27d   :  1339 ; 
+ 27e   :  1331 ; 
+ 27f   :  1329 ; 
+ 280   :  1321 ; 
+ 281   :  131a ; 
+ 282   :  1312 ; 
+ 283   :  130a ; 
+ 284   :  1302 ; 
+ 285   :  12fa ; 
+ 286   :  12f2 ; 
+ 287   :  12ea ; 
+ 288   :  12e1 ; 
+ 289   :  12d9 ; 
+ 28a   :  12d1 ; 
+ 28b   :  12c9 ; 
+ 28c   :  12c1 ; 
+ 28d   :  12b8 ; 
+ 28e   :  12b0 ; 
+ 28f   :  12a8 ; 
+ 290   :  129f ; 
+ 291   :  1297 ; 
+ 292   :  128e ; 
+ 293   :  1286 ; 
+ 294   :  127d ; 
+ 295   :  1275 ; 
+ 296   :  126c ; 
+ 297   :  1264 ; 
+ 298   :  125b ; 
+ 299   :  1252 ; 
+ 29a   :  1249 ; 
+ 29b   :  1241 ; 
+ 29c   :  1238 ; 
+ 29d   :  122f ; 
+ 29e   :  1226 ; 
+ 29f   :  121d ; 
+ 2a0   :  1214 ; 
+ 2a1   :  120b ; 
+ 2a2   :  1202 ; 
+ 2a3   :  11f9 ; 
+ 2a4   :  11f0 ; 
+ 2a5   :  11e7 ; 
+ 2a6   :  11de ; 
+ 2a7   :  11d5 ; 
+ 2a8   :  11cc ; 
+ 2a9   :  11c2 ; 
+ 2aa   :  11b9 ; 
+ 2ab   :  11b0 ; 
+ 2ac   :  11a6 ; 
+ 2ad   :  119d ; 
+ 2ae   :  1194 ; 
+ 2af   :  118a ; 
+ 2b0   :  1181 ; 
+ 2b1   :  1177 ; 
+ 2b2   :  116e ; 
+ 2b3   :  1164 ; 
+ 2b4   :  115b ; 
+ 2b5   :  1151 ; 
+ 2b6   :  1147 ; 
+ 2b7   :  113e ; 
+ 2b8   :  1134 ; 
+ 2b9   :  112a ; 
+ 2ba   :  1120 ; 
+ 2bb   :  1117 ; 
+ 2bc   :  110d ; 
+ 2bd   :  1103 ; 
+ 2be   :  10f9 ; 
+ 2bf   :  10ef ; 
+ 2c0   :  10e5 ; 
+ 2c1   :  10db ; 
+ 2c2   :  10d1 ; 
+ 2c3   :  10c7 ; 
+ 2c4   :  10bd ; 
+ 2c5   :  10b3 ; 
+ 2c6   :  10a9 ; 
+ 2c7   :  109f ; 
+ 2c8   :  1095 ; 
+ 2c9   :  108a ; 
+ 2ca   :  1080 ; 
+ 2cb   :  1076 ; 
+ 2cc   :  106c ; 
+ 2cd   :  1061 ; 
+ 2ce   :  1057 ; 
+ 2cf   :  104d ; 
+ 2d0   :  1042 ; 
+ 2d1   :  1038 ; 
+ 2d2   :  102d ; 
+ 2d3   :  1023 ; 
+ 2d4   :  1018 ; 
+ 2d5   :  100e ; 
+ 2d6   :  1003 ; 
+ 2d7   :  ff9 ; 
+ 2d8   :  fee ; 
+ 2d9   :  fe4 ; 
+ 2da   :  fd9 ; 
+ 2db   :  fce ; 
+ 2dc   :  fc3 ; 
+ 2dd   :  fb9 ; 
+ 2de   :  fae ; 
+ 2df   :  fa3 ; 
+ 2e0   :  f98 ; 
+ 2e1   :  f8d ; 
+ 2e2   :  f83 ; 
+ 2e3   :  f78 ; 
+ 2e4   :  f6d ; 
+ 2e5   :  f62 ; 
+ 2e6   :  f57 ; 
+ 2e7   :  f4c ; 
+ 2e8   :  f41 ; 
+ 2e9   :  f36 ; 
+ 2ea   :  f2b ; 
+ 2eb   :  f20 ; 
+ 2ec   :  f14 ; 
+ 2ed   :  f09 ; 
+ 2ee   :  efe ; 
+ 2ef   :  ef3 ; 
+ 2f0   :  ee8 ; 
+ 2f1   :  edc ; 
+ 2f2   :  ed1 ; 
+ 2f3   :  ec6 ; 
+ 2f4   :  ebb ; 
+ 2f5   :  eaf ; 
+ 2f6   :  ea4 ; 
+ 2f7   :  e99 ; 
+ 2f8   :  e8d ; 
+ 2f9   :  e82 ; 
+ 2fa   :  e76 ; 
+ 2fb   :  e6b ; 
+ 2fc   :  e5f ; 
+ 2fd   :  e54 ; 
+ 2fe   :  e48 ; 
+ 2ff   :  e3d ; 
+ 300   :  e31 ; 
+ 301   :  e26 ; 
+ 302   :  e1a ; 
+ 303   :  e0e ; 
+ 304   :  e03 ; 
+ 305   :  df7 ; 
+ 306   :  deb ; 
+ 307   :  ddf ; 
+ 308   :  dd4 ; 
+ 309   :  dc8 ; 
+ 30a   :  dbc ; 
+ 30b   :  db0 ; 
+ 30c   :  da4 ; 
+ 30d   :  d99 ; 
+ 30e   :  d8d ; 
+ 30f   :  d81 ; 
+ 310   :  d75 ; 
+ 311   :  d69 ; 
+ 312   :  d5d ; 
+ 313   :  d51 ; 
+ 314   :  d45 ; 
+ 315   :  d39 ; 
+ 316   :  d2d ; 
+ 317   :  d21 ; 
+ 318   :  d15 ; 
+ 319   :  d09 ; 
+ 31a   :  cfd ; 
+ 31b   :  cf1 ; 
+ 31c   :  ce4 ; 
+ 31d   :  cd8 ; 
+ 31e   :  ccc ; 
+ 31f   :  cc0 ; 
+ 320   :  cb4 ; 
+ 321   :  ca7 ; 
+ 322   :  c9b ; 
+ 323   :  c8f ; 
+ 324   :  c83 ; 
+ 325   :  c76 ; 
+ 326   :  c6a ; 
+ 327   :  c5e ; 
+ 328   :  c51 ; 
+ 329   :  c45 ; 
+ 32a   :  c39 ; 
+ 32b   :  c2c ; 
+ 32c   :  c20 ; 
+ 32d   :  c13 ; 
+ 32e   :  c07 ; 
+ 32f   :  bfa ; 
+ 330   :  bee ; 
+ 331   :  be1 ; 
+ 332   :  bd5 ; 
+ 333   :  bc8 ; 
+ 334   :  bbc ; 
+ 335   :  baf ; 
+ 336   :  ba3 ; 
+ 337   :  b96 ; 
+ 338   :  b8a ; 
+ 339   :  b7d ; 
+ 33a   :  b70 ; 
+ 33b   :  b64 ; 
+ 33c   :  b57 ; 
+ 33d   :  b4a ; 
+ 33e   :  b3e ; 
+ 33f   :  b31 ; 
+ 340   :  b24 ; 
+ 341   :  b17 ; 
+ 342   :  b0b ; 
+ 343   :  afe ; 
+ 344   :  af1 ; 
+ 345   :  ae4 ; 
+ 346   :  ad8 ; 
+ 347   :  acb ; 
+ 348   :  abe ; 
+ 349   :  ab1 ; 
+ 34a   :  aa4 ; 
+ 34b   :  a97 ; 
+ 34c   :  a8b ; 
+ 34d   :  a7e ; 
+ 34e   :  a71 ; 
+ 34f   :  a64 ; 
+ 350   :  a57 ; 
+ 351   :  a4a ; 
+ 352   :  a3d ; 
+ 353   :  a30 ; 
+ 354   :  a23 ; 
+ 355   :  a16 ; 
+ 356   :  a09 ; 
+ 357   :  9fc ; 
+ 358   :  9ef ; 
+ 359   :  9e2 ; 
+ 35a   :  9d5 ; 
+ 35b   :  9c8 ; 
+ 35c   :  9bb ; 
+ 35d   :  9ae ; 
+ 35e   :  9a1 ; 
+ 35f   :  994 ; 
+ 360   :  987 ; 
+ 361   :  979 ; 
+ 362   :  96c ; 
+ 363   :  95f ; 
+ 364   :  952 ; 
+ 365   :  945 ; 
+ 366   :  938 ; 
+ 367   :  92b ; 
+ 368   :  91d ; 
+ 369   :  910 ; 
+ 36a   :  903 ; 
+ 36b   :  8f6 ; 
+ 36c   :  8e9 ; 
+ 36d   :  8db ; 
+ 36e   :  8ce ; 
+ 36f   :  8c1 ; 
+ 370   :  8b4 ; 
+ 371   :  8a7 ; 
+ 372   :  899 ; 
+ 373   :  88c ; 
+ 374   :  87f ; 
+ 375   :  871 ; 
+ 376   :  864 ; 
+ 377   :  857 ; 
+ 378   :  84a ; 
+ 379   :  83c ; 
+ 37a   :  82f ; 
+ 37b   :  822 ; 
+ 37c   :  814 ; 
+ 37d   :  807 ; 
+ 37e   :  7fa ; 
+ 37f   :  7ec ; 
+ 380   :  7df ; 
+ 381   :  7d2 ; 
+ 382   :  7c4 ; 
+ 383   :  7b7 ; 
+ 384   :  7a9 ; 
+ 385   :  79c ; 
+ 386   :  78f ; 
+ 387   :  781 ; 
+ 388   :  774 ; 
+ 389   :  767 ; 
+ 38a   :  759 ; 
+ 38b   :  74c ; 
+ 38c   :  73e ; 
+ 38d   :  731 ; 
+ 38e   :  723 ; 
+ 38f   :  716 ; 
+ 390   :  709 ; 
+ 391   :  6fb ; 
+ 392   :  6ee ; 
+ 393   :  6e0 ; 
+ 394   :  6d3 ; 
+ 395   :  6c5 ; 
+ 396   :  6b8 ; 
+ 397   :  6ab ; 
+ 398   :  69d ; 
+ 399   :  690 ; 
+ 39a   :  682 ; 
+ 39b   :  675 ; 
+ 39c   :  667 ; 
+ 39d   :  65a ; 
+ 39e   :  64c ; 
+ 39f   :  63f ; 
+ 3a0   :  631 ; 
+ 3a1   :  624 ; 
+ 3a2   :  616 ; 
+ 3a3   :  609 ; 
+ 3a4   :  5fb ; 
+ 3a5   :  5ee ; 
+ 3a6   :  5e0 ; 
+ 3a7   :  5d3 ; 
+ 3a8   :  5c5 ; 
+ 3a9   :  5b8 ; 
+ 3aa   :  5aa ; 
+ 3ab   :  59d ; 
+ 3ac   :  58f ; 
+ 3ad   :  582 ; 
+ 3ae   :  575 ; 
+ 3af   :  567 ; 
+ 3b0   :  55a ; 
+ 3b1   :  54c ; 
+ 3b2   :  53f ; 
+ 3b3   :  531 ; 
+ 3b4   :  524 ; 
+ 3b5   :  516 ; 
+ 3b6   :  509 ; 
+ 3b7   :  4fb ; 
+ 3b8   :  4ee ; 
+ 3b9   :  4e0 ; 
+ 3ba   :  4d3 ; 
+ 3bb   :  4c5 ; 
+ 3bc   :  4b8 ; 
+ 3bd   :  4aa ; 
+ 3be   :  49d ; 
+ 3bf   :  48f ; 
+ 3c0   :  482 ; 
+ 3c1   :  474 ; 
+ 3c2   :  467 ; 
+ 3c3   :  459 ; 
+ 3c4   :  44c ; 
+ 3c5   :  43e ; 
+ 3c6   :  431 ; 
+ 3c7   :  423 ; 
+ 3c8   :  416 ; 
+ 3c9   :  408 ; 
+ 3ca   :  3fb ; 
+ 3cb   :  3ee ; 
+ 3cc   :  3e0 ; 
+ 3cd   :  3d3 ; 
+ 3ce   :  3c5 ; 
+ 3cf   :  3b8 ; 
+ 3d0   :  3aa ; 
+ 3d1   :  39d ; 
+ 3d2   :  38f ; 
+ 3d3   :  382 ; 
+ 3d4   :  375 ; 
+ 3d5   :  367 ; 
+ 3d6   :  35a ; 
+ 3d7   :  34c ; 
+ 3d8   :  33f ; 
+ 3d9   :  332 ; 
+ 3da   :  324 ; 
+ 3db   :  317 ; 
+ 3dc   :  309 ; 
+ 3dd   :  2fc ; 
+ 3de   :  2ef ; 
+ 3df   :  2e1 ; 
+ 3e0   :  2d4 ; 
+ 3e1   :  2c6 ; 
+ 3e2   :  2b9 ; 
+ 3e3   :  2ac ; 
+ 3e4   :  29e ; 
+ 3e5   :  291 ; 
+ 3e6   :  284 ; 
+ 3e7   :  276 ; 
+ 3e8   :  269 ; 
+ 3e9   :  25c ; 
+ 3ea   :  24e ; 
+ 3eb   :  241 ; 
+ 3ec   :  234 ; 
+ 3ed   :  227 ; 
+ 3ee   :  219 ; 
+ 3ef   :  20c ; 
+ 3f0   :  1ff ; 
+ 3f1   :  1f1 ; 
+ 3f2   :  1e4 ; 
+ 3f3   :  1d7 ; 
+ 3f4   :  1ca ; 
+ 3f5   :  1bd ; 
+ 3f6   :  1af ; 
+ 3f7   :  1a2 ; 
+ 3f8   :  195 ; 
+ 3f9   :  188 ; 
+ 3fa   :  17a ; 
+ 3fb   :  16d ; 
+ 3fc   :  160 ; 
+ 3fd   :  153 ; 
+ 3fe   :  146 ; 
+ 3ff   :  139 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_4.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_4.mif
new file mode 100644
index 0000000000000000000000000000000000000000..6a742c820ab7a57622eced5455baa674a3e0cf5e
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_4.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3fcd0 ; 
+ 1   :  3fcaf ; 
+ 2   :  3fc8f ; 
+ 3   :  3fc6e ; 
+ 4   :  3fc4e ; 
+ 5   :  3fc2d ; 
+ 6   :  3fc0d ; 
+ 7   :  3fbec ; 
+ 8   :  3fbcc ; 
+ 9   :  3fbab ; 
+ a   :  3fb8b ; 
+ b   :  3fb6b ; 
+ c   :  3fb4b ; 
+ d   :  3fb2b ; 
+ e   :  3fb0a ; 
+ f   :  3faea ; 
+ 10   :  3faca ; 
+ 11   :  3faaa ; 
+ 12   :  3fa8a ; 
+ 13   :  3fa6a ; 
+ 14   :  3fa4b ; 
+ 15   :  3fa2b ; 
+ 16   :  3fa0b ; 
+ 17   :  3f9eb ; 
+ 18   :  3f9cb ; 
+ 19   :  3f9ac ; 
+ 1a   :  3f98c ; 
+ 1b   :  3f96d ; 
+ 1c   :  3f94d ; 
+ 1d   :  3f92e ; 
+ 1e   :  3f90e ; 
+ 1f   :  3f8ef ; 
+ 20   :  3f8d0 ; 
+ 21   :  3f8b0 ; 
+ 22   :  3f891 ; 
+ 23   :  3f872 ; 
+ 24   :  3f853 ; 
+ 25   :  3f834 ; 
+ 26   :  3f815 ; 
+ 27   :  3f7f6 ; 
+ 28   :  3f7d7 ; 
+ 29   :  3f7b8 ; 
+ 2a   :  3f799 ; 
+ 2b   :  3f77a ; 
+ 2c   :  3f75b ; 
+ 2d   :  3f73d ; 
+ 2e   :  3f71e ; 
+ 2f   :  3f700 ; 
+ 30   :  3f6e1 ; 
+ 31   :  3f6c2 ; 
+ 32   :  3f6a4 ; 
+ 33   :  3f686 ; 
+ 34   :  3f667 ; 
+ 35   :  3f649 ; 
+ 36   :  3f62b ; 
+ 37   :  3f60d ; 
+ 38   :  3f5ef ; 
+ 39   :  3f5d0 ; 
+ 3a   :  3f5b2 ; 
+ 3b   :  3f594 ; 
+ 3c   :  3f577 ; 
+ 3d   :  3f559 ; 
+ 3e   :  3f53b ; 
+ 3f   :  3f51d ; 
+ 40   :  3f4ff ; 
+ 41   :  3f4e2 ; 
+ 42   :  3f4c4 ; 
+ 43   :  3f4a7 ; 
+ 44   :  3f489 ; 
+ 45   :  3f46c ; 
+ 46   :  3f44e ; 
+ 47   :  3f431 ; 
+ 48   :  3f414 ; 
+ 49   :  3f3f7 ; 
+ 4a   :  3f3da ; 
+ 4b   :  3f3bd ; 
+ 4c   :  3f3a0 ; 
+ 4d   :  3f383 ; 
+ 4e   :  3f366 ; 
+ 4f   :  3f349 ; 
+ 50   :  3f32c ; 
+ 51   :  3f30f ; 
+ 52   :  3f2f3 ; 
+ 53   :  3f2d6 ; 
+ 54   :  3f2ba ; 
+ 55   :  3f29d ; 
+ 56   :  3f281 ; 
+ 57   :  3f264 ; 
+ 58   :  3f248 ; 
+ 59   :  3f22c ; 
+ 5a   :  3f20f ; 
+ 5b   :  3f1f3 ; 
+ 5c   :  3f1d7 ; 
+ 5d   :  3f1bb ; 
+ 5e   :  3f19f ; 
+ 5f   :  3f183 ; 
+ 60   :  3f168 ; 
+ 61   :  3f14c ; 
+ 62   :  3f130 ; 
+ 63   :  3f115 ; 
+ 64   :  3f0f9 ; 
+ 65   :  3f0dd ; 
+ 66   :  3f0c2 ; 
+ 67   :  3f0a7 ; 
+ 68   :  3f08b ; 
+ 69   :  3f070 ; 
+ 6a   :  3f055 ; 
+ 6b   :  3f03a ; 
+ 6c   :  3f01f ; 
+ 6d   :  3f004 ; 
+ 6e   :  3efe9 ; 
+ 6f   :  3efce ; 
+ 70   :  3efb3 ; 
+ 71   :  3ef98 ; 
+ 72   :  3ef7e ; 
+ 73   :  3ef63 ; 
+ 74   :  3ef48 ; 
+ 75   :  3ef2e ; 
+ 76   :  3ef14 ; 
+ 77   :  3eef9 ; 
+ 78   :  3eedf ; 
+ 79   :  3eec5 ; 
+ 7a   :  3eeab ; 
+ 7b   :  3ee90 ; 
+ 7c   :  3ee76 ; 
+ 7d   :  3ee5c ; 
+ 7e   :  3ee43 ; 
+ 7f   :  3ee29 ; 
+ 80   :  3ee0f ; 
+ 81   :  3edf5 ; 
+ 82   :  3eddc ; 
+ 83   :  3edc2 ; 
+ 84   :  3eda9 ; 
+ 85   :  3ed8f ; 
+ 86   :  3ed76 ; 
+ 87   :  3ed5d ; 
+ 88   :  3ed43 ; 
+ 89   :  3ed2a ; 
+ 8a   :  3ed11 ; 
+ 8b   :  3ecf8 ; 
+ 8c   :  3ecdf ; 
+ 8d   :  3ecc7 ; 
+ 8e   :  3ecae ; 
+ 8f   :  3ec95 ; 
+ 90   :  3ec7c ; 
+ 91   :  3ec64 ; 
+ 92   :  3ec4b ; 
+ 93   :  3ec33 ; 
+ 94   :  3ec1b ; 
+ 95   :  3ec02 ; 
+ 96   :  3ebea ; 
+ 97   :  3ebd2 ; 
+ 98   :  3ebba ; 
+ 99   :  3eba2 ; 
+ 9a   :  3eb8a ; 
+ 9b   :  3eb72 ; 
+ 9c   :  3eb5a ; 
+ 9d   :  3eb43 ; 
+ 9e   :  3eb2b ; 
+ 9f   :  3eb13 ; 
+ a0   :  3eafc ; 
+ a1   :  3eae5 ; 
+ a2   :  3eacd ; 
+ a3   :  3eab6 ; 
+ a4   :  3ea9f ; 
+ a5   :  3ea88 ; 
+ a6   :  3ea71 ; 
+ a7   :  3ea5a ; 
+ a8   :  3ea43 ; 
+ a9   :  3ea2c ; 
+ aa   :  3ea15 ; 
+ ab   :  3e9fe ; 
+ ac   :  3e9e8 ; 
+ ad   :  3e9d1 ; 
+ ae   :  3e9bb ; 
+ af   :  3e9a4 ; 
+ b0   :  3e98e ; 
+ b1   :  3e978 ; 
+ b2   :  3e962 ; 
+ b3   :  3e94c ; 
+ b4   :  3e936 ; 
+ b5   :  3e920 ; 
+ b6   :  3e90a ; 
+ b7   :  3e8f4 ; 
+ b8   :  3e8df ; 
+ b9   :  3e8c9 ; 
+ ba   :  3e8b3 ; 
+ bb   :  3e89e ; 
+ bc   :  3e889 ; 
+ bd   :  3e873 ; 
+ be   :  3e85e ; 
+ bf   :  3e849 ; 
+ c0   :  3e834 ; 
+ c1   :  3e81f ; 
+ c2   :  3e80a ; 
+ c3   :  3e7f5 ; 
+ c4   :  3e7e0 ; 
+ c5   :  3e7cc ; 
+ c6   :  3e7b7 ; 
+ c7   :  3e7a2 ; 
+ c8   :  3e78e ; 
+ c9   :  3e77a ; 
+ ca   :  3e765 ; 
+ cb   :  3e751 ; 
+ cc   :  3e73d ; 
+ cd   :  3e729 ; 
+ ce   :  3e715 ; 
+ cf   :  3e701 ; 
+ d0   :  3e6ed ; 
+ d1   :  3e6d9 ; 
+ d2   :  3e6c6 ; 
+ d3   :  3e6b2 ; 
+ d4   :  3e69f ; 
+ d5   :  3e68b ; 
+ d6   :  3e678 ; 
+ d7   :  3e665 ; 
+ d8   :  3e652 ; 
+ d9   :  3e63e ; 
+ da   :  3e62b ; 
+ db   :  3e618 ; 
+ dc   :  3e606 ; 
+ dd   :  3e5f3 ; 
+ de   :  3e5e0 ; 
+ df   :  3e5ce ; 
+ e0   :  3e5bb ; 
+ e1   :  3e5a9 ; 
+ e2   :  3e596 ; 
+ e3   :  3e584 ; 
+ e4   :  3e572 ; 
+ e5   :  3e560 ; 
+ e6   :  3e54d ; 
+ e7   :  3e53c ; 
+ e8   :  3e52a ; 
+ e9   :  3e518 ; 
+ ea   :  3e506 ; 
+ eb   :  3e4f5 ; 
+ ec   :  3e4e3 ; 
+ ed   :  3e4d1 ; 
+ ee   :  3e4c0 ; 
+ ef   :  3e4af ; 
+ f0   :  3e49e ; 
+ f1   :  3e48c ; 
+ f2   :  3e47b ; 
+ f3   :  3e46a ; 
+ f4   :  3e459 ; 
+ f5   :  3e449 ; 
+ f6   :  3e438 ; 
+ f7   :  3e427 ; 
+ f8   :  3e417 ; 
+ f9   :  3e406 ; 
+ fa   :  3e3f6 ; 
+ fb   :  3e3e6 ; 
+ fc   :  3e3d5 ; 
+ fd   :  3e3c5 ; 
+ fe   :  3e3b5 ; 
+ ff   :  3e3a5 ; 
+ 100   :  3e395 ; 
+ 101   :  3e385 ; 
+ 102   :  3e376 ; 
+ 103   :  3e366 ; 
+ 104   :  3e357 ; 
+ 105   :  3e347 ; 
+ 106   :  3e338 ; 
+ 107   :  3e328 ; 
+ 108   :  3e319 ; 
+ 109   :  3e30a ; 
+ 10a   :  3e2fb ; 
+ 10b   :  3e2ec ; 
+ 10c   :  3e2dd ; 
+ 10d   :  3e2ce ; 
+ 10e   :  3e2c0 ; 
+ 10f   :  3e2b1 ; 
+ 110   :  3e2a2 ; 
+ 111   :  3e294 ; 
+ 112   :  3e286 ; 
+ 113   :  3e277 ; 
+ 114   :  3e269 ; 
+ 115   :  3e25b ; 
+ 116   :  3e24d ; 
+ 117   :  3e23f ; 
+ 118   :  3e231 ; 
+ 119   :  3e223 ; 
+ 11a   :  3e216 ; 
+ 11b   :  3e208 ; 
+ 11c   :  3e1fb ; 
+ 11d   :  3e1ed ; 
+ 11e   :  3e1e0 ; 
+ 11f   :  3e1d3 ; 
+ 120   :  3e1c5 ; 
+ 121   :  3e1b8 ; 
+ 122   :  3e1ab ; 
+ 123   :  3e19e ; 
+ 124   :  3e192 ; 
+ 125   :  3e185 ; 
+ 126   :  3e178 ; 
+ 127   :  3e16c ; 
+ 128   :  3e15f ; 
+ 129   :  3e153 ; 
+ 12a   :  3e146 ; 
+ 12b   :  3e13a ; 
+ 12c   :  3e12e ; 
+ 12d   :  3e122 ; 
+ 12e   :  3e116 ; 
+ 12f   :  3e10a ; 
+ 130   :  3e0fe ; 
+ 131   :  3e0f2 ; 
+ 132   :  3e0e7 ; 
+ 133   :  3e0db ; 
+ 134   :  3e0d0 ; 
+ 135   :  3e0c4 ; 
+ 136   :  3e0b9 ; 
+ 137   :  3e0ae ; 
+ 138   :  3e0a3 ; 
+ 139   :  3e098 ; 
+ 13a   :  3e08d ; 
+ 13b   :  3e082 ; 
+ 13c   :  3e077 ; 
+ 13d   :  3e06d ; 
+ 13e   :  3e062 ; 
+ 13f   :  3e058 ; 
+ 140   :  3e04d ; 
+ 141   :  3e043 ; 
+ 142   :  3e039 ; 
+ 143   :  3e02e ; 
+ 144   :  3e024 ; 
+ 145   :  3e01a ; 
+ 146   :  3e010 ; 
+ 147   :  3e007 ; 
+ 148   :  3dffd ; 
+ 149   :  3dff3 ; 
+ 14a   :  3dfea ; 
+ 14b   :  3dfe0 ; 
+ 14c   :  3dfd7 ; 
+ 14d   :  3dfce ; 
+ 14e   :  3dfc4 ; 
+ 14f   :  3dfbb ; 
+ 150   :  3dfb2 ; 
+ 151   :  3dfa9 ; 
+ 152   :  3dfa0 ; 
+ 153   :  3df98 ; 
+ 154   :  3df8f ; 
+ 155   :  3df86 ; 
+ 156   :  3df7e ; 
+ 157   :  3df75 ; 
+ 158   :  3df6d ; 
+ 159   :  3df65 ; 
+ 15a   :  3df5d ; 
+ 15b   :  3df55 ; 
+ 15c   :  3df4d ; 
+ 15d   :  3df45 ; 
+ 15e   :  3df3d ; 
+ 15f   :  3df35 ; 
+ 160   :  3df2d ; 
+ 161   :  3df26 ; 
+ 162   :  3df1e ; 
+ 163   :  3df17 ; 
+ 164   :  3df10 ; 
+ 165   :  3df08 ; 
+ 166   :  3df01 ; 
+ 167   :  3defa ; 
+ 168   :  3def3 ; 
+ 169   :  3deec ; 
+ 16a   :  3dee6 ; 
+ 16b   :  3dedf ; 
+ 16c   :  3ded8 ; 
+ 16d   :  3ded2 ; 
+ 16e   :  3decb ; 
+ 16f   :  3dec5 ; 
+ 170   :  3debf ; 
+ 171   :  3deb9 ; 
+ 172   :  3deb3 ; 
+ 173   :  3dead ; 
+ 174   :  3dea7 ; 
+ 175   :  3dea1 ; 
+ 176   :  3de9b ; 
+ 177   :  3de95 ; 
+ 178   :  3de90 ; 
+ 179   :  3de8a ; 
+ 17a   :  3de85 ; 
+ 17b   :  3de80 ; 
+ 17c   :  3de7a ; 
+ 17d   :  3de75 ; 
+ 17e   :  3de70 ; 
+ 17f   :  3de6b ; 
+ 180   :  3de66 ; 
+ 181   :  3de62 ; 
+ 182   :  3de5d ; 
+ 183   :  3de58 ; 
+ 184   :  3de54 ; 
+ 185   :  3de4f ; 
+ 186   :  3de4b ; 
+ 187   :  3de47 ; 
+ 188   :  3de42 ; 
+ 189   :  3de3e ; 
+ 18a   :  3de3a ; 
+ 18b   :  3de36 ; 
+ 18c   :  3de33 ; 
+ 18d   :  3de2f ; 
+ 18e   :  3de2b ; 
+ 18f   :  3de27 ; 
+ 190   :  3de24 ; 
+ 191   :  3de21 ; 
+ 192   :  3de1d ; 
+ 193   :  3de1a ; 
+ 194   :  3de17 ; 
+ 195   :  3de14 ; 
+ 196   :  3de11 ; 
+ 197   :  3de0e ; 
+ 198   :  3de0b ; 
+ 199   :  3de08 ; 
+ 19a   :  3de06 ; 
+ 19b   :  3de03 ; 
+ 19c   :  3de00 ; 
+ 19d   :  3ddfe ; 
+ 19e   :  3ddfc ; 
+ 19f   :  3ddf9 ; 
+ 1a0   :  3ddf7 ; 
+ 1a1   :  3ddf5 ; 
+ 1a2   :  3ddf3 ; 
+ 1a3   :  3ddf1 ; 
+ 1a4   :  3ddf0 ; 
+ 1a5   :  3ddee ; 
+ 1a6   :  3ddec ; 
+ 1a7   :  3ddeb ; 
+ 1a8   :  3dde9 ; 
+ 1a9   :  3dde8 ; 
+ 1aa   :  3dde6 ; 
+ 1ab   :  3dde5 ; 
+ 1ac   :  3dde4 ; 
+ 1ad   :  3dde3 ; 
+ 1ae   :  3dde2 ; 
+ 1af   :  3dde1 ; 
+ 1b0   :  3dde0 ; 
+ 1b1   :  3dde0 ; 
+ 1b2   :  3dddf ; 
+ 1b3   :  3ddde ; 
+ 1b4   :  3ddde ; 
+ 1b5   :  3ddde ; 
+ 1b6   :  3dddd ; 
+ 1b7   :  3dddd ; 
+ 1b8   :  3dddd ; 
+ 1b9   :  3dddd ; 
+ 1ba   :  3dddd ; 
+ 1bb   :  3dddd ; 
+ 1bc   :  3dddd ; 
+ 1bd   :  3dddd ; 
+ 1be   :  3ddde ; 
+ 1bf   :  3ddde ; 
+ 1c0   :  3dddf ; 
+ 1c1   :  3dddf ; 
+ 1c2   :  3dde0 ; 
+ 1c3   :  3dde1 ; 
+ 1c4   :  3dde2 ; 
+ 1c5   :  3dde2 ; 
+ 1c6   :  3dde3 ; 
+ 1c7   :  3dde5 ; 
+ 1c8   :  3dde6 ; 
+ 1c9   :  3dde7 ; 
+ 1ca   :  3dde8 ; 
+ 1cb   :  3ddea ; 
+ 1cc   :  3ddeb ; 
+ 1cd   :  3dded ; 
+ 1ce   :  3ddee ; 
+ 1cf   :  3ddf0 ; 
+ 1d0   :  3ddf2 ; 
+ 1d1   :  3ddf4 ; 
+ 1d2   :  3ddf6 ; 
+ 1d3   :  3ddf8 ; 
+ 1d4   :  3ddfa ; 
+ 1d5   :  3ddfc ; 
+ 1d6   :  3ddff ; 
+ 1d7   :  3de01 ; 
+ 1d8   :  3de03 ; 
+ 1d9   :  3de06 ; 
+ 1da   :  3de09 ; 
+ 1db   :  3de0b ; 
+ 1dc   :  3de0e ; 
+ 1dd   :  3de11 ; 
+ 1de   :  3de14 ; 
+ 1df   :  3de17 ; 
+ 1e0   :  3de1a ; 
+ 1e1   :  3de1d ; 
+ 1e2   :  3de20 ; 
+ 1e3   :  3de24 ; 
+ 1e4   :  3de27 ; 
+ 1e5   :  3de2b ; 
+ 1e6   :  3de2e ; 
+ 1e7   :  3de32 ; 
+ 1e8   :  3de36 ; 
+ 1e9   :  3de39 ; 
+ 1ea   :  3de3d ; 
+ 1eb   :  3de41 ; 
+ 1ec   :  3de45 ; 
+ 1ed   :  3de4a ; 
+ 1ee   :  3de4e ; 
+ 1ef   :  3de52 ; 
+ 1f0   :  3de56 ; 
+ 1f1   :  3de5b ; 
+ 1f2   :  3de5f ; 
+ 1f3   :  3de64 ; 
+ 1f4   :  3de69 ; 
+ 1f5   :  3de6d ; 
+ 1f6   :  3de72 ; 
+ 1f7   :  3de77 ; 
+ 1f8   :  3de7c ; 
+ 1f9   :  3de81 ; 
+ 1fa   :  3de86 ; 
+ 1fb   :  3de8b ; 
+ 1fc   :  3de91 ; 
+ 1fd   :  3de96 ; 
+ 1fe   :  3de9b ; 
+ 1ff   :  3dea1 ; 
+ 200   :  3dea6 ; 
+ 201   :  3deac ; 
+ 202   :  3deb2 ; 
+ 203   :  3deb8 ; 
+ 204   :  3debe ; 
+ 205   :  3dec3 ; 
+ 206   :  3dec9 ; 
+ 207   :  3ded0 ; 
+ 208   :  3ded6 ; 
+ 209   :  3dedc ; 
+ 20a   :  3dee2 ; 
+ 20b   :  3dee9 ; 
+ 20c   :  3deef ; 
+ 20d   :  3def6 ; 
+ 20e   :  3defc ; 
+ 20f   :  3df03 ; 
+ 210   :  3df0a ; 
+ 211   :  3df11 ; 
+ 212   :  3df18 ; 
+ 213   :  3df1f ; 
+ 214   :  3df26 ; 
+ 215   :  3df2d ; 
+ 216   :  3df34 ; 
+ 217   :  3df3b ; 
+ 218   :  3df43 ; 
+ 219   :  3df4a ; 
+ 21a   :  3df52 ; 
+ 21b   :  3df59 ; 
+ 21c   :  3df61 ; 
+ 21d   :  3df69 ; 
+ 21e   :  3df70 ; 
+ 21f   :  3df78 ; 
+ 220   :  3df80 ; 
+ 221   :  3df88 ; 
+ 222   :  3df90 ; 
+ 223   :  3df98 ; 
+ 224   :  3dfa1 ; 
+ 225   :  3dfa9 ; 
+ 226   :  3dfb1 ; 
+ 227   :  3dfba ; 
+ 228   :  3dfc2 ; 
+ 229   :  3dfcb ; 
+ 22a   :  3dfd3 ; 
+ 22b   :  3dfdc ; 
+ 22c   :  3dfe5 ; 
+ 22d   :  3dfee ; 
+ 22e   :  3dff7 ; 
+ 22f   :  3e000 ; 
+ 230   :  3e009 ; 
+ 231   :  3e012 ; 
+ 232   :  3e01b ; 
+ 233   :  3e024 ; 
+ 234   :  3e02e ; 
+ 235   :  3e037 ; 
+ 236   :  3e040 ; 
+ 237   :  3e04a ; 
+ 238   :  3e054 ; 
+ 239   :  3e05d ; 
+ 23a   :  3e067 ; 
+ 23b   :  3e071 ; 
+ 23c   :  3e07b ; 
+ 23d   :  3e085 ; 
+ 23e   :  3e08f ; 
+ 23f   :  3e099 ; 
+ 240   :  3e0a3 ; 
+ 241   :  3e0ad ; 
+ 242   :  3e0b7 ; 
+ 243   :  3e0c2 ; 
+ 244   :  3e0cc ; 
+ 245   :  3e0d6 ; 
+ 246   :  3e0e1 ; 
+ 247   :  3e0ec ; 
+ 248   :  3e0f6 ; 
+ 249   :  3e101 ; 
+ 24a   :  3e10c ; 
+ 24b   :  3e117 ; 
+ 24c   :  3e122 ; 
+ 24d   :  3e12d ; 
+ 24e   :  3e138 ; 
+ 24f   :  3e143 ; 
+ 250   :  3e14e ; 
+ 251   :  3e159 ; 
+ 252   :  3e165 ; 
+ 253   :  3e170 ; 
+ 254   :  3e17b ; 
+ 255   :  3e187 ; 
+ 256   :  3e192 ; 
+ 257   :  3e19e ; 
+ 258   :  3e1aa ; 
+ 259   :  3e1b6 ; 
+ 25a   :  3e1c1 ; 
+ 25b   :  3e1cd ; 
+ 25c   :  3e1d9 ; 
+ 25d   :  3e1e5 ; 
+ 25e   :  3e1f1 ; 
+ 25f   :  3e1fd ; 
+ 260   :  3e20a ; 
+ 261   :  3e216 ; 
+ 262   :  3e222 ; 
+ 263   :  3e22e ; 
+ 264   :  3e23b ; 
+ 265   :  3e247 ; 
+ 266   :  3e254 ; 
+ 267   :  3e261 ; 
+ 268   :  3e26d ; 
+ 269   :  3e27a ; 
+ 26a   :  3e287 ; 
+ 26b   :  3e294 ; 
+ 26c   :  3e2a1 ; 
+ 26d   :  3e2ae ; 
+ 26e   :  3e2bb ; 
+ 26f   :  3e2c8 ; 
+ 270   :  3e2d5 ; 
+ 271   :  3e2e2 ; 
+ 272   :  3e2ef ; 
+ 273   :  3e2fd ; 
+ 274   :  3e30a ; 
+ 275   :  3e317 ; 
+ 276   :  3e325 ; 
+ 277   :  3e332 ; 
+ 278   :  3e340 ; 
+ 279   :  3e34e ; 
+ 27a   :  3e35b ; 
+ 27b   :  3e369 ; 
+ 27c   :  3e377 ; 
+ 27d   :  3e385 ; 
+ 27e   :  3e393 ; 
+ 27f   :  3e3a1 ; 
+ 280   :  3e3af ; 
+ 281   :  3e3bd ; 
+ 282   :  3e3cb ; 
+ 283   :  3e3d9 ; 
+ 284   :  3e3e8 ; 
+ 285   :  3e3f6 ; 
+ 286   :  3e404 ; 
+ 287   :  3e413 ; 
+ 288   :  3e421 ; 
+ 289   :  3e430 ; 
+ 28a   :  3e43f ; 
+ 28b   :  3e44d ; 
+ 28c   :  3e45c ; 
+ 28d   :  3e46b ; 
+ 28e   :  3e47a ; 
+ 28f   :  3e488 ; 
+ 290   :  3e497 ; 
+ 291   :  3e4a6 ; 
+ 292   :  3e4b5 ; 
+ 293   :  3e4c4 ; 
+ 294   :  3e4d4 ; 
+ 295   :  3e4e3 ; 
+ 296   :  3e4f2 ; 
+ 297   :  3e501 ; 
+ 298   :  3e511 ; 
+ 299   :  3e520 ; 
+ 29a   :  3e530 ; 
+ 29b   :  3e53f ; 
+ 29c   :  3e54f ; 
+ 29d   :  3e55e ; 
+ 29e   :  3e56e ; 
+ 29f   :  3e57e ; 
+ 2a0   :  3e58d ; 
+ 2a1   :  3e59d ; 
+ 2a2   :  3e5ad ; 
+ 2a3   :  3e5bd ; 
+ 2a4   :  3e5cd ; 
+ 2a5   :  3e5dd ; 
+ 2a6   :  3e5ed ; 
+ 2a7   :  3e5fd ; 
+ 2a8   :  3e60d ; 
+ 2a9   :  3e61d ; 
+ 2aa   :  3e62d ; 
+ 2ab   :  3e63e ; 
+ 2ac   :  3e64e ; 
+ 2ad   :  3e65e ; 
+ 2ae   :  3e66f ; 
+ 2af   :  3e67f ; 
+ 2b0   :  3e690 ; 
+ 2b1   :  3e6a0 ; 
+ 2b2   :  3e6b1 ; 
+ 2b3   :  3e6c2 ; 
+ 2b4   :  3e6d2 ; 
+ 2b5   :  3e6e3 ; 
+ 2b6   :  3e6f4 ; 
+ 2b7   :  3e705 ; 
+ 2b8   :  3e716 ; 
+ 2b9   :  3e727 ; 
+ 2ba   :  3e738 ; 
+ 2bb   :  3e749 ; 
+ 2bc   :  3e75a ; 
+ 2bd   :  3e76b ; 
+ 2be   :  3e77c ; 
+ 2bf   :  3e78d ; 
+ 2c0   :  3e79e ; 
+ 2c1   :  3e7b0 ; 
+ 2c2   :  3e7c1 ; 
+ 2c3   :  3e7d2 ; 
+ 2c4   :  3e7e4 ; 
+ 2c5   :  3e7f5 ; 
+ 2c6   :  3e807 ; 
+ 2c7   :  3e818 ; 
+ 2c8   :  3e82a ; 
+ 2c9   :  3e83b ; 
+ 2ca   :  3e84d ; 
+ 2cb   :  3e85f ; 
+ 2cc   :  3e870 ; 
+ 2cd   :  3e882 ; 
+ 2ce   :  3e894 ; 
+ 2cf   :  3e8a6 ; 
+ 2d0   :  3e8b8 ; 
+ 2d1   :  3e8ca ; 
+ 2d2   :  3e8dc ; 
+ 2d3   :  3e8ee ; 
+ 2d4   :  3e900 ; 
+ 2d5   :  3e912 ; 
+ 2d6   :  3e924 ; 
+ 2d7   :  3e936 ; 
+ 2d8   :  3e948 ; 
+ 2d9   :  3e95b ; 
+ 2da   :  3e96d ; 
+ 2db   :  3e97f ; 
+ 2dc   :  3e992 ; 
+ 2dd   :  3e9a4 ; 
+ 2de   :  3e9b7 ; 
+ 2df   :  3e9c9 ; 
+ 2e0   :  3e9dc ; 
+ 2e1   :  3e9ee ; 
+ 2e2   :  3ea01 ; 
+ 2e3   :  3ea13 ; 
+ 2e4   :  3ea26 ; 
+ 2e5   :  3ea39 ; 
+ 2e6   :  3ea4b ; 
+ 2e7   :  3ea5e ; 
+ 2e8   :  3ea71 ; 
+ 2e9   :  3ea84 ; 
+ 2ea   :  3ea97 ; 
+ 2eb   :  3eaaa ; 
+ 2ec   :  3eabd ; 
+ 2ed   :  3ead0 ; 
+ 2ee   :  3eae3 ; 
+ 2ef   :  3eaf6 ; 
+ 2f0   :  3eb09 ; 
+ 2f1   :  3eb1c ; 
+ 2f2   :  3eb2f ; 
+ 2f3   :  3eb42 ; 
+ 2f4   :  3eb55 ; 
+ 2f5   :  3eb69 ; 
+ 2f6   :  3eb7c ; 
+ 2f7   :  3eb8f ; 
+ 2f8   :  3eba2 ; 
+ 2f9   :  3ebb6 ; 
+ 2fa   :  3ebc9 ; 
+ 2fb   :  3ebdd ; 
+ 2fc   :  3ebf0 ; 
+ 2fd   :  3ec04 ; 
+ 2fe   :  3ec17 ; 
+ 2ff   :  3ec2b ; 
+ 300   :  3ec3e ; 
+ 301   :  3ec52 ; 
+ 302   :  3ec66 ; 
+ 303   :  3ec79 ; 
+ 304   :  3ec8d ; 
+ 305   :  3eca1 ; 
+ 306   :  3ecb4 ; 
+ 307   :  3ecc8 ; 
+ 308   :  3ecdc ; 
+ 309   :  3ecf0 ; 
+ 30a   :  3ed04 ; 
+ 30b   :  3ed18 ; 
+ 30c   :  3ed2c ; 
+ 30d   :  3ed40 ; 
+ 30e   :  3ed54 ; 
+ 30f   :  3ed68 ; 
+ 310   :  3ed7c ; 
+ 311   :  3ed90 ; 
+ 312   :  3eda4 ; 
+ 313   :  3edb8 ; 
+ 314   :  3edcc ; 
+ 315   :  3ede0 ; 
+ 316   :  3edf5 ; 
+ 317   :  3ee09 ; 
+ 318   :  3ee1d ; 
+ 319   :  3ee31 ; 
+ 31a   :  3ee46 ; 
+ 31b   :  3ee5a ; 
+ 31c   :  3ee6e ; 
+ 31d   :  3ee83 ; 
+ 31e   :  3ee97 ; 
+ 31f   :  3eeab ; 
+ 320   :  3eec0 ; 
+ 321   :  3eed4 ; 
+ 322   :  3eee9 ; 
+ 323   :  3eefd ; 
+ 324   :  3ef12 ; 
+ 325   :  3ef26 ; 
+ 326   :  3ef3b ; 
+ 327   :  3ef50 ; 
+ 328   :  3ef64 ; 
+ 329   :  3ef79 ; 
+ 32a   :  3ef8e ; 
+ 32b   :  3efa2 ; 
+ 32c   :  3efb7 ; 
+ 32d   :  3efcc ; 
+ 32e   :  3efe0 ; 
+ 32f   :  3eff5 ; 
+ 330   :  3f00a ; 
+ 331   :  3f01f ; 
+ 332   :  3f034 ; 
+ 333   :  3f048 ; 
+ 334   :  3f05d ; 
+ 335   :  3f072 ; 
+ 336   :  3f087 ; 
+ 337   :  3f09c ; 
+ 338   :  3f0b1 ; 
+ 339   :  3f0c6 ; 
+ 33a   :  3f0db ; 
+ 33b   :  3f0f0 ; 
+ 33c   :  3f105 ; 
+ 33d   :  3f11a ; 
+ 33e   :  3f12f ; 
+ 33f   :  3f144 ; 
+ 340   :  3f159 ; 
+ 341   :  3f16e ; 
+ 342   :  3f183 ; 
+ 343   :  3f199 ; 
+ 344   :  3f1ae ; 
+ 345   :  3f1c3 ; 
+ 346   :  3f1d8 ; 
+ 347   :  3f1ed ; 
+ 348   :  3f202 ; 
+ 349   :  3f218 ; 
+ 34a   :  3f22d ; 
+ 34b   :  3f242 ; 
+ 34c   :  3f257 ; 
+ 34d   :  3f26d ; 
+ 34e   :  3f282 ; 
+ 34f   :  3f297 ; 
+ 350   :  3f2ad ; 
+ 351   :  3f2c2 ; 
+ 352   :  3f2d7 ; 
+ 353   :  3f2ed ; 
+ 354   :  3f302 ; 
+ 355   :  3f317 ; 
+ 356   :  3f32d ; 
+ 357   :  3f342 ; 
+ 358   :  3f358 ; 
+ 359   :  3f36d ; 
+ 35a   :  3f383 ; 
+ 35b   :  3f398 ; 
+ 35c   :  3f3ad ; 
+ 35d   :  3f3c3 ; 
+ 35e   :  3f3d8 ; 
+ 35f   :  3f3ee ; 
+ 360   :  3f403 ; 
+ 361   :  3f419 ; 
+ 362   :  3f42e ; 
+ 363   :  3f444 ; 
+ 364   :  3f45a ; 
+ 365   :  3f46f ; 
+ 366   :  3f485 ; 
+ 367   :  3f49a ; 
+ 368   :  3f4b0 ; 
+ 369   :  3f4c5 ; 
+ 36a   :  3f4db ; 
+ 36b   :  3f4f1 ; 
+ 36c   :  3f506 ; 
+ 36d   :  3f51c ; 
+ 36e   :  3f531 ; 
+ 36f   :  3f547 ; 
+ 370   :  3f55d ; 
+ 371   :  3f572 ; 
+ 372   :  3f588 ; 
+ 373   :  3f59e ; 
+ 374   :  3f5b3 ; 
+ 375   :  3f5c9 ; 
+ 376   :  3f5df ; 
+ 377   :  3f5f4 ; 
+ 378   :  3f60a ; 
+ 379   :  3f620 ; 
+ 37a   :  3f635 ; 
+ 37b   :  3f64b ; 
+ 37c   :  3f661 ; 
+ 37d   :  3f676 ; 
+ 37e   :  3f68c ; 
+ 37f   :  3f6a2 ; 
+ 380   :  3f6b8 ; 
+ 381   :  3f6cd ; 
+ 382   :  3f6e3 ; 
+ 383   :  3f6f9 ; 
+ 384   :  3f70e ; 
+ 385   :  3f724 ; 
+ 386   :  3f73a ; 
+ 387   :  3f750 ; 
+ 388   :  3f765 ; 
+ 389   :  3f77b ; 
+ 38a   :  3f791 ; 
+ 38b   :  3f7a7 ; 
+ 38c   :  3f7bc ; 
+ 38d   :  3f7d2 ; 
+ 38e   :  3f7e8 ; 
+ 38f   :  3f7fe ; 
+ 390   :  3f813 ; 
+ 391   :  3f829 ; 
+ 392   :  3f83f ; 
+ 393   :  3f855 ; 
+ 394   :  3f86a ; 
+ 395   :  3f880 ; 
+ 396   :  3f896 ; 
+ 397   :  3f8ab ; 
+ 398   :  3f8c1 ; 
+ 399   :  3f8d7 ; 
+ 39a   :  3f8ed ; 
+ 39b   :  3f902 ; 
+ 39c   :  3f918 ; 
+ 39d   :  3f92e ; 
+ 39e   :  3f944 ; 
+ 39f   :  3f959 ; 
+ 3a0   :  3f96f ; 
+ 3a1   :  3f985 ; 
+ 3a2   :  3f99a ; 
+ 3a3   :  3f9b0 ; 
+ 3a4   :  3f9c6 ; 
+ 3a5   :  3f9dc ; 
+ 3a6   :  3f9f1 ; 
+ 3a7   :  3fa07 ; 
+ 3a8   :  3fa1d ; 
+ 3a9   :  3fa32 ; 
+ 3aa   :  3fa48 ; 
+ 3ab   :  3fa5e ; 
+ 3ac   :  3fa73 ; 
+ 3ad   :  3fa89 ; 
+ 3ae   :  3fa9f ; 
+ 3af   :  3fab4 ; 
+ 3b0   :  3faca ; 
+ 3b1   :  3fadf ; 
+ 3b2   :  3faf5 ; 
+ 3b3   :  3fb0b ; 
+ 3b4   :  3fb20 ; 
+ 3b5   :  3fb36 ; 
+ 3b6   :  3fb4c ; 
+ 3b7   :  3fb61 ; 
+ 3b8   :  3fb77 ; 
+ 3b9   :  3fb8c ; 
+ 3ba   :  3fba2 ; 
+ 3bb   :  3fbb7 ; 
+ 3bc   :  3fbcd ; 
+ 3bd   :  3fbe2 ; 
+ 3be   :  3fbf8 ; 
+ 3bf   :  3fc0e ; 
+ 3c0   :  3fc23 ; 
+ 3c1   :  3fc39 ; 
+ 3c2   :  3fc4e ; 
+ 3c3   :  3fc64 ; 
+ 3c4   :  3fc79 ; 
+ 3c5   :  3fc8e ; 
+ 3c6   :  3fca4 ; 
+ 3c7   :  3fcb9 ; 
+ 3c8   :  3fccf ; 
+ 3c9   :  3fce4 ; 
+ 3ca   :  3fcfa ; 
+ 3cb   :  3fd0f ; 
+ 3cc   :  3fd24 ; 
+ 3cd   :  3fd3a ; 
+ 3ce   :  3fd4f ; 
+ 3cf   :  3fd64 ; 
+ 3d0   :  3fd7a ; 
+ 3d1   :  3fd8f ; 
+ 3d2   :  3fda4 ; 
+ 3d3   :  3fdba ; 
+ 3d4   :  3fdcf ; 
+ 3d5   :  3fde4 ; 
+ 3d6   :  3fdfa ; 
+ 3d7   :  3fe0f ; 
+ 3d8   :  3fe24 ; 
+ 3d9   :  3fe39 ; 
+ 3da   :  3fe4e ; 
+ 3db   :  3fe64 ; 
+ 3dc   :  3fe79 ; 
+ 3dd   :  3fe8e ; 
+ 3de   :  3fea3 ; 
+ 3df   :  3feb8 ; 
+ 3e0   :  3fecd ; 
+ 3e1   :  3fee2 ; 
+ 3e2   :  3fef8 ; 
+ 3e3   :  3ff0d ; 
+ 3e4   :  3ff22 ; 
+ 3e5   :  3ff37 ; 
+ 3e6   :  3ff4c ; 
+ 3e7   :  3ff61 ; 
+ 3e8   :  3ff76 ; 
+ 3e9   :  3ff8b ; 
+ 3ea   :  3ffa0 ; 
+ 3eb   :  3ffb5 ; 
+ 3ec   :  3ffc9 ; 
+ 3ed   :  3ffde ; 
+ 3ee   :  3fff3 ; 
+ 3ef   :  8 ; 
+ 3f0   :  1d ; 
+ 3f1   :  32 ; 
+ 3f2   :  47 ; 
+ 3f3   :  5b ; 
+ 3f4   :  70 ; 
+ 3f5   :  85 ; 
+ 3f6   :  9a ; 
+ 3f7   :  ae ; 
+ 3f8   :  c3 ; 
+ 3f9   :  d8 ; 
+ 3fa   :  ec ; 
+ 3fb   :  101 ; 
+ 3fc   :  116 ; 
+ 3fd   :  12a ; 
+ 3fe   :  13f ; 
+ 3ff   :  153 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_5.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_5.mif
new file mode 100644
index 0000000000000000000000000000000000000000..c765d0b2fe350eaca956b19dfd230c4be7f9cfda
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_5.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  455 ; 
+ 1   :  48d ; 
+ 2   :  4c5 ; 
+ 3   :  4fe ; 
+ 4   :  536 ; 
+ 5   :  56d ; 
+ 6   :  5a5 ; 
+ 7   :  5dd ; 
+ 8   :  615 ; 
+ 9   :  64c ; 
+ a   :  684 ; 
+ b   :  6bb ; 
+ c   :  6f3 ; 
+ d   :  72a ; 
+ e   :  761 ; 
+ f   :  798 ; 
+ 10   :  7cf ; 
+ 11   :  806 ; 
+ 12   :  83d ; 
+ 13   :  874 ; 
+ 14   :  8aa ; 
+ 15   :  8e1 ; 
+ 16   :  917 ; 
+ 17   :  94e ; 
+ 18   :  984 ; 
+ 19   :  9ba ; 
+ 1a   :  9f1 ; 
+ 1b   :  a27 ; 
+ 1c   :  a5d ; 
+ 1d   :  a92 ; 
+ 1e   :  ac8 ; 
+ 1f   :  afe ; 
+ 20   :  b34 ; 
+ 21   :  b69 ; 
+ 22   :  b9e ; 
+ 23   :  bd4 ; 
+ 24   :  c09 ; 
+ 25   :  c3e ; 
+ 26   :  c73 ; 
+ 27   :  ca8 ; 
+ 28   :  cdd ; 
+ 29   :  d12 ; 
+ 2a   :  d47 ; 
+ 2b   :  d7b ; 
+ 2c   :  db0 ; 
+ 2d   :  de4 ; 
+ 2e   :  e18 ; 
+ 2f   :  e4d ; 
+ 30   :  e81 ; 
+ 31   :  eb5 ; 
+ 32   :  ee9 ; 
+ 33   :  f1c ; 
+ 34   :  f50 ; 
+ 35   :  f84 ; 
+ 36   :  fb7 ; 
+ 37   :  feb ; 
+ 38   :  101e ; 
+ 39   :  1051 ; 
+ 3a   :  1084 ; 
+ 3b   :  10b7 ; 
+ 3c   :  10ea ; 
+ 3d   :  111d ; 
+ 3e   :  1150 ; 
+ 3f   :  1182 ; 
+ 40   :  11b5 ; 
+ 41   :  11e7 ; 
+ 42   :  121a ; 
+ 43   :  124c ; 
+ 44   :  127e ; 
+ 45   :  12b0 ; 
+ 46   :  12e2 ; 
+ 47   :  1313 ; 
+ 48   :  1345 ; 
+ 49   :  1377 ; 
+ 4a   :  13a8 ; 
+ 4b   :  13da ; 
+ 4c   :  140b ; 
+ 4d   :  143c ; 
+ 4e   :  146d ; 
+ 4f   :  149e ; 
+ 50   :  14cf ; 
+ 51   :  14ff ; 
+ 52   :  1530 ; 
+ 53   :  1561 ; 
+ 54   :  1591 ; 
+ 55   :  15c1 ; 
+ 56   :  15f1 ; 
+ 57   :  1621 ; 
+ 58   :  1651 ; 
+ 59   :  1681 ; 
+ 5a   :  16b1 ; 
+ 5b   :  16e0 ; 
+ 5c   :  1710 ; 
+ 5d   :  173f ; 
+ 5e   :  176f ; 
+ 5f   :  179e ; 
+ 60   :  17cd ; 
+ 61   :  17fc ; 
+ 62   :  182b ; 
+ 63   :  1859 ; 
+ 64   :  1888 ; 
+ 65   :  18b6 ; 
+ 66   :  18e5 ; 
+ 67   :  1913 ; 
+ 68   :  1941 ; 
+ 69   :  196f ; 
+ 6a   :  199d ; 
+ 6b   :  19cb ; 
+ 6c   :  19f8 ; 
+ 6d   :  1a26 ; 
+ 6e   :  1a53 ; 
+ 6f   :  1a81 ; 
+ 70   :  1aae ; 
+ 71   :  1adb ; 
+ 72   :  1b08 ; 
+ 73   :  1b35 ; 
+ 74   :  1b61 ; 
+ 75   :  1b8e ; 
+ 76   :  1bba ; 
+ 77   :  1be7 ; 
+ 78   :  1c13 ; 
+ 79   :  1c3f ; 
+ 7a   :  1c6b ; 
+ 7b   :  1c97 ; 
+ 7c   :  1cc3 ; 
+ 7d   :  1cee ; 
+ 7e   :  1d1a ; 
+ 7f   :  1d45 ; 
+ 80   :  1d71 ; 
+ 81   :  1d9c ; 
+ 82   :  1dc7 ; 
+ 83   :  1df2 ; 
+ 84   :  1e1c ; 
+ 85   :  1e47 ; 
+ 86   :  1e72 ; 
+ 87   :  1e9c ; 
+ 88   :  1ec6 ; 
+ 89   :  1ef0 ; 
+ 8a   :  1f1a ; 
+ 8b   :  1f44 ; 
+ 8c   :  1f6e ; 
+ 8d   :  1f98 ; 
+ 8e   :  1fc1 ; 
+ 8f   :  1feb ; 
+ 90   :  2014 ; 
+ 91   :  203d ; 
+ 92   :  2066 ; 
+ 93   :  208f ; 
+ 94   :  20b8 ; 
+ 95   :  20e0 ; 
+ 96   :  2109 ; 
+ 97   :  2131 ; 
+ 98   :  215a ; 
+ 99   :  2182 ; 
+ 9a   :  21aa ; 
+ 9b   :  21d1 ; 
+ 9c   :  21f9 ; 
+ 9d   :  2221 ; 
+ 9e   :  2248 ; 
+ 9f   :  2270 ; 
+ a0   :  2297 ; 
+ a1   :  22be ; 
+ a2   :  22e5 ; 
+ a3   :  230c ; 
+ a4   :  2332 ; 
+ a5   :  2359 ; 
+ a6   :  237f ; 
+ a7   :  23a6 ; 
+ a8   :  23cc ; 
+ a9   :  23f2 ; 
+ aa   :  2418 ; 
+ ab   :  243e ; 
+ ac   :  2463 ; 
+ ad   :  2489 ; 
+ ae   :  24ae ; 
+ af   :  24d3 ; 
+ b0   :  24f8 ; 
+ b1   :  251d ; 
+ b2   :  2542 ; 
+ b3   :  2567 ; 
+ b4   :  258b ; 
+ b5   :  25b0 ; 
+ b6   :  25d4 ; 
+ b7   :  25f8 ; 
+ b8   :  261c ; 
+ b9   :  2640 ; 
+ ba   :  2664 ; 
+ bb   :  2688 ; 
+ bc   :  26ab ; 
+ bd   :  26ce ; 
+ be   :  26f2 ; 
+ bf   :  2715 ; 
+ c0   :  2738 ; 
+ c1   :  275a ; 
+ c2   :  277d ; 
+ c3   :  27a0 ; 
+ c4   :  27c2 ; 
+ c5   :  27e4 ; 
+ c6   :  2806 ; 
+ c7   :  2828 ; 
+ c8   :  284a ; 
+ c9   :  286c ; 
+ ca   :  288e ; 
+ cb   :  28af ; 
+ cc   :  28d0 ; 
+ cd   :  28f1 ; 
+ ce   :  2912 ; 
+ cf   :  2933 ; 
+ d0   :  2954 ; 
+ d1   :  2975 ; 
+ d2   :  2995 ; 
+ d3   :  29b5 ; 
+ d4   :  29d6 ; 
+ d5   :  29f6 ; 
+ d6   :  2a15 ; 
+ d7   :  2a35 ; 
+ d8   :  2a55 ; 
+ d9   :  2a74 ; 
+ da   :  2a94 ; 
+ db   :  2ab3 ; 
+ dc   :  2ad2 ; 
+ dd   :  2af1 ; 
+ de   :  2b10 ; 
+ df   :  2b2e ; 
+ e0   :  2b4d ; 
+ e1   :  2b6b ; 
+ e2   :  2b89 ; 
+ e3   :  2ba7 ; 
+ e4   :  2bc5 ; 
+ e5   :  2be3 ; 
+ e6   :  2c01 ; 
+ e7   :  2c1e ; 
+ e8   :  2c3b ; 
+ e9   :  2c59 ; 
+ ea   :  2c76 ; 
+ eb   :  2c93 ; 
+ ec   :  2caf ; 
+ ed   :  2ccc ; 
+ ee   :  2ce9 ; 
+ ef   :  2d05 ; 
+ f0   :  2d21 ; 
+ f1   :  2d3d ; 
+ f2   :  2d59 ; 
+ f3   :  2d75 ; 
+ f4   :  2d91 ; 
+ f5   :  2dac ; 
+ f6   :  2dc7 ; 
+ f7   :  2de3 ; 
+ f8   :  2dfe ; 
+ f9   :  2e19 ; 
+ fa   :  2e33 ; 
+ fb   :  2e4e ; 
+ fc   :  2e68 ; 
+ fd   :  2e83 ; 
+ fe   :  2e9d ; 
+ ff   :  2eb7 ; 
+ 100   :  2ed1 ; 
+ 101   :  2eeb ; 
+ 102   :  2f04 ; 
+ 103   :  2f1e ; 
+ 104   :  2f37 ; 
+ 105   :  2f50 ; 
+ 106   :  2f69 ; 
+ 107   :  2f82 ; 
+ 108   :  2f9b ; 
+ 109   :  2fb3 ; 
+ 10a   :  2fcc ; 
+ 10b   :  2fe4 ; 
+ 10c   :  2ffc ; 
+ 10d   :  3014 ; 
+ 10e   :  302c ; 
+ 10f   :  3044 ; 
+ 110   :  305c ; 
+ 111   :  3073 ; 
+ 112   :  308a ; 
+ 113   :  30a1 ; 
+ 114   :  30b8 ; 
+ 115   :  30cf ; 
+ 116   :  30e6 ; 
+ 117   :  30fd ; 
+ 118   :  3113 ; 
+ 119   :  3129 ; 
+ 11a   :  313f ; 
+ 11b   :  3155 ; 
+ 11c   :  316b ; 
+ 11d   :  3181 ; 
+ 11e   :  3196 ; 
+ 11f   :  31ac ; 
+ 120   :  31c1 ; 
+ 121   :  31d6 ; 
+ 122   :  31eb ; 
+ 123   :  3200 ; 
+ 124   :  3214 ; 
+ 125   :  3229 ; 
+ 126   :  323d ; 
+ 127   :  3251 ; 
+ 128   :  3266 ; 
+ 129   :  3279 ; 
+ 12a   :  328d ; 
+ 12b   :  32a1 ; 
+ 12c   :  32b4 ; 
+ 12d   :  32c8 ; 
+ 12e   :  32db ; 
+ 12f   :  32ee ; 
+ 130   :  3301 ; 
+ 131   :  3313 ; 
+ 132   :  3326 ; 
+ 133   :  3338 ; 
+ 134   :  334b ; 
+ 135   :  335d ; 
+ 136   :  336f ; 
+ 137   :  3381 ; 
+ 138   :  3392 ; 
+ 139   :  33a4 ; 
+ 13a   :  33b5 ; 
+ 13b   :  33c7 ; 
+ 13c   :  33d8 ; 
+ 13d   :  33e9 ; 
+ 13e   :  33fa ; 
+ 13f   :  340a ; 
+ 140   :  341b ; 
+ 141   :  342b ; 
+ 142   :  343b ; 
+ 143   :  344c ; 
+ 144   :  345b ; 
+ 145   :  346b ; 
+ 146   :  347b ; 
+ 147   :  348a ; 
+ 148   :  349a ; 
+ 149   :  34a9 ; 
+ 14a   :  34b8 ; 
+ 14b   :  34c7 ; 
+ 14c   :  34d6 ; 
+ 14d   :  34e4 ; 
+ 14e   :  34f3 ; 
+ 14f   :  3501 ; 
+ 150   :  350f ; 
+ 151   :  351d ; 
+ 152   :  352b ; 
+ 153   :  3539 ; 
+ 154   :  3547 ; 
+ 155   :  3554 ; 
+ 156   :  3561 ; 
+ 157   :  356e ; 
+ 158   :  357b ; 
+ 159   :  3588 ; 
+ 15a   :  3595 ; 
+ 15b   :  35a2 ; 
+ 15c   :  35ae ; 
+ 15d   :  35ba ; 
+ 15e   :  35c6 ; 
+ 15f   :  35d2 ; 
+ 160   :  35de ; 
+ 161   :  35ea ; 
+ 162   :  35f5 ; 
+ 163   :  3601 ; 
+ 164   :  360c ; 
+ 165   :  3617 ; 
+ 166   :  3622 ; 
+ 167   :  362d ; 
+ 168   :  3638 ; 
+ 169   :  3642 ; 
+ 16a   :  364c ; 
+ 16b   :  3657 ; 
+ 16c   :  3661 ; 
+ 16d   :  366b ; 
+ 16e   :  3674 ; 
+ 16f   :  367e ; 
+ 170   :  3688 ; 
+ 171   :  3691 ; 
+ 172   :  369a ; 
+ 173   :  36a3 ; 
+ 174   :  36ac ; 
+ 175   :  36b5 ; 
+ 176   :  36be ; 
+ 177   :  36c6 ; 
+ 178   :  36ce ; 
+ 179   :  36d7 ; 
+ 17a   :  36df ; 
+ 17b   :  36e6 ; 
+ 17c   :  36ee ; 
+ 17d   :  36f6 ; 
+ 17e   :  36fd ; 
+ 17f   :  3705 ; 
+ 180   :  370c ; 
+ 181   :  3713 ; 
+ 182   :  371a ; 
+ 183   :  3720 ; 
+ 184   :  3727 ; 
+ 185   :  372e ; 
+ 186   :  3734 ; 
+ 187   :  373a ; 
+ 188   :  3740 ; 
+ 189   :  3746 ; 
+ 18a   :  374c ; 
+ 18b   :  3751 ; 
+ 18c   :  3757 ; 
+ 18d   :  375c ; 
+ 18e   :  3761 ; 
+ 18f   :  3766 ; 
+ 190   :  376b ; 
+ 191   :  3770 ; 
+ 192   :  3774 ; 
+ 193   :  3779 ; 
+ 194   :  377d ; 
+ 195   :  3781 ; 
+ 196   :  3785 ; 
+ 197   :  3789 ; 
+ 198   :  378d ; 
+ 199   :  3791 ; 
+ 19a   :  3794 ; 
+ 19b   :  3797 ; 
+ 19c   :  379b ; 
+ 19d   :  379e ; 
+ 19e   :  37a1 ; 
+ 19f   :  37a3 ; 
+ 1a0   :  37a6 ; 
+ 1a1   :  37a8 ; 
+ 1a2   :  37ab ; 
+ 1a3   :  37ad ; 
+ 1a4   :  37af ; 
+ 1a5   :  37b1 ; 
+ 1a6   :  37b3 ; 
+ 1a7   :  37b4 ; 
+ 1a8   :  37b6 ; 
+ 1a9   :  37b7 ; 
+ 1aa   :  37b8 ; 
+ 1ab   :  37ba ; 
+ 1ac   :  37ba ; 
+ 1ad   :  37bb ; 
+ 1ae   :  37bc ; 
+ 1af   :  37bc ; 
+ 1b0   :  37bd ; 
+ 1b1   :  37bd ; 
+ 1b2   :  37bd ; 
+ 1b3   :  37bd ; 
+ 1b4   :  37bd ; 
+ 1b5   :  37bd ; 
+ 1b6   :  37bc ; 
+ 1b7   :  37bc ; 
+ 1b8   :  37bb ; 
+ 1b9   :  37ba ; 
+ 1ba   :  37b9 ; 
+ 1bb   :  37b8 ; 
+ 1bc   :  37b7 ; 
+ 1bd   :  37b5 ; 
+ 1be   :  37b4 ; 
+ 1bf   :  37b2 ; 
+ 1c0   :  37b0 ; 
+ 1c1   :  37ae ; 
+ 1c2   :  37ac ; 
+ 1c3   :  37aa ; 
+ 1c4   :  37a8 ; 
+ 1c5   :  37a5 ; 
+ 1c6   :  37a3 ; 
+ 1c7   :  37a0 ; 
+ 1c8   :  379d ; 
+ 1c9   :  379a ; 
+ 1ca   :  3797 ; 
+ 1cb   :  3793 ; 
+ 1cc   :  3790 ; 
+ 1cd   :  378c ; 
+ 1ce   :  3789 ; 
+ 1cf   :  3785 ; 
+ 1d0   :  3781 ; 
+ 1d1   :  377d ; 
+ 1d2   :  3779 ; 
+ 1d3   :  3774 ; 
+ 1d4   :  3770 ; 
+ 1d5   :  376b ; 
+ 1d6   :  3766 ; 
+ 1d7   :  3761 ; 
+ 1d8   :  375c ; 
+ 1d9   :  3757 ; 
+ 1da   :  3752 ; 
+ 1db   :  374c ; 
+ 1dc   :  3747 ; 
+ 1dd   :  3741 ; 
+ 1de   :  373b ; 
+ 1df   :  3735 ; 
+ 1e0   :  372f ; 
+ 1e1   :  3729 ; 
+ 1e2   :  3723 ; 
+ 1e3   :  371c ; 
+ 1e4   :  3716 ; 
+ 1e5   :  370f ; 
+ 1e6   :  3708 ; 
+ 1e7   :  3701 ; 
+ 1e8   :  36fa ; 
+ 1e9   :  36f3 ; 
+ 1ea   :  36eb ; 
+ 1eb   :  36e4 ; 
+ 1ec   :  36dc ; 
+ 1ed   :  36d5 ; 
+ 1ee   :  36cd ; 
+ 1ef   :  36c5 ; 
+ 1f0   :  36bd ; 
+ 1f1   :  36b4 ; 
+ 1f2   :  36ac ; 
+ 1f3   :  36a3 ; 
+ 1f4   :  369b ; 
+ 1f5   :  3692 ; 
+ 1f6   :  3689 ; 
+ 1f7   :  3680 ; 
+ 1f8   :  3677 ; 
+ 1f9   :  366e ; 
+ 1fa   :  3664 ; 
+ 1fb   :  365b ; 
+ 1fc   :  3651 ; 
+ 1fd   :  3647 ; 
+ 1fe   :  363d ; 
+ 1ff   :  3633 ; 
+ 200   :  3629 ; 
+ 201   :  361f ; 
+ 202   :  3615 ; 
+ 203   :  360a ; 
+ 204   :  35ff ; 
+ 205   :  35f5 ; 
+ 206   :  35ea ; 
+ 207   :  35df ; 
+ 208   :  35d4 ; 
+ 209   :  35c8 ; 
+ 20a   :  35bd ; 
+ 20b   :  35b2 ; 
+ 20c   :  35a6 ; 
+ 20d   :  359a ; 
+ 20e   :  358e ; 
+ 20f   :  3582 ; 
+ 210   :  3576 ; 
+ 211   :  356a ; 
+ 212   :  355e ; 
+ 213   :  3551 ; 
+ 214   :  3545 ; 
+ 215   :  3538 ; 
+ 216   :  352b ; 
+ 217   :  351e ; 
+ 218   :  3511 ; 
+ 219   :  3504 ; 
+ 21a   :  34f7 ; 
+ 21b   :  34ea ; 
+ 21c   :  34dc ; 
+ 21d   :  34ce ; 
+ 21e   :  34c1 ; 
+ 21f   :  34b3 ; 
+ 220   :  34a5 ; 
+ 221   :  3497 ; 
+ 222   :  3489 ; 
+ 223   :  347a ; 
+ 224   :  346c ; 
+ 225   :  345d ; 
+ 226   :  344f ; 
+ 227   :  3440 ; 
+ 228   :  3431 ; 
+ 229   :  3422 ; 
+ 22a   :  3413 ; 
+ 22b   :  3404 ; 
+ 22c   :  33f4 ; 
+ 22d   :  33e5 ; 
+ 22e   :  33d5 ; 
+ 22f   :  33c6 ; 
+ 230   :  33b6 ; 
+ 231   :  33a6 ; 
+ 232   :  3396 ; 
+ 233   :  3386 ; 
+ 234   :  3375 ; 
+ 235   :  3365 ; 
+ 236   :  3355 ; 
+ 237   :  3344 ; 
+ 238   :  3333 ; 
+ 239   :  3323 ; 
+ 23a   :  3312 ; 
+ 23b   :  3301 ; 
+ 23c   :  32f0 ; 
+ 23d   :  32de ; 
+ 23e   :  32cd ; 
+ 23f   :  32bc ; 
+ 240   :  32aa ; 
+ 241   :  3298 ; 
+ 242   :  3287 ; 
+ 243   :  3275 ; 
+ 244   :  3263 ; 
+ 245   :  3251 ; 
+ 246   :  323f ; 
+ 247   :  322c ; 
+ 248   :  321a ; 
+ 249   :  3207 ; 
+ 24a   :  31f5 ; 
+ 24b   :  31e2 ; 
+ 24c   :  31cf ; 
+ 24d   :  31bc ; 
+ 24e   :  31a9 ; 
+ 24f   :  3196 ; 
+ 250   :  3183 ; 
+ 251   :  3170 ; 
+ 252   :  315c ; 
+ 253   :  3149 ; 
+ 254   :  3135 ; 
+ 255   :  3121 ; 
+ 256   :  310d ; 
+ 257   :  30f9 ; 
+ 258   :  30e5 ; 
+ 259   :  30d1 ; 
+ 25a   :  30bd ; 
+ 25b   :  30a9 ; 
+ 25c   :  3094 ; 
+ 25d   :  3080 ; 
+ 25e   :  306b ; 
+ 25f   :  3056 ; 
+ 260   :  3041 ; 
+ 261   :  302d ; 
+ 262   :  3018 ; 
+ 263   :  3002 ; 
+ 264   :  2fed ; 
+ 265   :  2fd8 ; 
+ 266   :  2fc2 ; 
+ 267   :  2fad ; 
+ 268   :  2f97 ; 
+ 269   :  2f82 ; 
+ 26a   :  2f6c ; 
+ 26b   :  2f56 ; 
+ 26c   :  2f40 ; 
+ 26d   :  2f2a ; 
+ 26e   :  2f14 ; 
+ 26f   :  2efd ; 
+ 270   :  2ee7 ; 
+ 271   :  2ed1 ; 
+ 272   :  2eba ; 
+ 273   :  2ea3 ; 
+ 274   :  2e8d ; 
+ 275   :  2e76 ; 
+ 276   :  2e5f ; 
+ 277   :  2e48 ; 
+ 278   :  2e31 ; 
+ 279   :  2e1a ; 
+ 27a   :  2e02 ; 
+ 27b   :  2deb ; 
+ 27c   :  2dd3 ; 
+ 27d   :  2dbc ; 
+ 27e   :  2da4 ; 
+ 27f   :  2d8d ; 
+ 280   :  2d75 ; 
+ 281   :  2d5d ; 
+ 282   :  2d45 ; 
+ 283   :  2d2d ; 
+ 284   :  2d15 ; 
+ 285   :  2cfc ; 
+ 286   :  2ce4 ; 
+ 287   :  2ccc ; 
+ 288   :  2cb3 ; 
+ 289   :  2c9a ; 
+ 28a   :  2c82 ; 
+ 28b   :  2c69 ; 
+ 28c   :  2c50 ; 
+ 28d   :  2c37 ; 
+ 28e   :  2c1e ; 
+ 28f   :  2c05 ; 
+ 290   :  2bec ; 
+ 291   :  2bd3 ; 
+ 292   :  2bb9 ; 
+ 293   :  2ba0 ; 
+ 294   :  2b86 ; 
+ 295   :  2b6d ; 
+ 296   :  2b53 ; 
+ 297   :  2b39 ; 
+ 298   :  2b1f ; 
+ 299   :  2b05 ; 
+ 29a   :  2aeb ; 
+ 29b   :  2ad1 ; 
+ 29c   :  2ab7 ; 
+ 29d   :  2a9d ; 
+ 29e   :  2a83 ; 
+ 29f   :  2a68 ; 
+ 2a0   :  2a4e ; 
+ 2a1   :  2a33 ; 
+ 2a2   :  2a19 ; 
+ 2a3   :  29fe ; 
+ 2a4   :  29e3 ; 
+ 2a5   :  29c8 ; 
+ 2a6   :  29ad ; 
+ 2a7   :  2992 ; 
+ 2a8   :  2977 ; 
+ 2a9   :  295c ; 
+ 2aa   :  2941 ; 
+ 2ab   :  2926 ; 
+ 2ac   :  290a ; 
+ 2ad   :  28ef ; 
+ 2ae   :  28d3 ; 
+ 2af   :  28b8 ; 
+ 2b0   :  289c ; 
+ 2b1   :  2880 ; 
+ 2b2   :  2864 ; 
+ 2b3   :  2848 ; 
+ 2b4   :  282c ; 
+ 2b5   :  2810 ; 
+ 2b6   :  27f4 ; 
+ 2b7   :  27d8 ; 
+ 2b8   :  27bc ; 
+ 2b9   :  27a0 ; 
+ 2ba   :  2783 ; 
+ 2bb   :  2767 ; 
+ 2bc   :  274a ; 
+ 2bd   :  272e ; 
+ 2be   :  2711 ; 
+ 2bf   :  26f4 ; 
+ 2c0   :  26d7 ; 
+ 2c1   :  26bb ; 
+ 2c2   :  269e ; 
+ 2c3   :  2681 ; 
+ 2c4   :  2664 ; 
+ 2c5   :  2646 ; 
+ 2c6   :  2629 ; 
+ 2c7   :  260c ; 
+ 2c8   :  25ef ; 
+ 2c9   :  25d1 ; 
+ 2ca   :  25b4 ; 
+ 2cb   :  2596 ; 
+ 2cc   :  2579 ; 
+ 2cd   :  255b ; 
+ 2ce   :  253d ; 
+ 2cf   :  2520 ; 
+ 2d0   :  2502 ; 
+ 2d1   :  24e4 ; 
+ 2d2   :  24c6 ; 
+ 2d3   :  24a8 ; 
+ 2d4   :  248a ; 
+ 2d5   :  246c ; 
+ 2d6   :  244e ; 
+ 2d7   :  242f ; 
+ 2d8   :  2411 ; 
+ 2d9   :  23f3 ; 
+ 2da   :  23d4 ; 
+ 2db   :  23b6 ; 
+ 2dc   :  2397 ; 
+ 2dd   :  2379 ; 
+ 2de   :  235a ; 
+ 2df   :  233b ; 
+ 2e0   :  231c ; 
+ 2e1   :  22fe ; 
+ 2e2   :  22df ; 
+ 2e3   :  22c0 ; 
+ 2e4   :  22a1 ; 
+ 2e5   :  2282 ; 
+ 2e6   :  2263 ; 
+ 2e7   :  2243 ; 
+ 2e8   :  2224 ; 
+ 2e9   :  2205 ; 
+ 2ea   :  21e6 ; 
+ 2eb   :  21c6 ; 
+ 2ec   :  21a7 ; 
+ 2ed   :  2187 ; 
+ 2ee   :  2168 ; 
+ 2ef   :  2148 ; 
+ 2f0   :  2129 ; 
+ 2f1   :  2109 ; 
+ 2f2   :  20e9 ; 
+ 2f3   :  20ca ; 
+ 2f4   :  20aa ; 
+ 2f5   :  208a ; 
+ 2f6   :  206a ; 
+ 2f7   :  204a ; 
+ 2f8   :  202a ; 
+ 2f9   :  200a ; 
+ 2fa   :  1fea ; 
+ 2fb   :  1fca ; 
+ 2fc   :  1faa ; 
+ 2fd   :  1f89 ; 
+ 2fe   :  1f69 ; 
+ 2ff   :  1f49 ; 
+ 300   :  1f28 ; 
+ 301   :  1f08 ; 
+ 302   :  1ee7 ; 
+ 303   :  1ec7 ; 
+ 304   :  1ea6 ; 
+ 305   :  1e86 ; 
+ 306   :  1e65 ; 
+ 307   :  1e44 ; 
+ 308   :  1e24 ; 
+ 309   :  1e03 ; 
+ 30a   :  1de2 ; 
+ 30b   :  1dc1 ; 
+ 30c   :  1da0 ; 
+ 30d   :  1d7f ; 
+ 30e   :  1d5e ; 
+ 30f   :  1d3d ; 
+ 310   :  1d1c ; 
+ 311   :  1cfb ; 
+ 312   :  1cda ; 
+ 313   :  1cb9 ; 
+ 314   :  1c98 ; 
+ 315   :  1c76 ; 
+ 316   :  1c55 ; 
+ 317   :  1c34 ; 
+ 318   :  1c12 ; 
+ 319   :  1bf1 ; 
+ 31a   :  1bd0 ; 
+ 31b   :  1bae ; 
+ 31c   :  1b8d ; 
+ 31d   :  1b6b ; 
+ 31e   :  1b4a ; 
+ 31f   :  1b28 ; 
+ 320   :  1b06 ; 
+ 321   :  1ae5 ; 
+ 322   :  1ac3 ; 
+ 323   :  1aa1 ; 
+ 324   :  1a7f ; 
+ 325   :  1a5e ; 
+ 326   :  1a3c ; 
+ 327   :  1a1a ; 
+ 328   :  19f8 ; 
+ 329   :  19d6 ; 
+ 32a   :  19b4 ; 
+ 32b   :  1992 ; 
+ 32c   :  1970 ; 
+ 32d   :  194e ; 
+ 32e   :  192c ; 
+ 32f   :  190a ; 
+ 330   :  18e8 ; 
+ 331   :  18c6 ; 
+ 332   :  18a4 ; 
+ 333   :  1881 ; 
+ 334   :  185f ; 
+ 335   :  183d ; 
+ 336   :  181b ; 
+ 337   :  17f8 ; 
+ 338   :  17d6 ; 
+ 339   :  17b4 ; 
+ 33a   :  1791 ; 
+ 33b   :  176f ; 
+ 33c   :  174c ; 
+ 33d   :  172a ; 
+ 33e   :  1707 ; 
+ 33f   :  16e5 ; 
+ 340   :  16c2 ; 
+ 341   :  16a0 ; 
+ 342   :  167d ; 
+ 343   :  165b ; 
+ 344   :  1638 ; 
+ 345   :  1615 ; 
+ 346   :  15f3 ; 
+ 347   :  15d0 ; 
+ 348   :  15ad ; 
+ 349   :  158b ; 
+ 34a   :  1568 ; 
+ 34b   :  1545 ; 
+ 34c   :  1522 ; 
+ 34d   :  1500 ; 
+ 34e   :  14dd ; 
+ 34f   :  14ba ; 
+ 350   :  1497 ; 
+ 351   :  1474 ; 
+ 352   :  1451 ; 
+ 353   :  142e ; 
+ 354   :  140c ; 
+ 355   :  13e9 ; 
+ 356   :  13c6 ; 
+ 357   :  13a3 ; 
+ 358   :  1380 ; 
+ 359   :  135d ; 
+ 35a   :  133a ; 
+ 35b   :  1317 ; 
+ 35c   :  12f4 ; 
+ 35d   :  12d1 ; 
+ 35e   :  12ae ; 
+ 35f   :  128b ; 
+ 360   :  1268 ; 
+ 361   :  1244 ; 
+ 362   :  1221 ; 
+ 363   :  11fe ; 
+ 364   :  11db ; 
+ 365   :  11b8 ; 
+ 366   :  1195 ; 
+ 367   :  1172 ; 
+ 368   :  114f ; 
+ 369   :  112b ; 
+ 36a   :  1108 ; 
+ 36b   :  10e5 ; 
+ 36c   :  10c2 ; 
+ 36d   :  109f ; 
+ 36e   :  107c ; 
+ 36f   :  1058 ; 
+ 370   :  1035 ; 
+ 371   :  1012 ; 
+ 372   :  fef ; 
+ 373   :  fcc ; 
+ 374   :  fa8 ; 
+ 375   :  f85 ; 
+ 376   :  f62 ; 
+ 377   :  f3f ; 
+ 378   :  f1b ; 
+ 379   :  ef8 ; 
+ 37a   :  ed5 ; 
+ 37b   :  eb2 ; 
+ 37c   :  e8e ; 
+ 37d   :  e6b ; 
+ 37e   :  e48 ; 
+ 37f   :  e25 ; 
+ 380   :  e01 ; 
+ 381   :  dde ; 
+ 382   :  dbb ; 
+ 383   :  d98 ; 
+ 384   :  d74 ; 
+ 385   :  d51 ; 
+ 386   :  d2e ; 
+ 387   :  d0b ; 
+ 388   :  ce7 ; 
+ 389   :  cc4 ; 
+ 38a   :  ca1 ; 
+ 38b   :  c7e ; 
+ 38c   :  c5b ; 
+ 38d   :  c37 ; 
+ 38e   :  c14 ; 
+ 38f   :  bf1 ; 
+ 390   :  bce ; 
+ 391   :  baa ; 
+ 392   :  b87 ; 
+ 393   :  b64 ; 
+ 394   :  b41 ; 
+ 395   :  b1e ; 
+ 396   :  afa ; 
+ 397   :  ad7 ; 
+ 398   :  ab4 ; 
+ 399   :  a91 ; 
+ 39a   :  a6e ; 
+ 39b   :  a4b ; 
+ 39c   :  a28 ; 
+ 39d   :  a04 ; 
+ 39e   :  9e1 ; 
+ 39f   :  9be ; 
+ 3a0   :  99b ; 
+ 3a1   :  978 ; 
+ 3a2   :  955 ; 
+ 3a3   :  932 ; 
+ 3a4   :  90f ; 
+ 3a5   :  8ec ; 
+ 3a6   :  8c9 ; 
+ 3a7   :  8a6 ; 
+ 3a8   :  883 ; 
+ 3a9   :  860 ; 
+ 3aa   :  83d ; 
+ 3ab   :  81a ; 
+ 3ac   :  7f7 ; 
+ 3ad   :  7d4 ; 
+ 3ae   :  7b1 ; 
+ 3af   :  78e ; 
+ 3b0   :  76b ; 
+ 3b1   :  748 ; 
+ 3b2   :  726 ; 
+ 3b3   :  703 ; 
+ 3b4   :  6e0 ; 
+ 3b5   :  6bd ; 
+ 3b6   :  69a ; 
+ 3b7   :  677 ; 
+ 3b8   :  655 ; 
+ 3b9   :  632 ; 
+ 3ba   :  60f ; 
+ 3bb   :  5ed ; 
+ 3bc   :  5ca ; 
+ 3bd   :  5a7 ; 
+ 3be   :  585 ; 
+ 3bf   :  562 ; 
+ 3c0   :  53f ; 
+ 3c1   :  51d ; 
+ 3c2   :  4fa ; 
+ 3c3   :  4d8 ; 
+ 3c4   :  4b5 ; 
+ 3c5   :  493 ; 
+ 3c6   :  470 ; 
+ 3c7   :  44e ; 
+ 3c8   :  42b ; 
+ 3c9   :  409 ; 
+ 3ca   :  3e6 ; 
+ 3cb   :  3c4 ; 
+ 3cc   :  3a2 ; 
+ 3cd   :  37f ; 
+ 3ce   :  35d ; 
+ 3cf   :  33b ; 
+ 3d0   :  319 ; 
+ 3d1   :  2f6 ; 
+ 3d2   :  2d4 ; 
+ 3d3   :  2b2 ; 
+ 3d4   :  290 ; 
+ 3d5   :  26e ; 
+ 3d6   :  24c ; 
+ 3d7   :  22a ; 
+ 3d8   :  208 ; 
+ 3d9   :  1e6 ; 
+ 3da   :  1c4 ; 
+ 3db   :  1a2 ; 
+ 3dc   :  180 ; 
+ 3dd   :  15e ; 
+ 3de   :  13c ; 
+ 3df   :  11a ; 
+ 3e0   :  f8 ; 
+ 3e1   :  d7 ; 
+ 3e2   :  b5 ; 
+ 3e3   :  93 ; 
+ 3e4   :  71 ; 
+ 3e5   :  50 ; 
+ 3e6   :  2e ; 
+ 3e7   :  d ; 
+ 3e8   :  3ffeb ; 
+ 3e9   :  3ffca ; 
+ 3ea   :  3ffa8 ; 
+ 3eb   :  3ff87 ; 
+ 3ec   :  3ff65 ; 
+ 3ed   :  3ff44 ; 
+ 3ee   :  3ff22 ; 
+ 3ef   :  3ff01 ; 
+ 3f0   :  3fee0 ; 
+ 3f1   :  3febf ; 
+ 3f2   :  3fe9d ; 
+ 3f3   :  3fe7c ; 
+ 3f4   :  3fe5b ; 
+ 3f5   :  3fe3a ; 
+ 3f6   :  3fe19 ; 
+ 3f7   :  3fdf8 ; 
+ 3f8   :  3fdd7 ; 
+ 3f9   :  3fdb6 ; 
+ 3fa   :  3fd95 ; 
+ 3fb   :  3fd74 ; 
+ 3fc   :  3fd53 ; 
+ 3fd   :  3fd32 ; 
+ 3fe   :  3fd11 ; 
+ 3ff   :  3fcf1 ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_6.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_6.mif
new file mode 100644
index 0000000000000000000000000000000000000000..9e8f5404bbb412e0a4e239531d132fa9edfb0035
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_6.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3faf3 ; 
+ 1   :  3fa79 ; 
+ 2   :  3f9fe ; 
+ 3   :  3f984 ; 
+ 4   :  3f90a ; 
+ 5   :  3f890 ; 
+ 6   :  3f817 ; 
+ 7   :  3f79e ; 
+ 8   :  3f725 ; 
+ 9   :  3f6ad ; 
+ a   :  3f634 ; 
+ b   :  3f5bc ; 
+ c   :  3f545 ; 
+ d   :  3f4cd ; 
+ e   :  3f456 ; 
+ f   :  3f3df ; 
+ 10   :  3f368 ; 
+ 11   :  3f2f2 ; 
+ 12   :  3f27c ; 
+ 13   :  3f206 ; 
+ 14   :  3f190 ; 
+ 15   :  3f11b ; 
+ 16   :  3f0a6 ; 
+ 17   :  3f031 ; 
+ 18   :  3efbd ; 
+ 19   :  3ef49 ; 
+ 1a   :  3eed5 ; 
+ 1b   :  3ee61 ; 
+ 1c   :  3edee ; 
+ 1d   :  3ed7b ; 
+ 1e   :  3ed08 ; 
+ 1f   :  3ec95 ; 
+ 20   :  3ec23 ; 
+ 21   :  3ebb1 ; 
+ 22   :  3eb3f ; 
+ 23   :  3eace ; 
+ 24   :  3ea5d ; 
+ 25   :  3e9ec ; 
+ 26   :  3e97b ; 
+ 27   :  3e90b ; 
+ 28   :  3e89b ; 
+ 29   :  3e82b ; 
+ 2a   :  3e7bc ; 
+ 2b   :  3e74d ; 
+ 2c   :  3e6de ; 
+ 2d   :  3e66f ; 
+ 2e   :  3e601 ; 
+ 2f   :  3e593 ; 
+ 30   :  3e525 ; 
+ 31   :  3e4b8 ; 
+ 32   :  3e44a ; 
+ 33   :  3e3de ; 
+ 34   :  3e371 ; 
+ 35   :  3e305 ; 
+ 36   :  3e299 ; 
+ 37   :  3e22d ; 
+ 38   :  3e1c1 ; 
+ 39   :  3e156 ; 
+ 3a   :  3e0eb ; 
+ 3b   :  3e081 ; 
+ 3c   :  3e016 ; 
+ 3d   :  3dfac ; 
+ 3e   :  3df43 ; 
+ 3f   :  3ded9 ; 
+ 40   :  3de70 ; 
+ 41   :  3de07 ; 
+ 42   :  3dd9f ; 
+ 43   :  3dd36 ; 
+ 44   :  3dcce ; 
+ 45   :  3dc67 ; 
+ 46   :  3dbff ; 
+ 47   :  3db98 ; 
+ 48   :  3db31 ; 
+ 49   :  3dacb ; 
+ 4a   :  3da64 ; 
+ 4b   :  3d9fe ; 
+ 4c   :  3d999 ; 
+ 4d   :  3d933 ; 
+ 4e   :  3d8ce ; 
+ 4f   :  3d869 ; 
+ 50   :  3d805 ; 
+ 51   :  3d7a1 ; 
+ 52   :  3d73d ; 
+ 53   :  3d6d9 ; 
+ 54   :  3d676 ; 
+ 55   :  3d613 ; 
+ 56   :  3d5b0 ; 
+ 57   :  3d54e ; 
+ 58   :  3d4ec ; 
+ 59   :  3d48a ; 
+ 5a   :  3d428 ; 
+ 5b   :  3d3c7 ; 
+ 5c   :  3d366 ; 
+ 5d   :  3d305 ; 
+ 5e   :  3d2a5 ; 
+ 5f   :  3d245 ; 
+ 60   :  3d1e5 ; 
+ 61   :  3d186 ; 
+ 62   :  3d127 ; 
+ 63   :  3d0c8 ; 
+ 64   :  3d069 ; 
+ 65   :  3d00b ; 
+ 66   :  3cfad ; 
+ 67   :  3cf4f ; 
+ 68   :  3cef2 ; 
+ 69   :  3ce95 ; 
+ 6a   :  3ce38 ; 
+ 6b   :  3cddb ; 
+ 6c   :  3cd7f ; 
+ 6d   :  3cd23 ; 
+ 6e   :  3ccc8 ; 
+ 6f   :  3cc6d ; 
+ 70   :  3cc12 ; 
+ 71   :  3cbb7 ; 
+ 72   :  3cb5c ; 
+ 73   :  3cb02 ; 
+ 74   :  3caa9 ; 
+ 75   :  3ca4f ; 
+ 76   :  3c9f6 ; 
+ 77   :  3c99d ; 
+ 78   :  3c945 ; 
+ 79   :  3c8ec ; 
+ 7a   :  3c894 ; 
+ 7b   :  3c83d ; 
+ 7c   :  3c7e5 ; 
+ 7d   :  3c78e ; 
+ 7e   :  3c738 ; 
+ 7f   :  3c6e1 ; 
+ 80   :  3c68b ; 
+ 81   :  3c635 ; 
+ 82   :  3c5e0 ; 
+ 83   :  3c58a ; 
+ 84   :  3c535 ; 
+ 85   :  3c4e1 ; 
+ 86   :  3c48c ; 
+ 87   :  3c438 ; 
+ 88   :  3c3e5 ; 
+ 89   :  3c391 ; 
+ 8a   :  3c33e ; 
+ 8b   :  3c2eb ; 
+ 8c   :  3c299 ; 
+ 8d   :  3c247 ; 
+ 8e   :  3c1f5 ; 
+ 8f   :  3c1a3 ; 
+ 90   :  3c152 ; 
+ 91   :  3c101 ; 
+ 92   :  3c0b0 ; 
+ 93   :  3c060 ; 
+ 94   :  3c010 ; 
+ 95   :  3bfc0 ; 
+ 96   :  3bf71 ; 
+ 97   :  3bf22 ; 
+ 98   :  3bed3 ; 
+ 99   :  3be84 ; 
+ 9a   :  3be36 ; 
+ 9b   :  3bde8 ; 
+ 9c   :  3bd9b ; 
+ 9d   :  3bd4d ; 
+ 9e   :  3bd00 ; 
+ 9f   :  3bcb4 ; 
+ a0   :  3bc67 ; 
+ a1   :  3bc1b ; 
+ a2   :  3bbd0 ; 
+ a3   :  3bb84 ; 
+ a4   :  3bb39 ; 
+ a5   :  3baee ; 
+ a6   :  3baa4 ; 
+ a7   :  3ba5a ; 
+ a8   :  3ba10 ; 
+ a9   :  3b9c6 ; 
+ aa   :  3b97d ; 
+ ab   :  3b934 ; 
+ ac   :  3b8eb ; 
+ ad   :  3b8a3 ; 
+ ae   :  3b85b ; 
+ af   :  3b813 ; 
+ b0   :  3b7cc ; 
+ b1   :  3b784 ; 
+ b2   :  3b73e ; 
+ b3   :  3b6f7 ; 
+ b4   :  3b6b1 ; 
+ b5   :  3b66b ; 
+ b6   :  3b625 ; 
+ b7   :  3b5e0 ; 
+ b8   :  3b59b ; 
+ b9   :  3b556 ; 
+ ba   :  3b512 ; 
+ bb   :  3b4ce ; 
+ bc   :  3b48a ; 
+ bd   :  3b447 ; 
+ be   :  3b404 ; 
+ bf   :  3b3c1 ; 
+ c0   :  3b37f ; 
+ c1   :  3b33c ; 
+ c2   :  3b2fa ; 
+ c3   :  3b2b9 ; 
+ c4   :  3b278 ; 
+ c5   :  3b237 ; 
+ c6   :  3b1f6 ; 
+ c7   :  3b1b6 ; 
+ c8   :  3b176 ; 
+ c9   :  3b136 ; 
+ ca   :  3b0f7 ; 
+ cb   :  3b0b8 ; 
+ cc   :  3b079 ; 
+ cd   :  3b03a ; 
+ ce   :  3affc ; 
+ cf   :  3afbe ; 
+ d0   :  3af81 ; 
+ d1   :  3af43 ; 
+ d2   :  3af06 ; 
+ d3   :  3aeca ; 
+ d4   :  3ae8e ; 
+ d5   :  3ae52 ; 
+ d6   :  3ae16 ; 
+ d7   :  3adda ; 
+ d8   :  3ad9f ; 
+ d9   :  3ad65 ; 
+ da   :  3ad2a ; 
+ db   :  3acf0 ; 
+ dc   :  3acb6 ; 
+ dd   :  3ac7d ; 
+ de   :  3ac43 ; 
+ df   :  3ac0a ; 
+ e0   :  3abd2 ; 
+ e1   :  3ab9a ; 
+ e2   :  3ab62 ; 
+ e3   :  3ab2a ; 
+ e4   :  3aaf3 ; 
+ e5   :  3aabb ; 
+ e6   :  3aa85 ; 
+ e7   :  3aa4e ; 
+ e8   :  3aa18 ; 
+ e9   :  3a9e2 ; 
+ ea   :  3a9ad ; 
+ eb   :  3a978 ; 
+ ec   :  3a943 ; 
+ ed   :  3a90e ; 
+ ee   :  3a8da ; 
+ ef   :  3a8a6 ; 
+ f0   :  3a872 ; 
+ f1   :  3a83f ; 
+ f2   :  3a80c ; 
+ f3   :  3a7d9 ; 
+ f4   :  3a7a6 ; 
+ f5   :  3a774 ; 
+ f6   :  3a742 ; 
+ f7   :  3a711 ; 
+ f8   :  3a6e0 ; 
+ f9   :  3a6af ; 
+ fa   :  3a67e ; 
+ fb   :  3a64e ; 
+ fc   :  3a61e ; 
+ fd   :  3a5ee ; 
+ fe   :  3a5bf ; 
+ ff   :  3a590 ; 
+ 100   :  3a561 ; 
+ 101   :  3a532 ; 
+ 102   :  3a504 ; 
+ 103   :  3a4d6 ; 
+ 104   :  3a4a9 ; 
+ 105   :  3a47b ; 
+ 106   :  3a44f ; 
+ 107   :  3a422 ; 
+ 108   :  3a3f6 ; 
+ 109   :  3a3ca ; 
+ 10a   :  3a39e ; 
+ 10b   :  3a372 ; 
+ 10c   :  3a347 ; 
+ 10d   :  3a31c ; 
+ 10e   :  3a2f2 ; 
+ 10f   :  3a2c8 ; 
+ 110   :  3a29e ; 
+ 111   :  3a274 ; 
+ 112   :  3a24b ; 
+ 113   :  3a222 ; 
+ 114   :  3a1f9 ; 
+ 115   :  3a1d1 ; 
+ 116   :  3a1a9 ; 
+ 117   :  3a181 ; 
+ 118   :  3a15a ; 
+ 119   :  3a132 ; 
+ 11a   :  3a10b ; 
+ 11b   :  3a0e5 ; 
+ 11c   :  3a0bf ; 
+ 11d   :  3a099 ; 
+ 11e   :  3a073 ; 
+ 11f   :  3a04e ; 
+ 120   :  3a029 ; 
+ 121   :  3a004 ; 
+ 122   :  39fdf ; 
+ 123   :  39fbb ; 
+ 124   :  39f97 ; 
+ 125   :  39f74 ; 
+ 126   :  39f50 ; 
+ 127   :  39f2d ; 
+ 128   :  39f0b ; 
+ 129   :  39ee8 ; 
+ 12a   :  39ec6 ; 
+ 12b   :  39ea5 ; 
+ 12c   :  39e83 ; 
+ 12d   :  39e62 ; 
+ 12e   :  39e41 ; 
+ 12f   :  39e21 ; 
+ 130   :  39e00 ; 
+ 131   :  39de0 ; 
+ 132   :  39dc1 ; 
+ 133   :  39da1 ; 
+ 134   :  39d82 ; 
+ 135   :  39d63 ; 
+ 136   :  39d45 ; 
+ 137   :  39d27 ; 
+ 138   :  39d09 ; 
+ 139   :  39ceb ; 
+ 13a   :  39cce ; 
+ 13b   :  39cb1 ; 
+ 13c   :  39c94 ; 
+ 13d   :  39c78 ; 
+ 13e   :  39c5c ; 
+ 13f   :  39c40 ; 
+ 140   :  39c24 ; 
+ 141   :  39c09 ; 
+ 142   :  39bee ; 
+ 143   :  39bd3 ; 
+ 144   :  39bb9 ; 
+ 145   :  39b9f ; 
+ 146   :  39b85 ; 
+ 147   :  39b6c ; 
+ 148   :  39b52 ; 
+ 149   :  39b3a ; 
+ 14a   :  39b21 ; 
+ 14b   :  39b09 ; 
+ 14c   :  39af1 ; 
+ 14d   :  39ad9 ; 
+ 14e   :  39ac1 ; 
+ 14f   :  39aaa ; 
+ 150   :  39a93 ; 
+ 151   :  39a7d ; 
+ 152   :  39a67 ; 
+ 153   :  39a51 ; 
+ 154   :  39a3b ; 
+ 155   :  39a25 ; 
+ 156   :  39a10 ; 
+ 157   :  399fb ; 
+ 158   :  399e7 ; 
+ 159   :  399d3 ; 
+ 15a   :  399bf ; 
+ 15b   :  399ab ; 
+ 15c   :  39998 ; 
+ 15d   :  39984 ; 
+ 15e   :  39972 ; 
+ 15f   :  3995f ; 
+ 160   :  3994d ; 
+ 161   :  3993b ; 
+ 162   :  39929 ; 
+ 163   :  39918 ; 
+ 164   :  39907 ; 
+ 165   :  398f6 ; 
+ 166   :  398e5 ; 
+ 167   :  398d5 ; 
+ 168   :  398c5 ; 
+ 169   :  398b5 ; 
+ 16a   :  398a6 ; 
+ 16b   :  39897 ; 
+ 16c   :  39888 ; 
+ 16d   :  39879 ; 
+ 16e   :  3986b ; 
+ 16f   :  3985d ; 
+ 170   :  3984f ; 
+ 171   :  39842 ; 
+ 172   :  39835 ; 
+ 173   :  39828 ; 
+ 174   :  3981b ; 
+ 175   :  3980f ; 
+ 176   :  39803 ; 
+ 177   :  397f7 ; 
+ 178   :  397ec ; 
+ 179   :  397e0 ; 
+ 17a   :  397d5 ; 
+ 17b   :  397cb ; 
+ 17c   :  397c0 ; 
+ 17d   :  397b6 ; 
+ 17e   :  397ac ; 
+ 17f   :  397a3 ; 
+ 180   :  3979a ; 
+ 181   :  39791 ; 
+ 182   :  39788 ; 
+ 183   :  3977f ; 
+ 184   :  39777 ; 
+ 185   :  3976f ; 
+ 186   :  39768 ; 
+ 187   :  39760 ; 
+ 188   :  39759 ; 
+ 189   :  39752 ; 
+ 18a   :  3974c ; 
+ 18b   :  39746 ; 
+ 18c   :  39740 ; 
+ 18d   :  3973a ; 
+ 18e   :  39734 ; 
+ 18f   :  3972f ; 
+ 190   :  3972a ; 
+ 191   :  39726 ; 
+ 192   :  39721 ; 
+ 193   :  3971d ; 
+ 194   :  39719 ; 
+ 195   :  39716 ; 
+ 196   :  39712 ; 
+ 197   :  3970f ; 
+ 198   :  3970d ; 
+ 199   :  3970a ; 
+ 19a   :  39708 ; 
+ 19b   :  39706 ; 
+ 19c   :  39704 ; 
+ 19d   :  39703 ; 
+ 19e   :  39701 ; 
+ 19f   :  39700 ; 
+ 1a0   :  39700 ; 
+ 1a1   :  396ff ; 
+ 1a2   :  396ff ; 
+ 1a3   :  396ff ; 
+ 1a4   :  39700 ; 
+ 1a5   :  39700 ; 
+ 1a6   :  39701 ; 
+ 1a7   :  39703 ; 
+ 1a8   :  39704 ; 
+ 1a9   :  39706 ; 
+ 1aa   :  39708 ; 
+ 1ab   :  3970a ; 
+ 1ac   :  3970c ; 
+ 1ad   :  3970f ; 
+ 1ae   :  39712 ; 
+ 1af   :  39715 ; 
+ 1b0   :  39719 ; 
+ 1b1   :  3971c ; 
+ 1b2   :  39720 ; 
+ 1b3   :  39725 ; 
+ 1b4   :  39729 ; 
+ 1b5   :  3972e ; 
+ 1b6   :  39733 ; 
+ 1b7   :  39738 ; 
+ 1b8   :  3973e ; 
+ 1b9   :  39744 ; 
+ 1ba   :  3974a ; 
+ 1bb   :  39750 ; 
+ 1bc   :  39756 ; 
+ 1bd   :  3975d ; 
+ 1be   :  39764 ; 
+ 1bf   :  3976c ; 
+ 1c0   :  39773 ; 
+ 1c1   :  3977b ; 
+ 1c2   :  39783 ; 
+ 1c3   :  3978b ; 
+ 1c4   :  39794 ; 
+ 1c5   :  3979d ; 
+ 1c6   :  397a6 ; 
+ 1c7   :  397af ; 
+ 1c8   :  397b8 ; 
+ 1c9   :  397c2 ; 
+ 1ca   :  397cc ; 
+ 1cb   :  397d6 ; 
+ 1cc   :  397e1 ; 
+ 1cd   :  397ec ; 
+ 1ce   :  397f7 ; 
+ 1cf   :  39802 ; 
+ 1d0   :  3980d ; 
+ 1d1   :  39819 ; 
+ 1d2   :  39825 ; 
+ 1d3   :  39831 ; 
+ 1d4   :  3983e ; 
+ 1d5   :  3984a ; 
+ 1d6   :  39857 ; 
+ 1d7   :  39864 ; 
+ 1d8   :  39872 ; 
+ 1d9   :  39880 ; 
+ 1da   :  3988d ; 
+ 1db   :  3989b ; 
+ 1dc   :  398aa ; 
+ 1dd   :  398b8 ; 
+ 1de   :  398c7 ; 
+ 1df   :  398d6 ; 
+ 1e0   :  398e6 ; 
+ 1e1   :  398f5 ; 
+ 1e2   :  39905 ; 
+ 1e3   :  39915 ; 
+ 1e4   :  39925 ; 
+ 1e5   :  39936 ; 
+ 1e6   :  39946 ; 
+ 1e7   :  39957 ; 
+ 1e8   :  39968 ; 
+ 1e9   :  3997a ; 
+ 1ea   :  3998b ; 
+ 1eb   :  3999d ; 
+ 1ec   :  399af ; 
+ 1ed   :  399c2 ; 
+ 1ee   :  399d4 ; 
+ 1ef   :  399e7 ; 
+ 1f0   :  399fa ; 
+ 1f1   :  39a0d ; 
+ 1f2   :  39a20 ; 
+ 1f3   :  39a34 ; 
+ 1f4   :  39a48 ; 
+ 1f5   :  39a5c ; 
+ 1f6   :  39a70 ; 
+ 1f7   :  39a85 ; 
+ 1f8   :  39a9a ; 
+ 1f9   :  39aaf ; 
+ 1fa   :  39ac4 ; 
+ 1fb   :  39ad9 ; 
+ 1fc   :  39aef ; 
+ 1fd   :  39b05 ; 
+ 1fe   :  39b1b ; 
+ 1ff   :  39b31 ; 
+ 200   :  39b48 ; 
+ 201   :  39b5f ; 
+ 202   :  39b76 ; 
+ 203   :  39b8d ; 
+ 204   :  39ba4 ; 
+ 205   :  39bbc ; 
+ 206   :  39bd4 ; 
+ 207   :  39bec ; 
+ 208   :  39c04 ; 
+ 209   :  39c1c ; 
+ 20a   :  39c35 ; 
+ 20b   :  39c4e ; 
+ 20c   :  39c67 ; 
+ 20d   :  39c81 ; 
+ 20e   :  39c9a ; 
+ 20f   :  39cb4 ; 
+ 210   :  39cce ; 
+ 211   :  39ce8 ; 
+ 212   :  39d02 ; 
+ 213   :  39d1d ; 
+ 214   :  39d38 ; 
+ 215   :  39d53 ; 
+ 216   :  39d6e ; 
+ 217   :  39d89 ; 
+ 218   :  39da5 ; 
+ 219   :  39dc1 ; 
+ 21a   :  39ddd ; 
+ 21b   :  39df9 ; 
+ 21c   :  39e16 ; 
+ 21d   :  39e32 ; 
+ 21e   :  39e4f ; 
+ 21f   :  39e6c ; 
+ 220   :  39e89 ; 
+ 221   :  39ea7 ; 
+ 222   :  39ec4 ; 
+ 223   :  39ee2 ; 
+ 224   :  39f00 ; 
+ 225   :  39f1f ; 
+ 226   :  39f3d ; 
+ 227   :  39f5c ; 
+ 228   :  39f7b ; 
+ 229   :  39f9a ; 
+ 22a   :  39fb9 ; 
+ 22b   :  39fd8 ; 
+ 22c   :  39ff8 ; 
+ 22d   :  3a018 ; 
+ 22e   :  3a038 ; 
+ 22f   :  3a058 ; 
+ 230   :  3a078 ; 
+ 231   :  3a099 ; 
+ 232   :  3a0ba ; 
+ 233   :  3a0db ; 
+ 234   :  3a0fc ; 
+ 235   :  3a11d ; 
+ 236   :  3a13f ; 
+ 237   :  3a160 ; 
+ 238   :  3a182 ; 
+ 239   :  3a1a4 ; 
+ 23a   :  3a1c7 ; 
+ 23b   :  3a1e9 ; 
+ 23c   :  3a20c ; 
+ 23d   :  3a22f ; 
+ 23e   :  3a252 ; 
+ 23f   :  3a275 ; 
+ 240   :  3a298 ; 
+ 241   :  3a2bc ; 
+ 242   :  3a2e0 ; 
+ 243   :  3a304 ; 
+ 244   :  3a328 ; 
+ 245   :  3a34c ; 
+ 246   :  3a371 ; 
+ 247   :  3a395 ; 
+ 248   :  3a3ba ; 
+ 249   :  3a3df ; 
+ 24a   :  3a404 ; 
+ 24b   :  3a42a ; 
+ 24c   :  3a44f ; 
+ 24d   :  3a475 ; 
+ 24e   :  3a49b ; 
+ 24f   :  3a4c1 ; 
+ 250   :  3a4e7 ; 
+ 251   :  3a50e ; 
+ 252   :  3a534 ; 
+ 253   :  3a55b ; 
+ 254   :  3a582 ; 
+ 255   :  3a5a9 ; 
+ 256   :  3a5d0 ; 
+ 257   :  3a5f8 ; 
+ 258   :  3a61f ; 
+ 259   :  3a647 ; 
+ 25a   :  3a66f ; 
+ 25b   :  3a697 ; 
+ 25c   :  3a6bf ; 
+ 25d   :  3a6e8 ; 
+ 25e   :  3a710 ; 
+ 25f   :  3a739 ; 
+ 260   :  3a762 ; 
+ 261   :  3a78b ; 
+ 262   :  3a7b5 ; 
+ 263   :  3a7de ; 
+ 264   :  3a808 ; 
+ 265   :  3a831 ; 
+ 266   :  3a85b ; 
+ 267   :  3a885 ; 
+ 268   :  3a8b0 ; 
+ 269   :  3a8da ; 
+ 26a   :  3a905 ; 
+ 26b   :  3a92f ; 
+ 26c   :  3a95a ; 
+ 26d   :  3a985 ; 
+ 26e   :  3a9b0 ; 
+ 26f   :  3a9dc ; 
+ 270   :  3aa07 ; 
+ 271   :  3aa33 ; 
+ 272   :  3aa5f ; 
+ 273   :  3aa8b ; 
+ 274   :  3aab7 ; 
+ 275   :  3aae3 ; 
+ 276   :  3ab0f ; 
+ 277   :  3ab3c ; 
+ 278   :  3ab69 ; 
+ 279   :  3ab95 ; 
+ 27a   :  3abc2 ; 
+ 27b   :  3abf0 ; 
+ 27c   :  3ac1d ; 
+ 27d   :  3ac4a ; 
+ 27e   :  3ac78 ; 
+ 27f   :  3aca6 ; 
+ 280   :  3acd3 ; 
+ 281   :  3ad01 ; 
+ 282   :  3ad30 ; 
+ 283   :  3ad5e ; 
+ 284   :  3ad8c ; 
+ 285   :  3adbb ; 
+ 286   :  3adea ; 
+ 287   :  3ae19 ; 
+ 288   :  3ae48 ; 
+ 289   :  3ae77 ; 
+ 28a   :  3aea6 ; 
+ 28b   :  3aed5 ; 
+ 28c   :  3af05 ; 
+ 28d   :  3af35 ; 
+ 28e   :  3af65 ; 
+ 28f   :  3af95 ; 
+ 290   :  3afc5 ; 
+ 291   :  3aff5 ; 
+ 292   :  3b025 ; 
+ 293   :  3b056 ; 
+ 294   :  3b086 ; 
+ 295   :  3b0b7 ; 
+ 296   :  3b0e8 ; 
+ 297   :  3b119 ; 
+ 298   :  3b14a ; 
+ 299   :  3b17c ; 
+ 29a   :  3b1ad ; 
+ 29b   :  3b1df ; 
+ 29c   :  3b210 ; 
+ 29d   :  3b242 ; 
+ 29e   :  3b274 ; 
+ 29f   :  3b2a6 ; 
+ 2a0   :  3b2d8 ; 
+ 2a1   :  3b30a ; 
+ 2a2   :  3b33d ; 
+ 2a3   :  3b36f ; 
+ 2a4   :  3b3a2 ; 
+ 2a5   :  3b3d5 ; 
+ 2a6   :  3b408 ; 
+ 2a7   :  3b43b ; 
+ 2a8   :  3b46e ; 
+ 2a9   :  3b4a1 ; 
+ 2aa   :  3b4d5 ; 
+ 2ab   :  3b508 ; 
+ 2ac   :  3b53c ; 
+ 2ad   :  3b56f ; 
+ 2ae   :  3b5a3 ; 
+ 2af   :  3b5d7 ; 
+ 2b0   :  3b60b ; 
+ 2b1   :  3b640 ; 
+ 2b2   :  3b674 ; 
+ 2b3   :  3b6a8 ; 
+ 2b4   :  3b6dd ; 
+ 2b5   :  3b712 ; 
+ 2b6   :  3b746 ; 
+ 2b7   :  3b77b ; 
+ 2b8   :  3b7b0 ; 
+ 2b9   :  3b7e5 ; 
+ 2ba   :  3b81a ; 
+ 2bb   :  3b850 ; 
+ 2bc   :  3b885 ; 
+ 2bd   :  3b8bb ; 
+ 2be   :  3b8f0 ; 
+ 2bf   :  3b926 ; 
+ 2c0   :  3b95c ; 
+ 2c1   :  3b992 ; 
+ 2c2   :  3b9c8 ; 
+ 2c3   :  3b9fe ; 
+ 2c4   :  3ba34 ; 
+ 2c5   :  3ba6b ; 
+ 2c6   :  3baa1 ; 
+ 2c7   :  3bad7 ; 
+ 2c8   :  3bb0e ; 
+ 2c9   :  3bb45 ; 
+ 2ca   :  3bb7c ; 
+ 2cb   :  3bbb3 ; 
+ 2cc   :  3bbea ; 
+ 2cd   :  3bc21 ; 
+ 2ce   :  3bc58 ; 
+ 2cf   :  3bc8f ; 
+ 2d0   :  3bcc7 ; 
+ 2d1   :  3bcfe ; 
+ 2d2   :  3bd36 ; 
+ 2d3   :  3bd6e ; 
+ 2d4   :  3bda5 ; 
+ 2d5   :  3bddd ; 
+ 2d6   :  3be15 ; 
+ 2d7   :  3be4d ; 
+ 2d8   :  3be85 ; 
+ 2d9   :  3bebe ; 
+ 2da   :  3bef6 ; 
+ 2db   :  3bf2e ; 
+ 2dc   :  3bf67 ; 
+ 2dd   :  3bf9f ; 
+ 2de   :  3bfd8 ; 
+ 2df   :  3c011 ; 
+ 2e0   :  3c04a ; 
+ 2e1   :  3c083 ; 
+ 2e2   :  3c0bc ; 
+ 2e3   :  3c0f5 ; 
+ 2e4   :  3c12e ; 
+ 2e5   :  3c167 ; 
+ 2e6   :  3c1a0 ; 
+ 2e7   :  3c1da ; 
+ 2e8   :  3c213 ; 
+ 2e9   :  3c24d ; 
+ 2ea   :  3c287 ; 
+ 2eb   :  3c2c0 ; 
+ 2ec   :  3c2fa ; 
+ 2ed   :  3c334 ; 
+ 2ee   :  3c36e ; 
+ 2ef   :  3c3a8 ; 
+ 2f0   :  3c3e2 ; 
+ 2f1   :  3c41c ; 
+ 2f2   :  3c456 ; 
+ 2f3   :  3c491 ; 
+ 2f4   :  3c4cb ; 
+ 2f5   :  3c506 ; 
+ 2f6   :  3c540 ; 
+ 2f7   :  3c57b ; 
+ 2f8   :  3c5b5 ; 
+ 2f9   :  3c5f0 ; 
+ 2fa   :  3c62b ; 
+ 2fb   :  3c666 ; 
+ 2fc   :  3c6a1 ; 
+ 2fd   :  3c6dc ; 
+ 2fe   :  3c717 ; 
+ 2ff   :  3c752 ; 
+ 300   :  3c78d ; 
+ 301   :  3c7c8 ; 
+ 302   :  3c804 ; 
+ 303   :  3c83f ; 
+ 304   :  3c87b ; 
+ 305   :  3c8b6 ; 
+ 306   :  3c8f2 ; 
+ 307   :  3c92d ; 
+ 308   :  3c969 ; 
+ 309   :  3c9a5 ; 
+ 30a   :  3c9e0 ; 
+ 30b   :  3ca1c ; 
+ 30c   :  3ca58 ; 
+ 30d   :  3ca94 ; 
+ 30e   :  3cad0 ; 
+ 30f   :  3cb0c ; 
+ 310   :  3cb48 ; 
+ 311   :  3cb85 ; 
+ 312   :  3cbc1 ; 
+ 313   :  3cbfd ; 
+ 314   :  3cc39 ; 
+ 315   :  3cc76 ; 
+ 316   :  3ccb2 ; 
+ 317   :  3ccef ; 
+ 318   :  3cd2b ; 
+ 319   :  3cd68 ; 
+ 31a   :  3cda5 ; 
+ 31b   :  3cde1 ; 
+ 31c   :  3ce1e ; 
+ 31d   :  3ce5b ; 
+ 31e   :  3ce98 ; 
+ 31f   :  3ced4 ; 
+ 320   :  3cf11 ; 
+ 321   :  3cf4e ; 
+ 322   :  3cf8b ; 
+ 323   :  3cfc8 ; 
+ 324   :  3d005 ; 
+ 325   :  3d043 ; 
+ 326   :  3d080 ; 
+ 327   :  3d0bd ; 
+ 328   :  3d0fa ; 
+ 329   :  3d137 ; 
+ 32a   :  3d175 ; 
+ 32b   :  3d1b2 ; 
+ 32c   :  3d1ef ; 
+ 32d   :  3d22d ; 
+ 32e   :  3d26a ; 
+ 32f   :  3d2a8 ; 
+ 330   :  3d2e5 ; 
+ 331   :  3d323 ; 
+ 332   :  3d360 ; 
+ 333   :  3d39e ; 
+ 334   :  3d3dc ; 
+ 335   :  3d419 ; 
+ 336   :  3d457 ; 
+ 337   :  3d495 ; 
+ 338   :  3d4d3 ; 
+ 339   :  3d511 ; 
+ 33a   :  3d54e ; 
+ 33b   :  3d58c ; 
+ 33c   :  3d5ca ; 
+ 33d   :  3d608 ; 
+ 33e   :  3d646 ; 
+ 33f   :  3d684 ; 
+ 340   :  3d6c2 ; 
+ 341   :  3d700 ; 
+ 342   :  3d73e ; 
+ 343   :  3d77c ; 
+ 344   :  3d7ba ; 
+ 345   :  3d7f8 ; 
+ 346   :  3d836 ; 
+ 347   :  3d874 ; 
+ 348   :  3d8b3 ; 
+ 349   :  3d8f1 ; 
+ 34a   :  3d92f ; 
+ 34b   :  3d96d ; 
+ 34c   :  3d9ab ; 
+ 34d   :  3d9ea ; 
+ 34e   :  3da28 ; 
+ 34f   :  3da66 ; 
+ 350   :  3daa5 ; 
+ 351   :  3dae3 ; 
+ 352   :  3db21 ; 
+ 353   :  3db60 ; 
+ 354   :  3db9e ; 
+ 355   :  3dbdc ; 
+ 356   :  3dc1b ; 
+ 357   :  3dc59 ; 
+ 358   :  3dc97 ; 
+ 359   :  3dcd6 ; 
+ 35a   :  3dd14 ; 
+ 35b   :  3dd53 ; 
+ 35c   :  3dd91 ; 
+ 35d   :  3ddcf ; 
+ 35e   :  3de0e ; 
+ 35f   :  3de4c ; 
+ 360   :  3de8b ; 
+ 361   :  3dec9 ; 
+ 362   :  3df08 ; 
+ 363   :  3df46 ; 
+ 364   :  3df85 ; 
+ 365   :  3dfc3 ; 
+ 366   :  3e002 ; 
+ 367   :  3e040 ; 
+ 368   :  3e07f ; 
+ 369   :  3e0bd ; 
+ 36a   :  3e0fb ; 
+ 36b   :  3e13a ; 
+ 36c   :  3e178 ; 
+ 36d   :  3e1b7 ; 
+ 36e   :  3e1f5 ; 
+ 36f   :  3e234 ; 
+ 370   :  3e272 ; 
+ 371   :  3e2b1 ; 
+ 372   :  3e2ef ; 
+ 373   :  3e32e ; 
+ 374   :  3e36c ; 
+ 375   :  3e3aa ; 
+ 376   :  3e3e9 ; 
+ 377   :  3e427 ; 
+ 378   :  3e466 ; 
+ 379   :  3e4a4 ; 
+ 37a   :  3e4e2 ; 
+ 37b   :  3e521 ; 
+ 37c   :  3e55f ; 
+ 37d   :  3e59e ; 
+ 37e   :  3e5dc ; 
+ 37f   :  3e61a ; 
+ 380   :  3e658 ; 
+ 381   :  3e697 ; 
+ 382   :  3e6d5 ; 
+ 383   :  3e713 ; 
+ 384   :  3e752 ; 
+ 385   :  3e790 ; 
+ 386   :  3e7ce ; 
+ 387   :  3e80c ; 
+ 388   :  3e84a ; 
+ 389   :  3e889 ; 
+ 38a   :  3e8c7 ; 
+ 38b   :  3e905 ; 
+ 38c   :  3e943 ; 
+ 38d   :  3e981 ; 
+ 38e   :  3e9bf ; 
+ 38f   :  3e9fd ; 
+ 390   :  3ea3b ; 
+ 391   :  3ea79 ; 
+ 392   :  3eab7 ; 
+ 393   :  3eaf5 ; 
+ 394   :  3eb33 ; 
+ 395   :  3eb71 ; 
+ 396   :  3ebaf ; 
+ 397   :  3ebed ; 
+ 398   :  3ec2b ; 
+ 399   :  3ec68 ; 
+ 39a   :  3eca6 ; 
+ 39b   :  3ece4 ; 
+ 39c   :  3ed22 ; 
+ 39d   :  3ed5f ; 
+ 39e   :  3ed9d ; 
+ 39f   :  3eddb ; 
+ 3a0   :  3ee18 ; 
+ 3a1   :  3ee56 ; 
+ 3a2   :  3ee93 ; 
+ 3a3   :  3eed1 ; 
+ 3a4   :  3ef0e ; 
+ 3a5   :  3ef4c ; 
+ 3a6   :  3ef89 ; 
+ 3a7   :  3efc7 ; 
+ 3a8   :  3f004 ; 
+ 3a9   :  3f041 ; 
+ 3aa   :  3f07e ; 
+ 3ab   :  3f0bc ; 
+ 3ac   :  3f0f9 ; 
+ 3ad   :  3f136 ; 
+ 3ae   :  3f173 ; 
+ 3af   :  3f1b0 ; 
+ 3b0   :  3f1ed ; 
+ 3b1   :  3f22a ; 
+ 3b2   :  3f267 ; 
+ 3b3   :  3f2a4 ; 
+ 3b4   :  3f2e1 ; 
+ 3b5   :  3f31e ; 
+ 3b6   :  3f35b ; 
+ 3b7   :  3f397 ; 
+ 3b8   :  3f3d4 ; 
+ 3b9   :  3f411 ; 
+ 3ba   :  3f44d ; 
+ 3bb   :  3f48a ; 
+ 3bc   :  3f4c6 ; 
+ 3bd   :  3f503 ; 
+ 3be   :  3f53f ; 
+ 3bf   :  3f57c ; 
+ 3c0   :  3f5b8 ; 
+ 3c1   :  3f5f4 ; 
+ 3c2   :  3f631 ; 
+ 3c3   :  3f66d ; 
+ 3c4   :  3f6a9 ; 
+ 3c5   :  3f6e5 ; 
+ 3c6   :  3f721 ; 
+ 3c7   :  3f75d ; 
+ 3c8   :  3f799 ; 
+ 3c9   :  3f7d5 ; 
+ 3ca   :  3f811 ; 
+ 3cb   :  3f84d ; 
+ 3cc   :  3f888 ; 
+ 3cd   :  3f8c4 ; 
+ 3ce   :  3f900 ; 
+ 3cf   :  3f93b ; 
+ 3d0   :  3f977 ; 
+ 3d1   :  3f9b2 ; 
+ 3d2   :  3f9ee ; 
+ 3d3   :  3fa29 ; 
+ 3d4   :  3fa64 ; 
+ 3d5   :  3fa9f ; 
+ 3d6   :  3fadb ; 
+ 3d7   :  3fb16 ; 
+ 3d8   :  3fb51 ; 
+ 3d9   :  3fb8c ; 
+ 3da   :  3fbc7 ; 
+ 3db   :  3fc02 ; 
+ 3dc   :  3fc3c ; 
+ 3dd   :  3fc77 ; 
+ 3de   :  3fcb2 ; 
+ 3df   :  3fcec ; 
+ 3e0   :  3fd27 ; 
+ 3e1   :  3fd62 ; 
+ 3e2   :  3fd9c ; 
+ 3e3   :  3fdd6 ; 
+ 3e4   :  3fe11 ; 
+ 3e5   :  3fe4b ; 
+ 3e6   :  3fe85 ; 
+ 3e7   :  3febf ; 
+ 3e8   :  3fef9 ; 
+ 3e9   :  3ff33 ; 
+ 3ea   :  3ff6d ; 
+ 3eb   :  3ffa7 ; 
+ 3ec   :  3ffe1 ; 
+ 3ed   :  1a ; 
+ 3ee   :  54 ; 
+ 3ef   :  8e ; 
+ 3f0   :  c7 ; 
+ 3f1   :  101 ; 
+ 3f2   :  13a ; 
+ 3f3   :  173 ; 
+ 3f4   :  1ac ; 
+ 3f5   :  1e6 ; 
+ 3f6   :  21f ; 
+ 3f7   :  258 ; 
+ 3f8   :  290 ; 
+ 3f9   :  2c9 ; 
+ 3fa   :  302 ; 
+ 3fb   :  33b ; 
+ 3fc   :  373 ; 
+ 3fd   :  3ac ; 
+ 3fe   :  3e4 ; 
+ 3ff   :  41d ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_7.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_7.mif
new file mode 100644
index 0000000000000000000000000000000000000000..9a44758b01cbd143ef1ed68a99bd2393baf7adf6
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_7.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  1ffff ; 
+ 1   :  1ffff ; 
+ 2   :  1fffe ; 
+ 3   :  1fffc ; 
+ 4   :  1fffb ; 
+ 5   :  1fff9 ; 
+ 6   :  1fff6 ; 
+ 7   :  1fff3 ; 
+ 8   :  1fff0 ; 
+ 9   :  1ffec ; 
+ a   :  1ffe8 ; 
+ b   :  1ffe3 ; 
+ c   :  1ffde ; 
+ d   :  1ffd8 ; 
+ e   :  1ffd2 ; 
+ f   :  1ffcc ; 
+ 10   :  1ffc5 ; 
+ 11   :  1ffbe ; 
+ 12   :  1ffb6 ; 
+ 13   :  1ffae ; 
+ 14   :  1ffa5 ; 
+ 15   :  1ff9c ; 
+ 16   :  1ff93 ; 
+ 17   :  1ff89 ; 
+ 18   :  1ff7f ; 
+ 19   :  1ff74 ; 
+ 1a   :  1ff69 ; 
+ 1b   :  1ff5e ; 
+ 1c   :  1ff52 ; 
+ 1d   :  1ff45 ; 
+ 1e   :  1ff39 ; 
+ 1f   :  1ff2b ; 
+ 20   :  1ff1e ; 
+ 21   :  1ff10 ; 
+ 22   :  1ff01 ; 
+ 23   :  1fef2 ; 
+ 24   :  1fee3 ; 
+ 25   :  1fed3 ; 
+ 26   :  1fec3 ; 
+ 27   :  1feb2 ; 
+ 28   :  1fea1 ; 
+ 29   :  1fe90 ; 
+ 2a   :  1fe7e ; 
+ 2b   :  1fe6c ; 
+ 2c   :  1fe59 ; 
+ 2d   :  1fe46 ; 
+ 2e   :  1fe32 ; 
+ 2f   :  1fe1e ; 
+ 30   :  1fe0a ; 
+ 31   :  1fdf5 ; 
+ 32   :  1fde0 ; 
+ 33   :  1fdca ; 
+ 34   :  1fdb4 ; 
+ 35   :  1fd9d ; 
+ 36   :  1fd86 ; 
+ 37   :  1fd6f ; 
+ 38   :  1fd57 ; 
+ 39   :  1fd3f ; 
+ 3a   :  1fd26 ; 
+ 3b   :  1fd0d ; 
+ 3c   :  1fcf4 ; 
+ 3d   :  1fcda ; 
+ 3e   :  1fcbf ; 
+ 3f   :  1fca5 ; 
+ 40   :  1fc89 ; 
+ 41   :  1fc6e ; 
+ 42   :  1fc52 ; 
+ 43   :  1fc35 ; 
+ 44   :  1fc18 ; 
+ 45   :  1fbfb ; 
+ 46   :  1fbdd ; 
+ 47   :  1fbbf ; 
+ 48   :  1fba1 ; 
+ 49   :  1fb82 ; 
+ 4a   :  1fb62 ; 
+ 4b   :  1fb42 ; 
+ 4c   :  1fb22 ; 
+ 4d   :  1fb01 ; 
+ 4e   :  1fae0 ; 
+ 4f   :  1fabf ; 
+ 50   :  1fa9d ; 
+ 51   :  1fa7b ; 
+ 52   :  1fa58 ; 
+ 53   :  1fa35 ; 
+ 54   :  1fa11 ; 
+ 55   :  1f9ed ; 
+ 56   :  1f9c9 ; 
+ 57   :  1f9a4 ; 
+ 58   :  1f97f ; 
+ 59   :  1f959 ; 
+ 5a   :  1f933 ; 
+ 5b   :  1f90c ; 
+ 5c   :  1f8e5 ; 
+ 5d   :  1f8be ; 
+ 5e   :  1f896 ; 
+ 5f   :  1f86e ; 
+ 60   :  1f846 ; 
+ 61   :  1f81c ; 
+ 62   :  1f7f3 ; 
+ 63   :  1f7c9 ; 
+ 64   :  1f79f ; 
+ 65   :  1f774 ; 
+ 66   :  1f749 ; 
+ 67   :  1f71e ; 
+ 68   :  1f6f2 ; 
+ 69   :  1f6c6 ; 
+ 6a   :  1f699 ; 
+ 6b   :  1f66c ; 
+ 6c   :  1f63e ; 
+ 6d   :  1f610 ; 
+ 6e   :  1f5e2 ; 
+ 6f   :  1f5b3 ; 
+ 70   :  1f584 ; 
+ 71   :  1f554 ; 
+ 72   :  1f524 ; 
+ 73   :  1f4f4 ; 
+ 74   :  1f4c3 ; 
+ 75   :  1f492 ; 
+ 76   :  1f460 ; 
+ 77   :  1f42e ; 
+ 78   :  1f3fc ; 
+ 79   :  1f3c9 ; 
+ 7a   :  1f395 ; 
+ 7b   :  1f362 ; 
+ 7c   :  1f32e ; 
+ 7d   :  1f2f9 ; 
+ 7e   :  1f2c4 ; 
+ 7f   :  1f28f ; 
+ 80   :  1f259 ; 
+ 81   :  1f223 ; 
+ 82   :  1f1ec ; 
+ 83   :  1f1b5 ; 
+ 84   :  1f17e ; 
+ 85   :  1f146 ; 
+ 86   :  1f10e ; 
+ 87   :  1f0d6 ; 
+ 88   :  1f09d ; 
+ 89   :  1f063 ; 
+ 8a   :  1f02a ; 
+ 8b   :  1efef ; 
+ 8c   :  1efb5 ; 
+ 8d   :  1ef7a ; 
+ 8e   :  1ef3e ; 
+ 8f   :  1ef03 ; 
+ 90   :  1eec6 ; 
+ 91   :  1ee8a ; 
+ 92   :  1ee4d ; 
+ 93   :  1ee10 ; 
+ 94   :  1edd2 ; 
+ 95   :  1ed94 ; 
+ 96   :  1ed55 ; 
+ 97   :  1ed16 ; 
+ 98   :  1ecd7 ; 
+ 99   :  1ec97 ; 
+ 9a   :  1ec57 ; 
+ 9b   :  1ec16 ; 
+ 9c   :  1ebd5 ; 
+ 9d   :  1eb94 ; 
+ 9e   :  1eb52 ; 
+ 9f   :  1eb10 ; 
+ a0   :  1eacd ; 
+ a1   :  1ea8a ; 
+ a2   :  1ea47 ; 
+ a3   :  1ea03 ; 
+ a4   :  1e9bf ; 
+ a5   :  1e97b ; 
+ a6   :  1e936 ; 
+ a7   :  1e8f1 ; 
+ a8   :  1e8ab ; 
+ a9   :  1e865 ; 
+ aa   :  1e81e ; 
+ ab   :  1e7d7 ; 
+ ac   :  1e790 ; 
+ ad   :  1e749 ; 
+ ae   :  1e701 ; 
+ af   :  1e6b8 ; 
+ b0   :  1e66f ; 
+ b1   :  1e626 ; 
+ b2   :  1e5dd ; 
+ b3   :  1e593 ; 
+ b4   :  1e548 ; 
+ b5   :  1e4fe ; 
+ b6   :  1e4b2 ; 
+ b7   :  1e467 ; 
+ b8   :  1e41b ; 
+ b9   :  1e3cf ; 
+ ba   :  1e382 ; 
+ bb   :  1e335 ; 
+ bc   :  1e2e8 ; 
+ bd   :  1e29a ; 
+ be   :  1e24c ; 
+ bf   :  1e1fd ; 
+ c0   :  1e1ae ; 
+ c1   :  1e15f ; 
+ c2   :  1e10f ; 
+ c3   :  1e0bf ; 
+ c4   :  1e06f ; 
+ c5   :  1e01e ; 
+ c6   :  1dfcc ; 
+ c7   :  1df7b ; 
+ c8   :  1df29 ; 
+ c9   :  1ded7 ; 
+ ca   :  1de84 ; 
+ cb   :  1de31 ; 
+ cc   :  1dddd ; 
+ cd   :  1dd89 ; 
+ ce   :  1dd35 ; 
+ cf   :  1dce1 ; 
+ d0   :  1dc8c ; 
+ d1   :  1dc36 ; 
+ d2   :  1dbe0 ; 
+ d3   :  1db8a ; 
+ d4   :  1db34 ; 
+ d5   :  1dadd ; 
+ d6   :  1da86 ; 
+ d7   :  1da2e ; 
+ d8   :  1d9d6 ; 
+ d9   :  1d97e ; 
+ da   :  1d925 ; 
+ db   :  1d8cc ; 
+ dc   :  1d873 ; 
+ dd   :  1d819 ; 
+ de   :  1d7bf ; 
+ df   :  1d764 ; 
+ e0   :  1d70a ; 
+ e1   :  1d6ae ; 
+ e2   :  1d653 ; 
+ e3   :  1d5f7 ; 
+ e4   :  1d59a ; 
+ e5   :  1d53e ; 
+ e6   :  1d4e1 ; 
+ e7   :  1d483 ; 
+ e8   :  1d426 ; 
+ e9   :  1d3c7 ; 
+ ea   :  1d369 ; 
+ eb   :  1d30a ; 
+ ec   :  1d2ab ; 
+ ed   :  1d24b ; 
+ ee   :  1d1eb ; 
+ ef   :  1d18b ; 
+ f0   :  1d12a ; 
+ f1   :  1d0c9 ; 
+ f2   :  1d068 ; 
+ f3   :  1d006 ; 
+ f4   :  1cfa4 ; 
+ f5   :  1cf42 ; 
+ f6   :  1cedf ; 
+ f7   :  1ce7c ; 
+ f8   :  1ce19 ; 
+ f9   :  1cdb5 ; 
+ fa   :  1cd51 ; 
+ fb   :  1ccec ; 
+ fc   :  1cc87 ; 
+ fd   :  1cc22 ; 
+ fe   :  1cbbd ; 
+ ff   :  1cb57 ; 
+ 100   :  1caf0 ; 
+ 101   :  1ca8a ; 
+ 102   :  1ca23 ; 
+ 103   :  1c9bc ; 
+ 104   :  1c954 ; 
+ 105   :  1c8ec ; 
+ 106   :  1c884 ; 
+ 107   :  1c81b ; 
+ 108   :  1c7b2 ; 
+ 109   :  1c749 ; 
+ 10a   :  1c6df ; 
+ 10b   :  1c675 ; 
+ 10c   :  1c60b ; 
+ 10d   :  1c5a0 ; 
+ 10e   :  1c535 ; 
+ 10f   :  1c4c9 ; 
+ 110   :  1c45e ; 
+ 111   :  1c3f2 ; 
+ 112   :  1c385 ; 
+ 113   :  1c319 ; 
+ 114   :  1c2ac ; 
+ 115   :  1c23e ; 
+ 116   :  1c1d0 ; 
+ 117   :  1c162 ; 
+ 118   :  1c0f4 ; 
+ 119   :  1c085 ; 
+ 11a   :  1c016 ; 
+ 11b   :  1bfa7 ; 
+ 11c   :  1bf37 ; 
+ 11d   :  1bec7 ; 
+ 11e   :  1be57 ; 
+ 11f   :  1bde6 ; 
+ 120   :  1bd75 ; 
+ 121   :  1bd04 ; 
+ 122   :  1bc92 ; 
+ 123   :  1bc20 ; 
+ 124   :  1bbae ; 
+ 125   :  1bb3b ; 
+ 126   :  1bac8 ; 
+ 127   :  1ba55 ; 
+ 128   :  1b9e1 ; 
+ 129   :  1b96d ; 
+ 12a   :  1b8f9 ; 
+ 12b   :  1b885 ; 
+ 12c   :  1b810 ; 
+ 12d   :  1b79b ; 
+ 12e   :  1b725 ; 
+ 12f   :  1b6af ; 
+ 130   :  1b639 ; 
+ 131   :  1b5c3 ; 
+ 132   :  1b54c ; 
+ 133   :  1b4d5 ; 
+ 134   :  1b45e ; 
+ 135   :  1b3e6 ; 
+ 136   :  1b36e ; 
+ 137   :  1b2f5 ; 
+ 138   :  1b27d ; 
+ 139   :  1b204 ; 
+ 13a   :  1b18b ; 
+ 13b   :  1b111 ; 
+ 13c   :  1b097 ; 
+ 13d   :  1b01d ; 
+ 13e   :  1afa3 ; 
+ 13f   :  1af28 ; 
+ 140   :  1aead ; 
+ 141   :  1ae31 ; 
+ 142   :  1adb6 ; 
+ 143   :  1ad3a ; 
+ 144   :  1acbd ; 
+ 145   :  1ac41 ; 
+ 146   :  1abc4 ; 
+ 147   :  1ab47 ; 
+ 148   :  1aac9 ; 
+ 149   :  1aa4b ; 
+ 14a   :  1a9cd ; 
+ 14b   :  1a94f ; 
+ 14c   :  1a8d0 ; 
+ 14d   :  1a851 ; 
+ 14e   :  1a7d2 ; 
+ 14f   :  1a752 ; 
+ 150   :  1a6d3 ; 
+ 151   :  1a653 ; 
+ 152   :  1a5d2 ; 
+ 153   :  1a551 ; 
+ 154   :  1a4d0 ; 
+ 155   :  1a44f ; 
+ 156   :  1a3cd ; 
+ 157   :  1a34c ; 
+ 158   :  1a2c9 ; 
+ 159   :  1a247 ; 
+ 15a   :  1a1c4 ; 
+ 15b   :  1a141 ; 
+ 15c   :  1a0be ; 
+ 15d   :  1a03a ; 
+ 15e   :  19fb6 ; 
+ 15f   :  19f32 ; 
+ 160   :  19eae ; 
+ 161   :  19e29 ; 
+ 162   :  19da4 ; 
+ 163   :  19d1f ; 
+ 164   :  19c99 ; 
+ 165   :  19c14 ; 
+ 166   :  19b8e ; 
+ 167   :  19b07 ; 
+ 168   :  19a81 ; 
+ 169   :  199fa ; 
+ 16a   :  19972 ; 
+ 16b   :  198eb ; 
+ 16c   :  19863 ; 
+ 16d   :  197db ; 
+ 16e   :  19753 ; 
+ 16f   :  196ca ; 
+ 170   :  19642 ; 
+ 171   :  195b9 ; 
+ 172   :  1952f ; 
+ 173   :  194a6 ; 
+ 174   :  1941c ; 
+ 175   :  19392 ; 
+ 176   :  19307 ; 
+ 177   :  1927d ; 
+ 178   :  191f2 ; 
+ 179   :  19167 ; 
+ 17a   :  190db ; 
+ 17b   :  1904f ; 
+ 17c   :  18fc4 ; 
+ 17d   :  18f37 ; 
+ 17e   :  18eab ; 
+ 17f   :  18e1e ; 
+ 180   :  18d91 ; 
+ 181   :  18d04 ; 
+ 182   :  18c76 ; 
+ 183   :  18be9 ; 
+ 184   :  18b5b ; 
+ 185   :  18acd ; 
+ 186   :  18a3e ; 
+ 187   :  189af ; 
+ 188   :  18920 ; 
+ 189   :  18891 ; 
+ 18a   :  18802 ; 
+ 18b   :  18772 ; 
+ 18c   :  186e2 ; 
+ 18d   :  18652 ; 
+ 18e   :  185c1 ; 
+ 18f   :  18531 ; 
+ 190   :  184a0 ; 
+ 191   :  1840e ; 
+ 192   :  1837d ; 
+ 193   :  182eb ; 
+ 194   :  18259 ; 
+ 195   :  181c7 ; 
+ 196   :  18135 ; 
+ 197   :  180a2 ; 
+ 198   :  18010 ; 
+ 199   :  17f7c ; 
+ 19a   :  17ee9 ; 
+ 19b   :  17e56 ; 
+ 19c   :  17dc2 ; 
+ 19d   :  17d2e ; 
+ 19e   :  17c9a ; 
+ 19f   :  17c05 ; 
+ 1a0   :  17b71 ; 
+ 1a1   :  17adc ; 
+ 1a2   :  17a46 ; 
+ 1a3   :  179b1 ; 
+ 1a4   :  1791b ; 
+ 1a5   :  17886 ; 
+ 1a6   :  177f0 ; 
+ 1a7   :  17759 ; 
+ 1a8   :  176c3 ; 
+ 1a9   :  1762c ; 
+ 1aa   :  17595 ; 
+ 1ab   :  174fe ; 
+ 1ac   :  17467 ; 
+ 1ad   :  173cf ; 
+ 1ae   :  17337 ; 
+ 1af   :  1729f ; 
+ 1b0   :  17207 ; 
+ 1b1   :  1716f ; 
+ 1b2   :  170d6 ; 
+ 1b3   :  1703d ; 
+ 1b4   :  16fa4 ; 
+ 1b5   :  16f0b ; 
+ 1b6   :  16e71 ; 
+ 1b7   :  16dd8 ; 
+ 1b8   :  16d3e ; 
+ 1b9   :  16ca4 ; 
+ 1ba   :  16c09 ; 
+ 1bb   :  16b6f ; 
+ 1bc   :  16ad4 ; 
+ 1bd   :  16a39 ; 
+ 1be   :  1699e ; 
+ 1bf   :  16903 ; 
+ 1c0   :  16867 ; 
+ 1c1   :  167cc ; 
+ 1c2   :  16730 ; 
+ 1c3   :  16694 ; 
+ 1c4   :  165f7 ; 
+ 1c5   :  1655b ; 
+ 1c6   :  164be ; 
+ 1c7   :  16421 ; 
+ 1c8   :  16384 ; 
+ 1c9   :  162e7 ; 
+ 1ca   :  16249 ; 
+ 1cb   :  161ac ; 
+ 1cc   :  1610e ; 
+ 1cd   :  16070 ; 
+ 1ce   :  15fd1 ; 
+ 1cf   :  15f33 ; 
+ 1d0   :  15e94 ; 
+ 1d1   :  15df6 ; 
+ 1d2   :  15d57 ; 
+ 1d3   :  15cb8 ; 
+ 1d4   :  15c18 ; 
+ 1d5   :  15b79 ; 
+ 1d6   :  15ad9 ; 
+ 1d7   :  15a39 ; 
+ 1d8   :  15999 ; 
+ 1d9   :  158f9 ; 
+ 1da   :  15858 ; 
+ 1db   :  157b8 ; 
+ 1dc   :  15717 ; 
+ 1dd   :  15676 ; 
+ 1de   :  155d5 ; 
+ 1df   :  15534 ; 
+ 1e0   :  15492 ; 
+ 1e1   :  153f1 ; 
+ 1e2   :  1534f ; 
+ 1e3   :  152ad ; 
+ 1e4   :  1520b ; 
+ 1e5   :  15168 ; 
+ 1e6   :  150c6 ; 
+ 1e7   :  15023 ; 
+ 1e8   :  14f81 ; 
+ 1e9   :  14ede ; 
+ 1ea   :  14e3a ; 
+ 1eb   :  14d97 ; 
+ 1ec   :  14cf4 ; 
+ 1ed   :  14c50 ; 
+ 1ee   :  14bac ; 
+ 1ef   :  14b08 ; 
+ 1f0   :  14a64 ; 
+ 1f1   :  149c0 ; 
+ 1f2   :  1491c ; 
+ 1f3   :  14877 ; 
+ 1f4   :  147d3 ; 
+ 1f5   :  1472e ; 
+ 1f6   :  14689 ; 
+ 1f7   :  145e4 ; 
+ 1f8   :  1453e ; 
+ 1f9   :  14499 ; 
+ 1fa   :  143f3 ; 
+ 1fb   :  1434e ; 
+ 1fc   :  142a8 ; 
+ 1fd   :  14202 ; 
+ 1fe   :  1415c ; 
+ 1ff   :  140b5 ; 
+ 200   :  1400f ; 
+ 201   :  13f68 ; 
+ 202   :  13ec1 ; 
+ 203   :  13e1b ; 
+ 204   :  13d74 ; 
+ 205   :  13ccc ; 
+ 206   :  13c25 ; 
+ 207   :  13b7e ; 
+ 208   :  13ad6 ; 
+ 209   :  13a2f ; 
+ 20a   :  13987 ; 
+ 20b   :  138df ; 
+ 20c   :  13837 ; 
+ 20d   :  1378e ; 
+ 20e   :  136e6 ; 
+ 20f   :  1363e ; 
+ 210   :  13595 ; 
+ 211   :  134ec ; 
+ 212   :  13444 ; 
+ 213   :  1339b ; 
+ 214   :  132f1 ; 
+ 215   :  13248 ; 
+ 216   :  1319f ; 
+ 217   :  130f6 ; 
+ 218   :  1304c ; 
+ 219   :  12fa2 ; 
+ 21a   :  12ef8 ; 
+ 21b   :  12e4f ; 
+ 21c   :  12da4 ; 
+ 21d   :  12cfa ; 
+ 21e   :  12c50 ; 
+ 21f   :  12ba6 ; 
+ 220   :  12afb ; 
+ 221   :  12a51 ; 
+ 222   :  129a6 ; 
+ 223   :  128fb ; 
+ 224   :  12850 ; 
+ 225   :  127a5 ; 
+ 226   :  126fa ; 
+ 227   :  1264f ; 
+ 228   :  125a3 ; 
+ 229   :  124f8 ; 
+ 22a   :  1244c ; 
+ 22b   :  123a1 ; 
+ 22c   :  122f5 ; 
+ 22d   :  12249 ; 
+ 22e   :  1219d ; 
+ 22f   :  120f1 ; 
+ 230   :  12045 ; 
+ 231   :  11f99 ; 
+ 232   :  11eec ; 
+ 233   :  11e40 ; 
+ 234   :  11d94 ; 
+ 235   :  11ce7 ; 
+ 236   :  11c3a ; 
+ 237   :  11b8d ; 
+ 238   :  11ae1 ; 
+ 239   :  11a34 ; 
+ 23a   :  11987 ; 
+ 23b   :  118d9 ; 
+ 23c   :  1182c ; 
+ 23d   :  1177f ; 
+ 23e   :  116d1 ; 
+ 23f   :  11624 ; 
+ 240   :  11576 ; 
+ 241   :  114c9 ; 
+ 242   :  1141b ; 
+ 243   :  1136d ; 
+ 244   :  112bf ; 
+ 245   :  11211 ; 
+ 246   :  11163 ; 
+ 247   :  110b5 ; 
+ 248   :  11007 ; 
+ 249   :  10f59 ; 
+ 24a   :  10eab ; 
+ 24b   :  10dfc ; 
+ 24c   :  10d4e ; 
+ 24d   :  10c9f ; 
+ 24e   :  10bf1 ; 
+ 24f   :  10b42 ; 
+ 250   :  10a93 ; 
+ 251   :  109e4 ; 
+ 252   :  10936 ; 
+ 253   :  10887 ; 
+ 254   :  107d8 ; 
+ 255   :  10729 ; 
+ 256   :  1067a ; 
+ 257   :  105ca ; 
+ 258   :  1051b ; 
+ 259   :  1046c ; 
+ 25a   :  103bd ; 
+ 25b   :  1030d ; 
+ 25c   :  1025e ; 
+ 25d   :  101ae ; 
+ 25e   :  100ff ; 
+ 25f   :  1004f ; 
+ 260   :  ff9f ; 
+ 261   :  fef0 ; 
+ 262   :  fe40 ; 
+ 263   :  fd90 ; 
+ 264   :  fce0 ; 
+ 265   :  fc31 ; 
+ 266   :  fb81 ; 
+ 267   :  fad1 ; 
+ 268   :  fa21 ; 
+ 269   :  f971 ; 
+ 26a   :  f8c0 ; 
+ 26b   :  f810 ; 
+ 26c   :  f760 ; 
+ 26d   :  f6b0 ; 
+ 26e   :  f600 ; 
+ 26f   :  f54f ; 
+ 270   :  f49f ; 
+ 271   :  f3ef ; 
+ 272   :  f33e ; 
+ 273   :  f28e ; 
+ 274   :  f1dd ; 
+ 275   :  f12d ; 
+ 276   :  f07c ; 
+ 277   :  efcc ; 
+ 278   :  ef1b ; 
+ 279   :  ee6b ; 
+ 27a   :  edba ; 
+ 27b   :  ed09 ; 
+ 27c   :  ec59 ; 
+ 27d   :  eba8 ; 
+ 27e   :  eaf7 ; 
+ 27f   :  ea46 ; 
+ 280   :  e996 ; 
+ 281   :  e8e5 ; 
+ 282   :  e834 ; 
+ 283   :  e783 ; 
+ 284   :  e6d2 ; 
+ 285   :  e622 ; 
+ 286   :  e571 ; 
+ 287   :  e4c0 ; 
+ 288   :  e40f ; 
+ 289   :  e35e ; 
+ 28a   :  e2ad ; 
+ 28b   :  e1fc ; 
+ 28c   :  e14b ; 
+ 28d   :  e09a ; 
+ 28e   :  dfe9 ; 
+ 28f   :  df38 ; 
+ 290   :  de87 ; 
+ 291   :  ddd6 ; 
+ 292   :  dd26 ; 
+ 293   :  dc75 ; 
+ 294   :  dbc4 ; 
+ 295   :  db13 ; 
+ 296   :  da62 ; 
+ 297   :  d9b1 ; 
+ 298   :  d900 ; 
+ 299   :  d84f ; 
+ 29a   :  d79e ; 
+ 29b   :  d6ed ; 
+ 29c   :  d63c ; 
+ 29d   :  d58b ; 
+ 29e   :  d4da ; 
+ 29f   :  d429 ; 
+ 2a0   :  d378 ; 
+ 2a1   :  d2c7 ; 
+ 2a2   :  d216 ; 
+ 2a3   :  d165 ; 
+ 2a4   :  d0b5 ; 
+ 2a5   :  d004 ; 
+ 2a6   :  cf53 ; 
+ 2a7   :  cea2 ; 
+ 2a8   :  cdf1 ; 
+ 2a9   :  cd40 ; 
+ 2aa   :  cc90 ; 
+ 2ab   :  cbdf ; 
+ 2ac   :  cb2e ; 
+ 2ad   :  ca7e ; 
+ 2ae   :  c9cd ; 
+ 2af   :  c91c ; 
+ 2b0   :  c86c ; 
+ 2b1   :  c7bb ; 
+ 2b2   :  c70a ; 
+ 2b3   :  c65a ; 
+ 2b4   :  c5a9 ; 
+ 2b5   :  c4f9 ; 
+ 2b6   :  c448 ; 
+ 2b7   :  c398 ; 
+ 2b8   :  c2e8 ; 
+ 2b9   :  c237 ; 
+ 2ba   :  c187 ; 
+ 2bb   :  c0d7 ; 
+ 2bc   :  c026 ; 
+ 2bd   :  bf76 ; 
+ 2be   :  bec6 ; 
+ 2bf   :  be16 ; 
+ 2c0   :  bd66 ; 
+ 2c1   :  bcb5 ; 
+ 2c2   :  bc05 ; 
+ 2c3   :  bb55 ; 
+ 2c4   :  baa6 ; 
+ 2c5   :  b9f6 ; 
+ 2c6   :  b946 ; 
+ 2c7   :  b896 ; 
+ 2c8   :  b7e6 ; 
+ 2c9   :  b736 ; 
+ 2ca   :  b687 ; 
+ 2cb   :  b5d7 ; 
+ 2cc   :  b528 ; 
+ 2cd   :  b478 ; 
+ 2ce   :  b3c9 ; 
+ 2cf   :  b319 ; 
+ 2d0   :  b26a ; 
+ 2d1   :  b1bb ; 
+ 2d2   :  b10b ; 
+ 2d3   :  b05c ; 
+ 2d4   :  afad ; 
+ 2d5   :  aefe ; 
+ 2d6   :  ae4f ; 
+ 2d7   :  ada0 ; 
+ 2d8   :  acf1 ; 
+ 2d9   :  ac42 ; 
+ 2da   :  ab93 ; 
+ 2db   :  aae5 ; 
+ 2dc   :  aa36 ; 
+ 2dd   :  a988 ; 
+ 2de   :  a8d9 ; 
+ 2df   :  a82b ; 
+ 2e0   :  a77c ; 
+ 2e1   :  a6ce ; 
+ 2e2   :  a620 ; 
+ 2e3   :  a571 ; 
+ 2e4   :  a4c3 ; 
+ 2e5   :  a415 ; 
+ 2e6   :  a367 ; 
+ 2e7   :  a2ba ; 
+ 2e8   :  a20c ; 
+ 2e9   :  a15e ; 
+ 2ea   :  a0b0 ; 
+ 2eb   :  a003 ; 
+ 2ec   :  9f55 ; 
+ 2ed   :  9ea8 ; 
+ 2ee   :  9dfb ; 
+ 2ef   :  9d4d ; 
+ 2f0   :  9ca0 ; 
+ 2f1   :  9bf3 ; 
+ 2f2   :  9b46 ; 
+ 2f3   :  9a99 ; 
+ 2f4   :  99ec ; 
+ 2f5   :  9940 ; 
+ 2f6   :  9893 ; 
+ 2f7   :  97e6 ; 
+ 2f8   :  973a ; 
+ 2f9   :  968e ; 
+ 2fa   :  95e1 ; 
+ 2fb   :  9535 ; 
+ 2fc   :  9489 ; 
+ 2fd   :  93dd ; 
+ 2fe   :  9331 ; 
+ 2ff   :  9285 ; 
+ 300   :  91d9 ; 
+ 301   :  912e ; 
+ 302   :  9082 ; 
+ 303   :  8fd7 ; 
+ 304   :  8f2c ; 
+ 305   :  8e80 ; 
+ 306   :  8dd5 ; 
+ 307   :  8d2a ; 
+ 308   :  8c7f ; 
+ 309   :  8bd4 ; 
+ 30a   :  8b2a ; 
+ 30b   :  8a7f ; 
+ 30c   :  89d5 ; 
+ 30d   :  892a ; 
+ 30e   :  8880 ; 
+ 30f   :  87d6 ; 
+ 310   :  872c ; 
+ 311   :  8682 ; 
+ 312   :  85d8 ; 
+ 313   :  852e ; 
+ 314   :  8484 ; 
+ 315   :  83db ; 
+ 316   :  8331 ; 
+ 317   :  8288 ; 
+ 318   :  81df ; 
+ 319   :  8136 ; 
+ 31a   :  808d ; 
+ 31b   :  7fe4 ; 
+ 31c   :  7f3b ; 
+ 31d   :  7e93 ; 
+ 31e   :  7dea ; 
+ 31f   :  7d42 ; 
+ 320   :  7c9a ; 
+ 321   :  7bf2 ; 
+ 322   :  7b4a ; 
+ 323   :  7aa2 ; 
+ 324   :  79fa ; 
+ 325   :  7953 ; 
+ 326   :  78ab ; 
+ 327   :  7804 ; 
+ 328   :  775d ; 
+ 329   :  76b6 ; 
+ 32a   :  760f ; 
+ 32b   :  7568 ; 
+ 32c   :  74c1 ; 
+ 32d   :  741b ; 
+ 32e   :  7374 ; 
+ 32f   :  72ce ; 
+ 330   :  7228 ; 
+ 331   :  7182 ; 
+ 332   :  70dc ; 
+ 333   :  7036 ; 
+ 334   :  6f91 ; 
+ 335   :  6eeb ; 
+ 336   :  6e46 ; 
+ 337   :  6da1 ; 
+ 338   :  6cfc ; 
+ 339   :  6c57 ; 
+ 33a   :  6bb2 ; 
+ 33b   :  6b0e ; 
+ 33c   :  6a69 ; 
+ 33d   :  69c5 ; 
+ 33e   :  6921 ; 
+ 33f   :  687d ; 
+ 340   :  67d9 ; 
+ 341   :  6735 ; 
+ 342   :  6692 ; 
+ 343   :  65ee ; 
+ 344   :  654b ; 
+ 345   :  64a8 ; 
+ 346   :  6405 ; 
+ 347   :  6362 ; 
+ 348   :  62bf ; 
+ 349   :  621d ; 
+ 34a   :  617b ; 
+ 34b   :  60d8 ; 
+ 34c   :  6036 ; 
+ 34d   :  5f95 ; 
+ 34e   :  5ef3 ; 
+ 34f   :  5e51 ; 
+ 350   :  5db0 ; 
+ 351   :  5d0f ; 
+ 352   :  5c6e ; 
+ 353   :  5bcd ; 
+ 354   :  5b2c ; 
+ 355   :  5a8b ; 
+ 356   :  59eb ; 
+ 357   :  594b ; 
+ 358   :  58ab ; 
+ 359   :  580b ; 
+ 35a   :  576b ; 
+ 35b   :  56cc ; 
+ 35c   :  562c ; 
+ 35d   :  558d ; 
+ 35e   :  54ee ; 
+ 35f   :  544f ; 
+ 360   :  53b0 ; 
+ 361   :  5312 ; 
+ 362   :  5273 ; 
+ 363   :  51d5 ; 
+ 364   :  5137 ; 
+ 365   :  5099 ; 
+ 366   :  4ffc ; 
+ 367   :  4f5e ; 
+ 368   :  4ec1 ; 
+ 369   :  4e24 ; 
+ 36a   :  4d87 ; 
+ 36b   :  4cea ; 
+ 36c   :  4c4d ; 
+ 36d   :  4bb1 ; 
+ 36e   :  4b15 ; 
+ 36f   :  4a79 ; 
+ 370   :  49dd ; 
+ 371   :  4941 ; 
+ 372   :  48a6 ; 
+ 373   :  480a ; 
+ 374   :  476f ; 
+ 375   :  46d4 ; 
+ 376   :  463a ; 
+ 377   :  459f ; 
+ 378   :  4505 ; 
+ 379   :  446b ; 
+ 37a   :  43d1 ; 
+ 37b   :  4337 ; 
+ 37c   :  429d ; 
+ 37d   :  4204 ; 
+ 37e   :  416b ; 
+ 37f   :  40d2 ; 
+ 380   :  4039 ; 
+ 381   :  3fa0 ; 
+ 382   :  3f08 ; 
+ 383   :  3e6f ; 
+ 384   :  3dd7 ; 
+ 385   :  3d40 ; 
+ 386   :  3ca8 ; 
+ 387   :  3c10 ; 
+ 388   :  3b79 ; 
+ 389   :  3ae2 ; 
+ 38a   :  3a4b ; 
+ 38b   :  39b5 ; 
+ 38c   :  391e ; 
+ 38d   :  3888 ; 
+ 38e   :  37f2 ; 
+ 38f   :  375c ; 
+ 390   :  36c7 ; 
+ 391   :  3631 ; 
+ 392   :  359c ; 
+ 393   :  3507 ; 
+ 394   :  3472 ; 
+ 395   :  33de ; 
+ 396   :  3349 ; 
+ 397   :  32b5 ; 
+ 398   :  3221 ; 
+ 399   :  318d ; 
+ 39a   :  30fa ; 
+ 39b   :  3067 ; 
+ 39c   :  2fd3 ; 
+ 39d   :  2f41 ; 
+ 39e   :  2eae ; 
+ 39f   :  2e1b ; 
+ 3a0   :  2d89 ; 
+ 3a1   :  2cf7 ; 
+ 3a2   :  2c65 ; 
+ 3a3   :  2bd4 ; 
+ 3a4   :  2b42 ; 
+ 3a5   :  2ab1 ; 
+ 3a6   :  2a20 ; 
+ 3a7   :  2990 ; 
+ 3a8   :  28ff ; 
+ 3a9   :  286f ; 
+ 3aa   :  27df ; 
+ 3ab   :  274f ; 
+ 3ac   :  26bf ; 
+ 3ad   :  2630 ; 
+ 3ae   :  25a1 ; 
+ 3af   :  2512 ; 
+ 3b0   :  2483 ; 
+ 3b1   :  23f5 ; 
+ 3b2   :  2366 ; 
+ 3b3   :  22d8 ; 
+ 3b4   :  224a ; 
+ 3b5   :  21bd ; 
+ 3b6   :  212f ; 
+ 3b7   :  20a2 ; 
+ 3b8   :  2015 ; 
+ 3b9   :  1f89 ; 
+ 3ba   :  1efc ; 
+ 3bb   :  1e70 ; 
+ 3bc   :  1de4 ; 
+ 3bd   :  1d58 ; 
+ 3be   :  1ccd ; 
+ 3bf   :  1c42 ; 
+ 3c0   :  1bb7 ; 
+ 3c1   :  1b2c ; 
+ 3c2   :  1aa1 ; 
+ 3c3   :  1a17 ; 
+ 3c4   :  198d ; 
+ 3c5   :  1903 ; 
+ 3c6   :  1879 ; 
+ 3c7   :  17f0 ; 
+ 3c8   :  1767 ; 
+ 3c9   :  16de ; 
+ 3ca   :  1655 ; 
+ 3cb   :  15cd ; 
+ 3cc   :  1545 ; 
+ 3cd   :  14bd ; 
+ 3ce   :  1435 ; 
+ 3cf   :  13ad ; 
+ 3d0   :  1326 ; 
+ 3d1   :  129f ; 
+ 3d2   :  1219 ; 
+ 3d3   :  1192 ; 
+ 3d4   :  110c ; 
+ 3d5   :  1086 ; 
+ 3d6   :  1000 ; 
+ 3d7   :  f7b ; 
+ 3d8   :  ef5 ; 
+ 3d9   :  e70 ; 
+ 3da   :  dec ; 
+ 3db   :  d67 ; 
+ 3dc   :  ce3 ; 
+ 3dd   :  c5f ; 
+ 3de   :  bdb ; 
+ 3df   :  b58 ; 
+ 3e0   :  ad4 ; 
+ 3e1   :  a51 ; 
+ 3e2   :  9ce ; 
+ 3e3   :  94c ; 
+ 3e4   :  8ca ; 
+ 3e5   :  848 ; 
+ 3e6   :  7c6 ; 
+ 3e7   :  744 ; 
+ 3e8   :  6c3 ; 
+ 3e9   :  642 ; 
+ 3ea   :  5c2 ; 
+ 3eb   :  541 ; 
+ 3ec   :  4c1 ; 
+ 3ed   :  441 ; 
+ 3ee   :  3c1 ; 
+ 3ef   :  342 ; 
+ 3f0   :  2c3 ; 
+ 3f1   :  244 ; 
+ 3f2   :  1c5 ; 
+ 3f3   :  147 ; 
+ 3f4   :  c9 ; 
+ 3f5   :  4b ; 
+ 3f6   :  3ffcd ; 
+ 3f7   :  3ff50 ; 
+ 3f8   :  3fed3 ; 
+ 3f9   :  3fe56 ; 
+ 3fa   :  3fdd9 ; 
+ 3fb   :  3fd5d ; 
+ 3fc   :  3fce1 ; 
+ 3fd   :  3fc65 ; 
+ 3fe   :  3fbea ; 
+ 3ff   :  3fb6e ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_8.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_8.mif
new file mode 100644
index 0000000000000000000000000000000000000000..1ef55131d9b76e0e0f155c5719c754254dd8726d
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_8.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  3fb6e ; 
+ 1   :  3fbea ; 
+ 2   :  3fc65 ; 
+ 3   :  3fce1 ; 
+ 4   :  3fd5d ; 
+ 5   :  3fdd9 ; 
+ 6   :  3fe56 ; 
+ 7   :  3fed3 ; 
+ 8   :  3ff50 ; 
+ 9   :  3ffcd ; 
+ a   :  4b ; 
+ b   :  c9 ; 
+ c   :  147 ; 
+ d   :  1c5 ; 
+ e   :  244 ; 
+ f   :  2c3 ; 
+ 10   :  342 ; 
+ 11   :  3c1 ; 
+ 12   :  441 ; 
+ 13   :  4c1 ; 
+ 14   :  541 ; 
+ 15   :  5c2 ; 
+ 16   :  642 ; 
+ 17   :  6c3 ; 
+ 18   :  744 ; 
+ 19   :  7c6 ; 
+ 1a   :  848 ; 
+ 1b   :  8ca ; 
+ 1c   :  94c ; 
+ 1d   :  9ce ; 
+ 1e   :  a51 ; 
+ 1f   :  ad4 ; 
+ 20   :  b58 ; 
+ 21   :  bdb ; 
+ 22   :  c5f ; 
+ 23   :  ce3 ; 
+ 24   :  d67 ; 
+ 25   :  dec ; 
+ 26   :  e70 ; 
+ 27   :  ef5 ; 
+ 28   :  f7b ; 
+ 29   :  1000 ; 
+ 2a   :  1086 ; 
+ 2b   :  110c ; 
+ 2c   :  1192 ; 
+ 2d   :  1219 ; 
+ 2e   :  129f ; 
+ 2f   :  1326 ; 
+ 30   :  13ad ; 
+ 31   :  1435 ; 
+ 32   :  14bd ; 
+ 33   :  1545 ; 
+ 34   :  15cd ; 
+ 35   :  1655 ; 
+ 36   :  16de ; 
+ 37   :  1767 ; 
+ 38   :  17f0 ; 
+ 39   :  1879 ; 
+ 3a   :  1903 ; 
+ 3b   :  198d ; 
+ 3c   :  1a17 ; 
+ 3d   :  1aa1 ; 
+ 3e   :  1b2c ; 
+ 3f   :  1bb7 ; 
+ 40   :  1c42 ; 
+ 41   :  1ccd ; 
+ 42   :  1d58 ; 
+ 43   :  1de4 ; 
+ 44   :  1e70 ; 
+ 45   :  1efc ; 
+ 46   :  1f89 ; 
+ 47   :  2015 ; 
+ 48   :  20a2 ; 
+ 49   :  212f ; 
+ 4a   :  21bd ; 
+ 4b   :  224a ; 
+ 4c   :  22d8 ; 
+ 4d   :  2366 ; 
+ 4e   :  23f5 ; 
+ 4f   :  2483 ; 
+ 50   :  2512 ; 
+ 51   :  25a1 ; 
+ 52   :  2630 ; 
+ 53   :  26bf ; 
+ 54   :  274f ; 
+ 55   :  27df ; 
+ 56   :  286f ; 
+ 57   :  28ff ; 
+ 58   :  2990 ; 
+ 59   :  2a20 ; 
+ 5a   :  2ab1 ; 
+ 5b   :  2b42 ; 
+ 5c   :  2bd4 ; 
+ 5d   :  2c65 ; 
+ 5e   :  2cf7 ; 
+ 5f   :  2d89 ; 
+ 60   :  2e1b ; 
+ 61   :  2eae ; 
+ 62   :  2f41 ; 
+ 63   :  2fd3 ; 
+ 64   :  3067 ; 
+ 65   :  30fa ; 
+ 66   :  318d ; 
+ 67   :  3221 ; 
+ 68   :  32b5 ; 
+ 69   :  3349 ; 
+ 6a   :  33de ; 
+ 6b   :  3472 ; 
+ 6c   :  3507 ; 
+ 6d   :  359c ; 
+ 6e   :  3631 ; 
+ 6f   :  36c7 ; 
+ 70   :  375c ; 
+ 71   :  37f2 ; 
+ 72   :  3888 ; 
+ 73   :  391e ; 
+ 74   :  39b5 ; 
+ 75   :  3a4b ; 
+ 76   :  3ae2 ; 
+ 77   :  3b79 ; 
+ 78   :  3c10 ; 
+ 79   :  3ca8 ; 
+ 7a   :  3d40 ; 
+ 7b   :  3dd7 ; 
+ 7c   :  3e6f ; 
+ 7d   :  3f08 ; 
+ 7e   :  3fa0 ; 
+ 7f   :  4039 ; 
+ 80   :  40d2 ; 
+ 81   :  416b ; 
+ 82   :  4204 ; 
+ 83   :  429d ; 
+ 84   :  4337 ; 
+ 85   :  43d1 ; 
+ 86   :  446b ; 
+ 87   :  4505 ; 
+ 88   :  459f ; 
+ 89   :  463a ; 
+ 8a   :  46d4 ; 
+ 8b   :  476f ; 
+ 8c   :  480a ; 
+ 8d   :  48a6 ; 
+ 8e   :  4941 ; 
+ 8f   :  49dd ; 
+ 90   :  4a79 ; 
+ 91   :  4b15 ; 
+ 92   :  4bb1 ; 
+ 93   :  4c4d ; 
+ 94   :  4cea ; 
+ 95   :  4d87 ; 
+ 96   :  4e24 ; 
+ 97   :  4ec1 ; 
+ 98   :  4f5e ; 
+ 99   :  4ffc ; 
+ 9a   :  5099 ; 
+ 9b   :  5137 ; 
+ 9c   :  51d5 ; 
+ 9d   :  5273 ; 
+ 9e   :  5312 ; 
+ 9f   :  53b0 ; 
+ a0   :  544f ; 
+ a1   :  54ee ; 
+ a2   :  558d ; 
+ a3   :  562c ; 
+ a4   :  56cc ; 
+ a5   :  576b ; 
+ a6   :  580b ; 
+ a7   :  58ab ; 
+ a8   :  594b ; 
+ a9   :  59eb ; 
+ aa   :  5a8b ; 
+ ab   :  5b2c ; 
+ ac   :  5bcd ; 
+ ad   :  5c6e ; 
+ ae   :  5d0f ; 
+ af   :  5db0 ; 
+ b0   :  5e51 ; 
+ b1   :  5ef3 ; 
+ b2   :  5f95 ; 
+ b3   :  6036 ; 
+ b4   :  60d8 ; 
+ b5   :  617b ; 
+ b6   :  621d ; 
+ b7   :  62bf ; 
+ b8   :  6362 ; 
+ b9   :  6405 ; 
+ ba   :  64a8 ; 
+ bb   :  654b ; 
+ bc   :  65ee ; 
+ bd   :  6692 ; 
+ be   :  6735 ; 
+ bf   :  67d9 ; 
+ c0   :  687d ; 
+ c1   :  6921 ; 
+ c2   :  69c5 ; 
+ c3   :  6a69 ; 
+ c4   :  6b0e ; 
+ c5   :  6bb2 ; 
+ c6   :  6c57 ; 
+ c7   :  6cfc ; 
+ c8   :  6da1 ; 
+ c9   :  6e46 ; 
+ ca   :  6eeb ; 
+ cb   :  6f91 ; 
+ cc   :  7036 ; 
+ cd   :  70dc ; 
+ ce   :  7182 ; 
+ cf   :  7228 ; 
+ d0   :  72ce ; 
+ d1   :  7374 ; 
+ d2   :  741b ; 
+ d3   :  74c1 ; 
+ d4   :  7568 ; 
+ d5   :  760f ; 
+ d6   :  76b6 ; 
+ d7   :  775d ; 
+ d8   :  7804 ; 
+ d9   :  78ab ; 
+ da   :  7953 ; 
+ db   :  79fa ; 
+ dc   :  7aa2 ; 
+ dd   :  7b4a ; 
+ de   :  7bf2 ; 
+ df   :  7c9a ; 
+ e0   :  7d42 ; 
+ e1   :  7dea ; 
+ e2   :  7e93 ; 
+ e3   :  7f3b ; 
+ e4   :  7fe4 ; 
+ e5   :  808d ; 
+ e6   :  8136 ; 
+ e7   :  81df ; 
+ e8   :  8288 ; 
+ e9   :  8331 ; 
+ ea   :  83db ; 
+ eb   :  8484 ; 
+ ec   :  852e ; 
+ ed   :  85d8 ; 
+ ee   :  8682 ; 
+ ef   :  872c ; 
+ f0   :  87d6 ; 
+ f1   :  8880 ; 
+ f2   :  892a ; 
+ f3   :  89d5 ; 
+ f4   :  8a7f ; 
+ f5   :  8b2a ; 
+ f6   :  8bd4 ; 
+ f7   :  8c7f ; 
+ f8   :  8d2a ; 
+ f9   :  8dd5 ; 
+ fa   :  8e80 ; 
+ fb   :  8f2c ; 
+ fc   :  8fd7 ; 
+ fd   :  9082 ; 
+ fe   :  912e ; 
+ ff   :  91d9 ; 
+ 100   :  9285 ; 
+ 101   :  9331 ; 
+ 102   :  93dd ; 
+ 103   :  9489 ; 
+ 104   :  9535 ; 
+ 105   :  95e1 ; 
+ 106   :  968e ; 
+ 107   :  973a ; 
+ 108   :  97e6 ; 
+ 109   :  9893 ; 
+ 10a   :  9940 ; 
+ 10b   :  99ec ; 
+ 10c   :  9a99 ; 
+ 10d   :  9b46 ; 
+ 10e   :  9bf3 ; 
+ 10f   :  9ca0 ; 
+ 110   :  9d4d ; 
+ 111   :  9dfb ; 
+ 112   :  9ea8 ; 
+ 113   :  9f55 ; 
+ 114   :  a003 ; 
+ 115   :  a0b0 ; 
+ 116   :  a15e ; 
+ 117   :  a20c ; 
+ 118   :  a2ba ; 
+ 119   :  a367 ; 
+ 11a   :  a415 ; 
+ 11b   :  a4c3 ; 
+ 11c   :  a571 ; 
+ 11d   :  a620 ; 
+ 11e   :  a6ce ; 
+ 11f   :  a77c ; 
+ 120   :  a82b ; 
+ 121   :  a8d9 ; 
+ 122   :  a988 ; 
+ 123   :  aa36 ; 
+ 124   :  aae5 ; 
+ 125   :  ab93 ; 
+ 126   :  ac42 ; 
+ 127   :  acf1 ; 
+ 128   :  ada0 ; 
+ 129   :  ae4f ; 
+ 12a   :  aefe ; 
+ 12b   :  afad ; 
+ 12c   :  b05c ; 
+ 12d   :  b10b ; 
+ 12e   :  b1bb ; 
+ 12f   :  b26a ; 
+ 130   :  b319 ; 
+ 131   :  b3c9 ; 
+ 132   :  b478 ; 
+ 133   :  b528 ; 
+ 134   :  b5d7 ; 
+ 135   :  b687 ; 
+ 136   :  b736 ; 
+ 137   :  b7e6 ; 
+ 138   :  b896 ; 
+ 139   :  b946 ; 
+ 13a   :  b9f6 ; 
+ 13b   :  baa6 ; 
+ 13c   :  bb55 ; 
+ 13d   :  bc05 ; 
+ 13e   :  bcb5 ; 
+ 13f   :  bd66 ; 
+ 140   :  be16 ; 
+ 141   :  bec6 ; 
+ 142   :  bf76 ; 
+ 143   :  c026 ; 
+ 144   :  c0d7 ; 
+ 145   :  c187 ; 
+ 146   :  c237 ; 
+ 147   :  c2e8 ; 
+ 148   :  c398 ; 
+ 149   :  c448 ; 
+ 14a   :  c4f9 ; 
+ 14b   :  c5a9 ; 
+ 14c   :  c65a ; 
+ 14d   :  c70a ; 
+ 14e   :  c7bb ; 
+ 14f   :  c86c ; 
+ 150   :  c91c ; 
+ 151   :  c9cd ; 
+ 152   :  ca7e ; 
+ 153   :  cb2e ; 
+ 154   :  cbdf ; 
+ 155   :  cc90 ; 
+ 156   :  cd40 ; 
+ 157   :  cdf1 ; 
+ 158   :  cea2 ; 
+ 159   :  cf53 ; 
+ 15a   :  d004 ; 
+ 15b   :  d0b5 ; 
+ 15c   :  d165 ; 
+ 15d   :  d216 ; 
+ 15e   :  d2c7 ; 
+ 15f   :  d378 ; 
+ 160   :  d429 ; 
+ 161   :  d4da ; 
+ 162   :  d58b ; 
+ 163   :  d63c ; 
+ 164   :  d6ed ; 
+ 165   :  d79e ; 
+ 166   :  d84f ; 
+ 167   :  d900 ; 
+ 168   :  d9b1 ; 
+ 169   :  da62 ; 
+ 16a   :  db13 ; 
+ 16b   :  dbc4 ; 
+ 16c   :  dc75 ; 
+ 16d   :  dd26 ; 
+ 16e   :  ddd6 ; 
+ 16f   :  de87 ; 
+ 170   :  df38 ; 
+ 171   :  dfe9 ; 
+ 172   :  e09a ; 
+ 173   :  e14b ; 
+ 174   :  e1fc ; 
+ 175   :  e2ad ; 
+ 176   :  e35e ; 
+ 177   :  e40f ; 
+ 178   :  e4c0 ; 
+ 179   :  e571 ; 
+ 17a   :  e622 ; 
+ 17b   :  e6d2 ; 
+ 17c   :  e783 ; 
+ 17d   :  e834 ; 
+ 17e   :  e8e5 ; 
+ 17f   :  e996 ; 
+ 180   :  ea46 ; 
+ 181   :  eaf7 ; 
+ 182   :  eba8 ; 
+ 183   :  ec59 ; 
+ 184   :  ed09 ; 
+ 185   :  edba ; 
+ 186   :  ee6b ; 
+ 187   :  ef1b ; 
+ 188   :  efcc ; 
+ 189   :  f07c ; 
+ 18a   :  f12d ; 
+ 18b   :  f1dd ; 
+ 18c   :  f28e ; 
+ 18d   :  f33e ; 
+ 18e   :  f3ef ; 
+ 18f   :  f49f ; 
+ 190   :  f54f ; 
+ 191   :  f600 ; 
+ 192   :  f6b0 ; 
+ 193   :  f760 ; 
+ 194   :  f810 ; 
+ 195   :  f8c0 ; 
+ 196   :  f971 ; 
+ 197   :  fa21 ; 
+ 198   :  fad1 ; 
+ 199   :  fb81 ; 
+ 19a   :  fc31 ; 
+ 19b   :  fce0 ; 
+ 19c   :  fd90 ; 
+ 19d   :  fe40 ; 
+ 19e   :  fef0 ; 
+ 19f   :  ff9f ; 
+ 1a0   :  1004f ; 
+ 1a1   :  100ff ; 
+ 1a2   :  101ae ; 
+ 1a3   :  1025e ; 
+ 1a4   :  1030d ; 
+ 1a5   :  103bd ; 
+ 1a6   :  1046c ; 
+ 1a7   :  1051b ; 
+ 1a8   :  105ca ; 
+ 1a9   :  1067a ; 
+ 1aa   :  10729 ; 
+ 1ab   :  107d8 ; 
+ 1ac   :  10887 ; 
+ 1ad   :  10936 ; 
+ 1ae   :  109e4 ; 
+ 1af   :  10a93 ; 
+ 1b0   :  10b42 ; 
+ 1b1   :  10bf1 ; 
+ 1b2   :  10c9f ; 
+ 1b3   :  10d4e ; 
+ 1b4   :  10dfc ; 
+ 1b5   :  10eab ; 
+ 1b6   :  10f59 ; 
+ 1b7   :  11007 ; 
+ 1b8   :  110b5 ; 
+ 1b9   :  11163 ; 
+ 1ba   :  11211 ; 
+ 1bb   :  112bf ; 
+ 1bc   :  1136d ; 
+ 1bd   :  1141b ; 
+ 1be   :  114c9 ; 
+ 1bf   :  11576 ; 
+ 1c0   :  11624 ; 
+ 1c1   :  116d1 ; 
+ 1c2   :  1177f ; 
+ 1c3   :  1182c ; 
+ 1c4   :  118d9 ; 
+ 1c5   :  11987 ; 
+ 1c6   :  11a34 ; 
+ 1c7   :  11ae1 ; 
+ 1c8   :  11b8d ; 
+ 1c9   :  11c3a ; 
+ 1ca   :  11ce7 ; 
+ 1cb   :  11d94 ; 
+ 1cc   :  11e40 ; 
+ 1cd   :  11eec ; 
+ 1ce   :  11f99 ; 
+ 1cf   :  12045 ; 
+ 1d0   :  120f1 ; 
+ 1d1   :  1219d ; 
+ 1d2   :  12249 ; 
+ 1d3   :  122f5 ; 
+ 1d4   :  123a1 ; 
+ 1d5   :  1244c ; 
+ 1d6   :  124f8 ; 
+ 1d7   :  125a3 ; 
+ 1d8   :  1264f ; 
+ 1d9   :  126fa ; 
+ 1da   :  127a5 ; 
+ 1db   :  12850 ; 
+ 1dc   :  128fb ; 
+ 1dd   :  129a6 ; 
+ 1de   :  12a51 ; 
+ 1df   :  12afb ; 
+ 1e0   :  12ba6 ; 
+ 1e1   :  12c50 ; 
+ 1e2   :  12cfa ; 
+ 1e3   :  12da4 ; 
+ 1e4   :  12e4f ; 
+ 1e5   :  12ef8 ; 
+ 1e6   :  12fa2 ; 
+ 1e7   :  1304c ; 
+ 1e8   :  130f6 ; 
+ 1e9   :  1319f ; 
+ 1ea   :  13248 ; 
+ 1eb   :  132f1 ; 
+ 1ec   :  1339b ; 
+ 1ed   :  13444 ; 
+ 1ee   :  134ec ; 
+ 1ef   :  13595 ; 
+ 1f0   :  1363e ; 
+ 1f1   :  136e6 ; 
+ 1f2   :  1378e ; 
+ 1f3   :  13837 ; 
+ 1f4   :  138df ; 
+ 1f5   :  13987 ; 
+ 1f6   :  13a2f ; 
+ 1f7   :  13ad6 ; 
+ 1f8   :  13b7e ; 
+ 1f9   :  13c25 ; 
+ 1fa   :  13ccc ; 
+ 1fb   :  13d74 ; 
+ 1fc   :  13e1b ; 
+ 1fd   :  13ec1 ; 
+ 1fe   :  13f68 ; 
+ 1ff   :  1400f ; 
+ 200   :  140b5 ; 
+ 201   :  1415c ; 
+ 202   :  14202 ; 
+ 203   :  142a8 ; 
+ 204   :  1434e ; 
+ 205   :  143f3 ; 
+ 206   :  14499 ; 
+ 207   :  1453e ; 
+ 208   :  145e4 ; 
+ 209   :  14689 ; 
+ 20a   :  1472e ; 
+ 20b   :  147d3 ; 
+ 20c   :  14877 ; 
+ 20d   :  1491c ; 
+ 20e   :  149c0 ; 
+ 20f   :  14a64 ; 
+ 210   :  14b08 ; 
+ 211   :  14bac ; 
+ 212   :  14c50 ; 
+ 213   :  14cf4 ; 
+ 214   :  14d97 ; 
+ 215   :  14e3a ; 
+ 216   :  14ede ; 
+ 217   :  14f81 ; 
+ 218   :  15023 ; 
+ 219   :  150c6 ; 
+ 21a   :  15168 ; 
+ 21b   :  1520b ; 
+ 21c   :  152ad ; 
+ 21d   :  1534f ; 
+ 21e   :  153f1 ; 
+ 21f   :  15492 ; 
+ 220   :  15534 ; 
+ 221   :  155d5 ; 
+ 222   :  15676 ; 
+ 223   :  15717 ; 
+ 224   :  157b8 ; 
+ 225   :  15858 ; 
+ 226   :  158f9 ; 
+ 227   :  15999 ; 
+ 228   :  15a39 ; 
+ 229   :  15ad9 ; 
+ 22a   :  15b79 ; 
+ 22b   :  15c18 ; 
+ 22c   :  15cb8 ; 
+ 22d   :  15d57 ; 
+ 22e   :  15df6 ; 
+ 22f   :  15e94 ; 
+ 230   :  15f33 ; 
+ 231   :  15fd1 ; 
+ 232   :  16070 ; 
+ 233   :  1610e ; 
+ 234   :  161ac ; 
+ 235   :  16249 ; 
+ 236   :  162e7 ; 
+ 237   :  16384 ; 
+ 238   :  16421 ; 
+ 239   :  164be ; 
+ 23a   :  1655b ; 
+ 23b   :  165f7 ; 
+ 23c   :  16694 ; 
+ 23d   :  16730 ; 
+ 23e   :  167cc ; 
+ 23f   :  16867 ; 
+ 240   :  16903 ; 
+ 241   :  1699e ; 
+ 242   :  16a39 ; 
+ 243   :  16ad4 ; 
+ 244   :  16b6f ; 
+ 245   :  16c09 ; 
+ 246   :  16ca4 ; 
+ 247   :  16d3e ; 
+ 248   :  16dd8 ; 
+ 249   :  16e71 ; 
+ 24a   :  16f0b ; 
+ 24b   :  16fa4 ; 
+ 24c   :  1703d ; 
+ 24d   :  170d6 ; 
+ 24e   :  1716f ; 
+ 24f   :  17207 ; 
+ 250   :  1729f ; 
+ 251   :  17337 ; 
+ 252   :  173cf ; 
+ 253   :  17467 ; 
+ 254   :  174fe ; 
+ 255   :  17595 ; 
+ 256   :  1762c ; 
+ 257   :  176c3 ; 
+ 258   :  17759 ; 
+ 259   :  177f0 ; 
+ 25a   :  17886 ; 
+ 25b   :  1791b ; 
+ 25c   :  179b1 ; 
+ 25d   :  17a46 ; 
+ 25e   :  17adc ; 
+ 25f   :  17b71 ; 
+ 260   :  17c05 ; 
+ 261   :  17c9a ; 
+ 262   :  17d2e ; 
+ 263   :  17dc2 ; 
+ 264   :  17e56 ; 
+ 265   :  17ee9 ; 
+ 266   :  17f7c ; 
+ 267   :  18010 ; 
+ 268   :  180a2 ; 
+ 269   :  18135 ; 
+ 26a   :  181c7 ; 
+ 26b   :  18259 ; 
+ 26c   :  182eb ; 
+ 26d   :  1837d ; 
+ 26e   :  1840e ; 
+ 26f   :  184a0 ; 
+ 270   :  18531 ; 
+ 271   :  185c1 ; 
+ 272   :  18652 ; 
+ 273   :  186e2 ; 
+ 274   :  18772 ; 
+ 275   :  18802 ; 
+ 276   :  18891 ; 
+ 277   :  18920 ; 
+ 278   :  189af ; 
+ 279   :  18a3e ; 
+ 27a   :  18acd ; 
+ 27b   :  18b5b ; 
+ 27c   :  18be9 ; 
+ 27d   :  18c76 ; 
+ 27e   :  18d04 ; 
+ 27f   :  18d91 ; 
+ 280   :  18e1e ; 
+ 281   :  18eab ; 
+ 282   :  18f37 ; 
+ 283   :  18fc4 ; 
+ 284   :  1904f ; 
+ 285   :  190db ; 
+ 286   :  19167 ; 
+ 287   :  191f2 ; 
+ 288   :  1927d ; 
+ 289   :  19307 ; 
+ 28a   :  19392 ; 
+ 28b   :  1941c ; 
+ 28c   :  194a6 ; 
+ 28d   :  1952f ; 
+ 28e   :  195b9 ; 
+ 28f   :  19642 ; 
+ 290   :  196ca ; 
+ 291   :  19753 ; 
+ 292   :  197db ; 
+ 293   :  19863 ; 
+ 294   :  198eb ; 
+ 295   :  19972 ; 
+ 296   :  199fa ; 
+ 297   :  19a81 ; 
+ 298   :  19b07 ; 
+ 299   :  19b8e ; 
+ 29a   :  19c14 ; 
+ 29b   :  19c99 ; 
+ 29c   :  19d1f ; 
+ 29d   :  19da4 ; 
+ 29e   :  19e29 ; 
+ 29f   :  19eae ; 
+ 2a0   :  19f32 ; 
+ 2a1   :  19fb6 ; 
+ 2a2   :  1a03a ; 
+ 2a3   :  1a0be ; 
+ 2a4   :  1a141 ; 
+ 2a5   :  1a1c4 ; 
+ 2a6   :  1a247 ; 
+ 2a7   :  1a2c9 ; 
+ 2a8   :  1a34c ; 
+ 2a9   :  1a3cd ; 
+ 2aa   :  1a44f ; 
+ 2ab   :  1a4d0 ; 
+ 2ac   :  1a551 ; 
+ 2ad   :  1a5d2 ; 
+ 2ae   :  1a653 ; 
+ 2af   :  1a6d3 ; 
+ 2b0   :  1a752 ; 
+ 2b1   :  1a7d2 ; 
+ 2b2   :  1a851 ; 
+ 2b3   :  1a8d0 ; 
+ 2b4   :  1a94f ; 
+ 2b5   :  1a9cd ; 
+ 2b6   :  1aa4b ; 
+ 2b7   :  1aac9 ; 
+ 2b8   :  1ab47 ; 
+ 2b9   :  1abc4 ; 
+ 2ba   :  1ac41 ; 
+ 2bb   :  1acbd ; 
+ 2bc   :  1ad3a ; 
+ 2bd   :  1adb6 ; 
+ 2be   :  1ae31 ; 
+ 2bf   :  1aead ; 
+ 2c0   :  1af28 ; 
+ 2c1   :  1afa3 ; 
+ 2c2   :  1b01d ; 
+ 2c3   :  1b097 ; 
+ 2c4   :  1b111 ; 
+ 2c5   :  1b18b ; 
+ 2c6   :  1b204 ; 
+ 2c7   :  1b27d ; 
+ 2c8   :  1b2f5 ; 
+ 2c9   :  1b36e ; 
+ 2ca   :  1b3e6 ; 
+ 2cb   :  1b45e ; 
+ 2cc   :  1b4d5 ; 
+ 2cd   :  1b54c ; 
+ 2ce   :  1b5c3 ; 
+ 2cf   :  1b639 ; 
+ 2d0   :  1b6af ; 
+ 2d1   :  1b725 ; 
+ 2d2   :  1b79b ; 
+ 2d3   :  1b810 ; 
+ 2d4   :  1b885 ; 
+ 2d5   :  1b8f9 ; 
+ 2d6   :  1b96d ; 
+ 2d7   :  1b9e1 ; 
+ 2d8   :  1ba55 ; 
+ 2d9   :  1bac8 ; 
+ 2da   :  1bb3b ; 
+ 2db   :  1bbae ; 
+ 2dc   :  1bc20 ; 
+ 2dd   :  1bc92 ; 
+ 2de   :  1bd04 ; 
+ 2df   :  1bd75 ; 
+ 2e0   :  1bde6 ; 
+ 2e1   :  1be57 ; 
+ 2e2   :  1bec7 ; 
+ 2e3   :  1bf37 ; 
+ 2e4   :  1bfa7 ; 
+ 2e5   :  1c016 ; 
+ 2e6   :  1c085 ; 
+ 2e7   :  1c0f4 ; 
+ 2e8   :  1c162 ; 
+ 2e9   :  1c1d0 ; 
+ 2ea   :  1c23e ; 
+ 2eb   :  1c2ac ; 
+ 2ec   :  1c319 ; 
+ 2ed   :  1c385 ; 
+ 2ee   :  1c3f2 ; 
+ 2ef   :  1c45e ; 
+ 2f0   :  1c4c9 ; 
+ 2f1   :  1c535 ; 
+ 2f2   :  1c5a0 ; 
+ 2f3   :  1c60b ; 
+ 2f4   :  1c675 ; 
+ 2f5   :  1c6df ; 
+ 2f6   :  1c749 ; 
+ 2f7   :  1c7b2 ; 
+ 2f8   :  1c81b ; 
+ 2f9   :  1c884 ; 
+ 2fa   :  1c8ec ; 
+ 2fb   :  1c954 ; 
+ 2fc   :  1c9bc ; 
+ 2fd   :  1ca23 ; 
+ 2fe   :  1ca8a ; 
+ 2ff   :  1caf0 ; 
+ 300   :  1cb57 ; 
+ 301   :  1cbbd ; 
+ 302   :  1cc22 ; 
+ 303   :  1cc87 ; 
+ 304   :  1ccec ; 
+ 305   :  1cd51 ; 
+ 306   :  1cdb5 ; 
+ 307   :  1ce19 ; 
+ 308   :  1ce7c ; 
+ 309   :  1cedf ; 
+ 30a   :  1cf42 ; 
+ 30b   :  1cfa4 ; 
+ 30c   :  1d006 ; 
+ 30d   :  1d068 ; 
+ 30e   :  1d0c9 ; 
+ 30f   :  1d12a ; 
+ 310   :  1d18b ; 
+ 311   :  1d1eb ; 
+ 312   :  1d24b ; 
+ 313   :  1d2ab ; 
+ 314   :  1d30a ; 
+ 315   :  1d369 ; 
+ 316   :  1d3c7 ; 
+ 317   :  1d426 ; 
+ 318   :  1d483 ; 
+ 319   :  1d4e1 ; 
+ 31a   :  1d53e ; 
+ 31b   :  1d59a ; 
+ 31c   :  1d5f7 ; 
+ 31d   :  1d653 ; 
+ 31e   :  1d6ae ; 
+ 31f   :  1d70a ; 
+ 320   :  1d764 ; 
+ 321   :  1d7bf ; 
+ 322   :  1d819 ; 
+ 323   :  1d873 ; 
+ 324   :  1d8cc ; 
+ 325   :  1d925 ; 
+ 326   :  1d97e ; 
+ 327   :  1d9d6 ; 
+ 328   :  1da2e ; 
+ 329   :  1da86 ; 
+ 32a   :  1dadd ; 
+ 32b   :  1db34 ; 
+ 32c   :  1db8a ; 
+ 32d   :  1dbe0 ; 
+ 32e   :  1dc36 ; 
+ 32f   :  1dc8c ; 
+ 330   :  1dce1 ; 
+ 331   :  1dd35 ; 
+ 332   :  1dd89 ; 
+ 333   :  1dddd ; 
+ 334   :  1de31 ; 
+ 335   :  1de84 ; 
+ 336   :  1ded7 ; 
+ 337   :  1df29 ; 
+ 338   :  1df7b ; 
+ 339   :  1dfcc ; 
+ 33a   :  1e01e ; 
+ 33b   :  1e06f ; 
+ 33c   :  1e0bf ; 
+ 33d   :  1e10f ; 
+ 33e   :  1e15f ; 
+ 33f   :  1e1ae ; 
+ 340   :  1e1fd ; 
+ 341   :  1e24c ; 
+ 342   :  1e29a ; 
+ 343   :  1e2e8 ; 
+ 344   :  1e335 ; 
+ 345   :  1e382 ; 
+ 346   :  1e3cf ; 
+ 347   :  1e41b ; 
+ 348   :  1e467 ; 
+ 349   :  1e4b2 ; 
+ 34a   :  1e4fe ; 
+ 34b   :  1e548 ; 
+ 34c   :  1e593 ; 
+ 34d   :  1e5dd ; 
+ 34e   :  1e626 ; 
+ 34f   :  1e66f ; 
+ 350   :  1e6b8 ; 
+ 351   :  1e701 ; 
+ 352   :  1e749 ; 
+ 353   :  1e790 ; 
+ 354   :  1e7d7 ; 
+ 355   :  1e81e ; 
+ 356   :  1e865 ; 
+ 357   :  1e8ab ; 
+ 358   :  1e8f1 ; 
+ 359   :  1e936 ; 
+ 35a   :  1e97b ; 
+ 35b   :  1e9bf ; 
+ 35c   :  1ea03 ; 
+ 35d   :  1ea47 ; 
+ 35e   :  1ea8a ; 
+ 35f   :  1eacd ; 
+ 360   :  1eb10 ; 
+ 361   :  1eb52 ; 
+ 362   :  1eb94 ; 
+ 363   :  1ebd5 ; 
+ 364   :  1ec16 ; 
+ 365   :  1ec57 ; 
+ 366   :  1ec97 ; 
+ 367   :  1ecd7 ; 
+ 368   :  1ed16 ; 
+ 369   :  1ed55 ; 
+ 36a   :  1ed94 ; 
+ 36b   :  1edd2 ; 
+ 36c   :  1ee10 ; 
+ 36d   :  1ee4d ; 
+ 36e   :  1ee8a ; 
+ 36f   :  1eec6 ; 
+ 370   :  1ef03 ; 
+ 371   :  1ef3e ; 
+ 372   :  1ef7a ; 
+ 373   :  1efb5 ; 
+ 374   :  1efef ; 
+ 375   :  1f02a ; 
+ 376   :  1f063 ; 
+ 377   :  1f09d ; 
+ 378   :  1f0d6 ; 
+ 379   :  1f10e ; 
+ 37a   :  1f146 ; 
+ 37b   :  1f17e ; 
+ 37c   :  1f1b5 ; 
+ 37d   :  1f1ec ; 
+ 37e   :  1f223 ; 
+ 37f   :  1f259 ; 
+ 380   :  1f28f ; 
+ 381   :  1f2c4 ; 
+ 382   :  1f2f9 ; 
+ 383   :  1f32e ; 
+ 384   :  1f362 ; 
+ 385   :  1f395 ; 
+ 386   :  1f3c9 ; 
+ 387   :  1f3fc ; 
+ 388   :  1f42e ; 
+ 389   :  1f460 ; 
+ 38a   :  1f492 ; 
+ 38b   :  1f4c3 ; 
+ 38c   :  1f4f4 ; 
+ 38d   :  1f524 ; 
+ 38e   :  1f554 ; 
+ 38f   :  1f584 ; 
+ 390   :  1f5b3 ; 
+ 391   :  1f5e2 ; 
+ 392   :  1f610 ; 
+ 393   :  1f63e ; 
+ 394   :  1f66c ; 
+ 395   :  1f699 ; 
+ 396   :  1f6c6 ; 
+ 397   :  1f6f2 ; 
+ 398   :  1f71e ; 
+ 399   :  1f749 ; 
+ 39a   :  1f774 ; 
+ 39b   :  1f79f ; 
+ 39c   :  1f7c9 ; 
+ 39d   :  1f7f3 ; 
+ 39e   :  1f81c ; 
+ 39f   :  1f846 ; 
+ 3a0   :  1f86e ; 
+ 3a1   :  1f896 ; 
+ 3a2   :  1f8be ; 
+ 3a3   :  1f8e5 ; 
+ 3a4   :  1f90c ; 
+ 3a5   :  1f933 ; 
+ 3a6   :  1f959 ; 
+ 3a7   :  1f97f ; 
+ 3a8   :  1f9a4 ; 
+ 3a9   :  1f9c9 ; 
+ 3aa   :  1f9ed ; 
+ 3ab   :  1fa11 ; 
+ 3ac   :  1fa35 ; 
+ 3ad   :  1fa58 ; 
+ 3ae   :  1fa7b ; 
+ 3af   :  1fa9d ; 
+ 3b0   :  1fabf ; 
+ 3b1   :  1fae0 ; 
+ 3b2   :  1fb01 ; 
+ 3b3   :  1fb22 ; 
+ 3b4   :  1fb42 ; 
+ 3b5   :  1fb62 ; 
+ 3b6   :  1fb82 ; 
+ 3b7   :  1fba1 ; 
+ 3b8   :  1fbbf ; 
+ 3b9   :  1fbdd ; 
+ 3ba   :  1fbfb ; 
+ 3bb   :  1fc18 ; 
+ 3bc   :  1fc35 ; 
+ 3bd   :  1fc52 ; 
+ 3be   :  1fc6e ; 
+ 3bf   :  1fc89 ; 
+ 3c0   :  1fca5 ; 
+ 3c1   :  1fcbf ; 
+ 3c2   :  1fcda ; 
+ 3c3   :  1fcf4 ; 
+ 3c4   :  1fd0d ; 
+ 3c5   :  1fd26 ; 
+ 3c6   :  1fd3f ; 
+ 3c7   :  1fd57 ; 
+ 3c8   :  1fd6f ; 
+ 3c9   :  1fd86 ; 
+ 3ca   :  1fd9d ; 
+ 3cb   :  1fdb4 ; 
+ 3cc   :  1fdca ; 
+ 3cd   :  1fde0 ; 
+ 3ce   :  1fdf5 ; 
+ 3cf   :  1fe0a ; 
+ 3d0   :  1fe1e ; 
+ 3d1   :  1fe32 ; 
+ 3d2   :  1fe46 ; 
+ 3d3   :  1fe59 ; 
+ 3d4   :  1fe6c ; 
+ 3d5   :  1fe7e ; 
+ 3d6   :  1fe90 ; 
+ 3d7   :  1fea1 ; 
+ 3d8   :  1feb2 ; 
+ 3d9   :  1fec3 ; 
+ 3da   :  1fed3 ; 
+ 3db   :  1fee3 ; 
+ 3dc   :  1fef2 ; 
+ 3dd   :  1ff01 ; 
+ 3de   :  1ff10 ; 
+ 3df   :  1ff1e ; 
+ 3e0   :  1ff2b ; 
+ 3e1   :  1ff39 ; 
+ 3e2   :  1ff45 ; 
+ 3e3   :  1ff52 ; 
+ 3e4   :  1ff5e ; 
+ 3e5   :  1ff69 ; 
+ 3e6   :  1ff74 ; 
+ 3e7   :  1ff7f ; 
+ 3e8   :  1ff89 ; 
+ 3e9   :  1ff93 ; 
+ 3ea   :  1ff9c ; 
+ 3eb   :  1ffa5 ; 
+ 3ec   :  1ffae ; 
+ 3ed   :  1ffb6 ; 
+ 3ee   :  1ffbe ; 
+ 3ef   :  1ffc5 ; 
+ 3f0   :  1ffcc ; 
+ 3f1   :  1ffd2 ; 
+ 3f2   :  1ffd8 ; 
+ 3f3   :  1ffde ; 
+ 3f4   :  1ffe3 ; 
+ 3f5   :  1ffe8 ; 
+ 3f6   :  1ffec ; 
+ 3f7   :  1fff0 ; 
+ 3f8   :  1fff3 ; 
+ 3f9   :  1fff6 ; 
+ 3fa   :  1fff9 ; 
+ 3fb   :  1fffb ; 
+ 3fc   :  1fffc ; 
+ 3fd   :  1fffe ; 
+ 3fe   :  1ffff ; 
+ 3ff   :  1ffff ; 
+END;
diff --git a/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_9.mif b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_9.mif
new file mode 100644
index 0000000000000000000000000000000000000000..d7f894ab9d58b99573e363dc3591f84524578db1
--- /dev/null
+++ b/libraries/dsp/filter/src/hex/Coefficient_16KKaiser_18b_1wb_9.mif
@@ -0,0 +1,1030 @@
+WIDTH=18;
+DEPTH=1024;
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+CONTENT BEGIN
+ 0   :  41d ; 
+ 1   :  3e4 ; 
+ 2   :  3ac ; 
+ 3   :  373 ; 
+ 4   :  33b ; 
+ 5   :  302 ; 
+ 6   :  2c9 ; 
+ 7   :  290 ; 
+ 8   :  258 ; 
+ 9   :  21f ; 
+ a   :  1e6 ; 
+ b   :  1ac ; 
+ c   :  173 ; 
+ d   :  13a ; 
+ e   :  101 ; 
+ f   :  c7 ; 
+ 10   :  8e ; 
+ 11   :  54 ; 
+ 12   :  1a ; 
+ 13   :  3ffe1 ; 
+ 14   :  3ffa7 ; 
+ 15   :  3ff6d ; 
+ 16   :  3ff33 ; 
+ 17   :  3fef9 ; 
+ 18   :  3febf ; 
+ 19   :  3fe85 ; 
+ 1a   :  3fe4b ; 
+ 1b   :  3fe11 ; 
+ 1c   :  3fdd6 ; 
+ 1d   :  3fd9c ; 
+ 1e   :  3fd62 ; 
+ 1f   :  3fd27 ; 
+ 20   :  3fcec ; 
+ 21   :  3fcb2 ; 
+ 22   :  3fc77 ; 
+ 23   :  3fc3c ; 
+ 24   :  3fc02 ; 
+ 25   :  3fbc7 ; 
+ 26   :  3fb8c ; 
+ 27   :  3fb51 ; 
+ 28   :  3fb16 ; 
+ 29   :  3fadb ; 
+ 2a   :  3fa9f ; 
+ 2b   :  3fa64 ; 
+ 2c   :  3fa29 ; 
+ 2d   :  3f9ee ; 
+ 2e   :  3f9b2 ; 
+ 2f   :  3f977 ; 
+ 30   :  3f93b ; 
+ 31   :  3f900 ; 
+ 32   :  3f8c4 ; 
+ 33   :  3f888 ; 
+ 34   :  3f84d ; 
+ 35   :  3f811 ; 
+ 36   :  3f7d5 ; 
+ 37   :  3f799 ; 
+ 38   :  3f75d ; 
+ 39   :  3f721 ; 
+ 3a   :  3f6e5 ; 
+ 3b   :  3f6a9 ; 
+ 3c   :  3f66d ; 
+ 3d   :  3f631 ; 
+ 3e   :  3f5f4 ; 
+ 3f   :  3f5b8 ; 
+ 40   :  3f57c ; 
+ 41   :  3f53f ; 
+ 42   :  3f503 ; 
+ 43   :  3f4c6 ; 
+ 44   :  3f48a ; 
+ 45   :  3f44d ; 
+ 46   :  3f411 ; 
+ 47   :  3f3d4 ; 
+ 48   :  3f397 ; 
+ 49   :  3f35b ; 
+ 4a   :  3f31e ; 
+ 4b   :  3f2e1 ; 
+ 4c   :  3f2a4 ; 
+ 4d   :  3f267 ; 
+ 4e   :  3f22a ; 
+ 4f   :  3f1ed ; 
+ 50   :  3f1b0 ; 
+ 51   :  3f173 ; 
+ 52   :  3f136 ; 
+ 53   :  3f0f9 ; 
+ 54   :  3f0bc ; 
+ 55   :  3f07e ; 
+ 56   :  3f041 ; 
+ 57   :  3f004 ; 
+ 58   :  3efc7 ; 
+ 59   :  3ef89 ; 
+ 5a   :  3ef4c ; 
+ 5b   :  3ef0e ; 
+ 5c   :  3eed1 ; 
+ 5d   :  3ee93 ; 
+ 5e   :  3ee56 ; 
+ 5f   :  3ee18 ; 
+ 60   :  3eddb ; 
+ 61   :  3ed9d ; 
+ 62   :  3ed5f ; 
+ 63   :  3ed22 ; 
+ 64   :  3ece4 ; 
+ 65   :  3eca6 ; 
+ 66   :  3ec68 ; 
+ 67   :  3ec2b ; 
+ 68   :  3ebed ; 
+ 69   :  3ebaf ; 
+ 6a   :  3eb71 ; 
+ 6b   :  3eb33 ; 
+ 6c   :  3eaf5 ; 
+ 6d   :  3eab7 ; 
+ 6e   :  3ea79 ; 
+ 6f   :  3ea3b ; 
+ 70   :  3e9fd ; 
+ 71   :  3e9bf ; 
+ 72   :  3e981 ; 
+ 73   :  3e943 ; 
+ 74   :  3e905 ; 
+ 75   :  3e8c7 ; 
+ 76   :  3e889 ; 
+ 77   :  3e84a ; 
+ 78   :  3e80c ; 
+ 79   :  3e7ce ; 
+ 7a   :  3e790 ; 
+ 7b   :  3e752 ; 
+ 7c   :  3e713 ; 
+ 7d   :  3e6d5 ; 
+ 7e   :  3e697 ; 
+ 7f   :  3e658 ; 
+ 80   :  3e61a ; 
+ 81   :  3e5dc ; 
+ 82   :  3e59e ; 
+ 83   :  3e55f ; 
+ 84   :  3e521 ; 
+ 85   :  3e4e2 ; 
+ 86   :  3e4a4 ; 
+ 87   :  3e466 ; 
+ 88   :  3e427 ; 
+ 89   :  3e3e9 ; 
+ 8a   :  3e3aa ; 
+ 8b   :  3e36c ; 
+ 8c   :  3e32e ; 
+ 8d   :  3e2ef ; 
+ 8e   :  3e2b1 ; 
+ 8f   :  3e272 ; 
+ 90   :  3e234 ; 
+ 91   :  3e1f5 ; 
+ 92   :  3e1b7 ; 
+ 93   :  3e178 ; 
+ 94   :  3e13a ; 
+ 95   :  3e0fb ; 
+ 96   :  3e0bd ; 
+ 97   :  3e07f ; 
+ 98   :  3e040 ; 
+ 99   :  3e002 ; 
+ 9a   :  3dfc3 ; 
+ 9b   :  3df85 ; 
+ 9c   :  3df46 ; 
+ 9d   :  3df08 ; 
+ 9e   :  3dec9 ; 
+ 9f   :  3de8b ; 
+ a0   :  3de4c ; 
+ a1   :  3de0e ; 
+ a2   :  3ddcf ; 
+ a3   :  3dd91 ; 
+ a4   :  3dd53 ; 
+ a5   :  3dd14 ; 
+ a6   :  3dcd6 ; 
+ a7   :  3dc97 ; 
+ a8   :  3dc59 ; 
+ a9   :  3dc1b ; 
+ aa   :  3dbdc ; 
+ ab   :  3db9e ; 
+ ac   :  3db60 ; 
+ ad   :  3db21 ; 
+ ae   :  3dae3 ; 
+ af   :  3daa5 ; 
+ b0   :  3da66 ; 
+ b1   :  3da28 ; 
+ b2   :  3d9ea ; 
+ b3   :  3d9ab ; 
+ b4   :  3d96d ; 
+ b5   :  3d92f ; 
+ b6   :  3d8f1 ; 
+ b7   :  3d8b3 ; 
+ b8   :  3d874 ; 
+ b9   :  3d836 ; 
+ ba   :  3d7f8 ; 
+ bb   :  3d7ba ; 
+ bc   :  3d77c ; 
+ bd   :  3d73e ; 
+ be   :  3d700 ; 
+ bf   :  3d6c2 ; 
+ c0   :  3d684 ; 
+ c1   :  3d646 ; 
+ c2   :  3d608 ; 
+ c3   :  3d5ca ; 
+ c4   :  3d58c ; 
+ c5   :  3d54e ; 
+ c6   :  3d511 ; 
+ c7   :  3d4d3 ; 
+ c8   :  3d495 ; 
+ c9   :  3d457 ; 
+ ca   :  3d419 ; 
+ cb   :  3d3dc ; 
+ cc   :  3d39e ; 
+ cd   :  3d360 ; 
+ ce   :  3d323 ; 
+ cf   :  3d2e5 ; 
+ d0   :  3d2a8 ; 
+ d1   :  3d26a ; 
+ d2   :  3d22d ; 
+ d3   :  3d1ef ; 
+ d4   :  3d1b2 ; 
+ d5   :  3d175 ; 
+ d6   :  3d137 ; 
+ d7   :  3d0fa ; 
+ d8   :  3d0bd ; 
+ d9   :  3d080 ; 
+ da   :  3d043 ; 
+ db   :  3d005 ; 
+ dc   :  3cfc8 ; 
+ dd   :  3cf8b ; 
+ de   :  3cf4e ; 
+ df   :  3cf11 ; 
+ e0   :  3ced4 ; 
+ e1   :  3ce98 ; 
+ e2   :  3ce5b ; 
+ e3   :  3ce1e ; 
+ e4   :  3cde1 ; 
+ e5   :  3cda5 ; 
+ e6   :  3cd68 ; 
+ e7   :  3cd2b ; 
+ e8   :  3ccef ; 
+ e9   :  3ccb2 ; 
+ ea   :  3cc76 ; 
+ eb   :  3cc39 ; 
+ ec   :  3cbfd ; 
+ ed   :  3cbc1 ; 
+ ee   :  3cb85 ; 
+ ef   :  3cb48 ; 
+ f0   :  3cb0c ; 
+ f1   :  3cad0 ; 
+ f2   :  3ca94 ; 
+ f3   :  3ca58 ; 
+ f4   :  3ca1c ; 
+ f5   :  3c9e0 ; 
+ f6   :  3c9a5 ; 
+ f7   :  3c969 ; 
+ f8   :  3c92d ; 
+ f9   :  3c8f2 ; 
+ fa   :  3c8b6 ; 
+ fb   :  3c87b ; 
+ fc   :  3c83f ; 
+ fd   :  3c804 ; 
+ fe   :  3c7c8 ; 
+ ff   :  3c78d ; 
+ 100   :  3c752 ; 
+ 101   :  3c717 ; 
+ 102   :  3c6dc ; 
+ 103   :  3c6a1 ; 
+ 104   :  3c666 ; 
+ 105   :  3c62b ; 
+ 106   :  3c5f0 ; 
+ 107   :  3c5b5 ; 
+ 108   :  3c57b ; 
+ 109   :  3c540 ; 
+ 10a   :  3c506 ; 
+ 10b   :  3c4cb ; 
+ 10c   :  3c491 ; 
+ 10d   :  3c456 ; 
+ 10e   :  3c41c ; 
+ 10f   :  3c3e2 ; 
+ 110   :  3c3a8 ; 
+ 111   :  3c36e ; 
+ 112   :  3c334 ; 
+ 113   :  3c2fa ; 
+ 114   :  3c2c0 ; 
+ 115   :  3c287 ; 
+ 116   :  3c24d ; 
+ 117   :  3c213 ; 
+ 118   :  3c1da ; 
+ 119   :  3c1a0 ; 
+ 11a   :  3c167 ; 
+ 11b   :  3c12e ; 
+ 11c   :  3c0f5 ; 
+ 11d   :  3c0bc ; 
+ 11e   :  3c083 ; 
+ 11f   :  3c04a ; 
+ 120   :  3c011 ; 
+ 121   :  3bfd8 ; 
+ 122   :  3bf9f ; 
+ 123   :  3bf67 ; 
+ 124   :  3bf2e ; 
+ 125   :  3bef6 ; 
+ 126   :  3bebe ; 
+ 127   :  3be85 ; 
+ 128   :  3be4d ; 
+ 129   :  3be15 ; 
+ 12a   :  3bddd ; 
+ 12b   :  3bda5 ; 
+ 12c   :  3bd6e ; 
+ 12d   :  3bd36 ; 
+ 12e   :  3bcfe ; 
+ 12f   :  3bcc7 ; 
+ 130   :  3bc8f ; 
+ 131   :  3bc58 ; 
+ 132   :  3bc21 ; 
+ 133   :  3bbea ; 
+ 134   :  3bbb3 ; 
+ 135   :  3bb7c ; 
+ 136   :  3bb45 ; 
+ 137   :  3bb0e ; 
+ 138   :  3bad7 ; 
+ 139   :  3baa1 ; 
+ 13a   :  3ba6b ; 
+ 13b   :  3ba34 ; 
+ 13c   :  3b9fe ; 
+ 13d   :  3b9c8 ; 
+ 13e   :  3b992 ; 
+ 13f   :  3b95c ; 
+ 140   :  3b926 ; 
+ 141   :  3b8f0 ; 
+ 142   :  3b8bb ; 
+ 143   :  3b885 ; 
+ 144   :  3b850 ; 
+ 145   :  3b81a ; 
+ 146   :  3b7e5 ; 
+ 147   :  3b7b0 ; 
+ 148   :  3b77b ; 
+ 149   :  3b746 ; 
+ 14a   :  3b712 ; 
+ 14b   :  3b6dd ; 
+ 14c   :  3b6a8 ; 
+ 14d   :  3b674 ; 
+ 14e   :  3b640 ; 
+ 14f   :  3b60b ; 
+ 150   :  3b5d7 ; 
+ 151   :  3b5a3 ; 
+ 152   :  3b56f ; 
+ 153   :  3b53c ; 
+ 154   :  3b508 ; 
+ 155   :  3b4d5 ; 
+ 156   :  3b4a1 ; 
+ 157   :  3b46e ; 
+ 158   :  3b43b ; 
+ 159   :  3b408 ; 
+ 15a   :  3b3d5 ; 
+ 15b   :  3b3a2 ; 
+ 15c   :  3b36f ; 
+ 15d   :  3b33d ; 
+ 15e   :  3b30a ; 
+ 15f   :  3b2d8 ; 
+ 160   :  3b2a6 ; 
+ 161   :  3b274 ; 
+ 162   :  3b242 ; 
+ 163   :  3b210 ; 
+ 164   :  3b1df ; 
+ 165   :  3b1ad ; 
+ 166   :  3b17c ; 
+ 167   :  3b14a ; 
+ 168   :  3b119 ; 
+ 169   :  3b0e8 ; 
+ 16a   :  3b0b7 ; 
+ 16b   :  3b086 ; 
+ 16c   :  3b056 ; 
+ 16d   :  3b025 ; 
+ 16e   :  3aff5 ; 
+ 16f   :  3afc5 ; 
+ 170   :  3af95 ; 
+ 171   :  3af65 ; 
+ 172   :  3af35 ; 
+ 173   :  3af05 ; 
+ 174   :  3aed5 ; 
+ 175   :  3aea6 ; 
+ 176   :  3ae77 ; 
+ 177   :  3ae48 ; 
+ 178   :  3ae19 ; 
+ 179   :  3adea ; 
+ 17a   :  3adbb ; 
+ 17b   :  3ad8c ; 
+ 17c   :  3ad5e ; 
+ 17d   :  3ad30 ; 
+ 17e   :  3ad01 ; 
+ 17f   :  3acd3 ; 
+ 180   :  3aca6 ; 
+ 181   :  3ac78 ; 
+ 182   :  3ac4a ; 
+ 183   :  3ac1d ; 
+ 184   :  3abf0 ; 
+ 185   :  3abc2 ; 
+ 186   :  3ab95 ; 
+ 187   :  3ab69 ; 
+ 188   :  3ab3c ; 
+ 189   :  3ab0f ; 
+ 18a   :  3aae3 ; 
+ 18b   :  3aab7 ; 
+ 18c   :  3aa8b ; 
+ 18d   :  3aa5f ; 
+ 18e   :  3aa33 ; 
+ 18f   :  3aa07 ; 
+ 190   :  3a9dc ; 
+ 191   :  3a9b0 ; 
+ 192   :  3a985 ; 
+ 193   :  3a95a ; 
+ 194   :  3a92f ; 
+ 195   :  3a905 ; 
+ 196   :  3a8da ; 
+ 197   :  3a8b0 ; 
+ 198   :  3a885 ; 
+ 199   :  3a85b ; 
+ 19a   :  3a831 ; 
+ 19b   :  3a808 ; 
+ 19c   :  3a7de ; 
+ 19d   :  3a7b5 ; 
+ 19e   :  3a78b ; 
+ 19f   :  3a762 ; 
+ 1a0   :  3a739 ; 
+ 1a1   :  3a710 ; 
+ 1a2   :  3a6e8 ; 
+ 1a3   :  3a6bf ; 
+ 1a4   :  3a697 ; 
+ 1a5   :  3a66f ; 
+ 1a6   :  3a647 ; 
+ 1a7   :  3a61f ; 
+ 1a8   :  3a5f8 ; 
+ 1a9   :  3a5d0 ; 
+ 1aa   :  3a5a9 ; 
+ 1ab   :  3a582 ; 
+ 1ac   :  3a55b ; 
+ 1ad   :  3a534 ; 
+ 1ae   :  3a50e ; 
+ 1af   :  3a4e7 ; 
+ 1b0   :  3a4c1 ; 
+ 1b1   :  3a49b ; 
+ 1b2   :  3a475 ; 
+ 1b3   :  3a44f ; 
+ 1b4   :  3a42a ; 
+ 1b5   :  3a404 ; 
+ 1b6   :  3a3df ; 
+ 1b7   :  3a3ba ; 
+ 1b8   :  3a395 ; 
+ 1b9   :  3a371 ; 
+ 1ba   :  3a34c ; 
+ 1bb   :  3a328 ; 
+ 1bc   :  3a304 ; 
+ 1bd   :  3a2e0 ; 
+ 1be   :  3a2bc ; 
+ 1bf   :  3a298 ; 
+ 1c0   :  3a275 ; 
+ 1c1   :  3a252 ; 
+ 1c2   :  3a22f ; 
+ 1c3   :  3a20c ; 
+ 1c4   :  3a1e9 ; 
+ 1c5   :  3a1c7 ; 
+ 1c6   :  3a1a4 ; 
+ 1c7   :  3a182 ; 
+ 1c8   :  3a160 ; 
+ 1c9   :  3a13f ; 
+ 1ca   :  3a11d ; 
+ 1cb   :  3a0fc ; 
+ 1cc   :  3a0db ; 
+ 1cd   :  3a0ba ; 
+ 1ce   :  3a099 ; 
+ 1cf   :  3a078 ; 
+ 1d0   :  3a058 ; 
+ 1d1   :  3a038 ; 
+ 1d2   :  3a018 ; 
+ 1d3   :  39ff8 ; 
+ 1d4   :  39fd8 ; 
+ 1d5   :  39fb9 ; 
+ 1d6   :  39f9a ; 
+ 1d7   :  39f7b ; 
+ 1d8   :  39f5c ; 
+ 1d9   :  39f3d ; 
+ 1da   :  39f1f ; 
+ 1db   :  39f00 ; 
+ 1dc   :  39ee2 ; 
+ 1dd   :  39ec4 ; 
+ 1de   :  39ea7 ; 
+ 1df   :  39e89 ; 
+ 1e0   :  39e6c ; 
+ 1e1   :  39e4f ; 
+ 1e2   :  39e32 ; 
+ 1e3   :  39e16 ; 
+ 1e4   :  39df9 ; 
+ 1e5   :  39ddd ; 
+ 1e6   :  39dc1 ; 
+ 1e7   :  39da5 ; 
+ 1e8   :  39d89 ; 
+ 1e9   :  39d6e ; 
+ 1ea   :  39d53 ; 
+ 1eb   :  39d38 ; 
+ 1ec   :  39d1d ; 
+ 1ed   :  39d02 ; 
+ 1ee   :  39ce8 ; 
+ 1ef   :  39cce ; 
+ 1f0   :  39cb4 ; 
+ 1f1   :  39c9a ; 
+ 1f2   :  39c81 ; 
+ 1f3   :  39c67 ; 
+ 1f4   :  39c4e ; 
+ 1f5   :  39c35 ; 
+ 1f6   :  39c1c ; 
+ 1f7   :  39c04 ; 
+ 1f8   :  39bec ; 
+ 1f9   :  39bd4 ; 
+ 1fa   :  39bbc ; 
+ 1fb   :  39ba4 ; 
+ 1fc   :  39b8d ; 
+ 1fd   :  39b76 ; 
+ 1fe   :  39b5f ; 
+ 1ff   :  39b48 ; 
+ 200   :  39b31 ; 
+ 201   :  39b1b ; 
+ 202   :  39b05 ; 
+ 203   :  39aef ; 
+ 204   :  39ad9 ; 
+ 205   :  39ac4 ; 
+ 206   :  39aaf ; 
+ 207   :  39a9a ; 
+ 208   :  39a85 ; 
+ 209   :  39a70 ; 
+ 20a   :  39a5c ; 
+ 20b   :  39a48 ; 
+ 20c   :  39a34 ; 
+ 20d   :  39a20 ; 
+ 20e   :  39a0d ; 
+ 20f   :  399fa ; 
+ 210   :  399e7 ; 
+ 211   :  399d4 ; 
+ 212   :  399c2 ; 
+ 213   :  399af ; 
+ 214   :  3999d ; 
+ 215   :  3998b ; 
+ 216   :  3997a ; 
+ 217   :  39968 ; 
+ 218   :  39957 ; 
+ 219   :  39946 ; 
+ 21a   :  39936 ; 
+ 21b   :  39925 ; 
+ 21c   :  39915 ; 
+ 21d   :  39905 ; 
+ 21e   :  398f5 ; 
+ 21f   :  398e6 ; 
+ 220   :  398d6 ; 
+ 221   :  398c7 ; 
+ 222   :  398b8 ; 
+ 223   :  398aa ; 
+ 224   :  3989b ; 
+ 225   :  3988d ; 
+ 226   :  39880 ; 
+ 227   :  39872 ; 
+ 228   :  39864 ; 
+ 229   :  39857 ; 
+ 22a   :  3984a ; 
+ 22b   :  3983e ; 
+ 22c   :  39831 ; 
+ 22d   :  39825 ; 
+ 22e   :  39819 ; 
+ 22f   :  3980d ; 
+ 230   :  39802 ; 
+ 231   :  397f7 ; 
+ 232   :  397ec ; 
+ 233   :  397e1 ; 
+ 234   :  397d6 ; 
+ 235   :  397cc ; 
+ 236   :  397c2 ; 
+ 237   :  397b8 ; 
+ 238   :  397af ; 
+ 239   :  397a6 ; 
+ 23a   :  3979d ; 
+ 23b   :  39794 ; 
+ 23c   :  3978b ; 
+ 23d   :  39783 ; 
+ 23e   :  3977b ; 
+ 23f   :  39773 ; 
+ 240   :  3976c ; 
+ 241   :  39764 ; 
+ 242   :  3975d ; 
+ 243   :  39756 ; 
+ 244   :  39750 ; 
+ 245   :  3974a ; 
+ 246   :  39744 ; 
+ 247   :  3973e ; 
+ 248   :  39738 ; 
+ 249   :  39733 ; 
+ 24a   :  3972e ; 
+ 24b   :  39729 ; 
+ 24c   :  39725 ; 
+ 24d   :  39720 ; 
+ 24e   :  3971c ; 
+ 24f   :  39719 ; 
+ 250   :  39715 ; 
+ 251   :  39712 ; 
+ 252   :  3970f ; 
+ 253   :  3970c ; 
+ 254   :  3970a ; 
+ 255   :  39708 ; 
+ 256   :  39706 ; 
+ 257   :  39704 ; 
+ 258   :  39703 ; 
+ 259   :  39701 ; 
+ 25a   :  39700 ; 
+ 25b   :  39700 ; 
+ 25c   :  396ff ; 
+ 25d   :  396ff ; 
+ 25e   :  396ff ; 
+ 25f   :  39700 ; 
+ 260   :  39700 ; 
+ 261   :  39701 ; 
+ 262   :  39703 ; 
+ 263   :  39704 ; 
+ 264   :  39706 ; 
+ 265   :  39708 ; 
+ 266   :  3970a ; 
+ 267   :  3970d ; 
+ 268   :  3970f ; 
+ 269   :  39712 ; 
+ 26a   :  39716 ; 
+ 26b   :  39719 ; 
+ 26c   :  3971d ; 
+ 26d   :  39721 ; 
+ 26e   :  39726 ; 
+ 26f   :  3972a ; 
+ 270   :  3972f ; 
+ 271   :  39734 ; 
+ 272   :  3973a ; 
+ 273   :  39740 ; 
+ 274   :  39746 ; 
+ 275   :  3974c ; 
+ 276   :  39752 ; 
+ 277   :  39759 ; 
+ 278   :  39760 ; 
+ 279   :  39768 ; 
+ 27a   :  3976f ; 
+ 27b   :  39777 ; 
+ 27c   :  3977f ; 
+ 27d   :  39788 ; 
+ 27e   :  39791 ; 
+ 27f   :  3979a ; 
+ 280   :  397a3 ; 
+ 281   :  397ac ; 
+ 282   :  397b6 ; 
+ 283   :  397c0 ; 
+ 284   :  397cb ; 
+ 285   :  397d5 ; 
+ 286   :  397e0 ; 
+ 287   :  397ec ; 
+ 288   :  397f7 ; 
+ 289   :  39803 ; 
+ 28a   :  3980f ; 
+ 28b   :  3981b ; 
+ 28c   :  39828 ; 
+ 28d   :  39835 ; 
+ 28e   :  39842 ; 
+ 28f   :  3984f ; 
+ 290   :  3985d ; 
+ 291   :  3986b ; 
+ 292   :  39879 ; 
+ 293   :  39888 ; 
+ 294   :  39897 ; 
+ 295   :  398a6 ; 
+ 296   :  398b5 ; 
+ 297   :  398c5 ; 
+ 298   :  398d5 ; 
+ 299   :  398e5 ; 
+ 29a   :  398f6 ; 
+ 29b   :  39907 ; 
+ 29c   :  39918 ; 
+ 29d   :  39929 ; 
+ 29e   :  3993b ; 
+ 29f   :  3994d ; 
+ 2a0   :  3995f ; 
+ 2a1   :  39972 ; 
+ 2a2   :  39984 ; 
+ 2a3   :  39998 ; 
+ 2a4   :  399ab ; 
+ 2a5   :  399bf ; 
+ 2a6   :  399d3 ; 
+ 2a7   :  399e7 ; 
+ 2a8   :  399fb ; 
+ 2a9   :  39a10 ; 
+ 2aa   :  39a25 ; 
+ 2ab   :  39a3b ; 
+ 2ac   :  39a51 ; 
+ 2ad   :  39a67 ; 
+ 2ae   :  39a7d ; 
+ 2af   :  39a93 ; 
+ 2b0   :  39aaa ; 
+ 2b1   :  39ac1 ; 
+ 2b2   :  39ad9 ; 
+ 2b3   :  39af1 ; 
+ 2b4   :  39b09 ; 
+ 2b5   :  39b21 ; 
+ 2b6   :  39b3a ; 
+ 2b7   :  39b52 ; 
+ 2b8   :  39b6c ; 
+ 2b9   :  39b85 ; 
+ 2ba   :  39b9f ; 
+ 2bb   :  39bb9 ; 
+ 2bc   :  39bd3 ; 
+ 2bd   :  39bee ; 
+ 2be   :  39c09 ; 
+ 2bf   :  39c24 ; 
+ 2c0   :  39c40 ; 
+ 2c1   :  39c5c ; 
+ 2c2   :  39c78 ; 
+ 2c3   :  39c94 ; 
+ 2c4   :  39cb1 ; 
+ 2c5   :  39cce ; 
+ 2c6   :  39ceb ; 
+ 2c7   :  39d09 ; 
+ 2c8   :  39d27 ; 
+ 2c9   :  39d45 ; 
+ 2ca   :  39d63 ; 
+ 2cb   :  39d82 ; 
+ 2cc   :  39da1 ; 
+ 2cd   :  39dc1 ; 
+ 2ce   :  39de0 ; 
+ 2cf   :  39e00 ; 
+ 2d0   :  39e21 ; 
+ 2d1   :  39e41 ; 
+ 2d2   :  39e62 ; 
+ 2d3   :  39e83 ; 
+ 2d4   :  39ea5 ; 
+ 2d5   :  39ec6 ; 
+ 2d6   :  39ee8 ; 
+ 2d7   :  39f0b ; 
+ 2d8   :  39f2d ; 
+ 2d9   :  39f50 ; 
+ 2da   :  39f74 ; 
+ 2db   :  39f97 ; 
+ 2dc   :  39fbb ; 
+ 2dd   :  39fdf ; 
+ 2de   :  3a004 ; 
+ 2df   :  3a029 ; 
+ 2e0   :  3a04e ; 
+ 2e1   :  3a073 ; 
+ 2e2   :  3a099 ; 
+ 2e3   :  3a0bf ; 
+ 2e4   :  3a0e5 ; 
+ 2e5   :  3a10b ; 
+ 2e6   :  3a132 ; 
+ 2e7   :  3a15a ; 
+ 2e8   :  3a181 ; 
+ 2e9   :  3a1a9 ; 
+ 2ea   :  3a1d1 ; 
+ 2eb   :  3a1f9 ; 
+ 2ec   :  3a222 ; 
+ 2ed   :  3a24b ; 
+ 2ee   :  3a274 ; 
+ 2ef   :  3a29e ; 
+ 2f0   :  3a2c8 ; 
+ 2f1   :  3a2f2 ; 
+ 2f2   :  3a31c ; 
+ 2f3   :  3a347 ; 
+ 2f4   :  3a372 ; 
+ 2f5   :  3a39e ; 
+ 2f6   :  3a3ca ; 
+ 2f7   :  3a3f6 ; 
+ 2f8   :  3a422 ; 
+ 2f9   :  3a44f ; 
+ 2fa   :  3a47b ; 
+ 2fb   :  3a4a9 ; 
+ 2fc   :  3a4d6 ; 
+ 2fd   :  3a504 ; 
+ 2fe   :  3a532 ; 
+ 2ff   :  3a561 ; 
+ 300   :  3a590 ; 
+ 301   :  3a5bf ; 
+ 302   :  3a5ee ; 
+ 303   :  3a61e ; 
+ 304   :  3a64e ; 
+ 305   :  3a67e ; 
+ 306   :  3a6af ; 
+ 307   :  3a6e0 ; 
+ 308   :  3a711 ; 
+ 309   :  3a742 ; 
+ 30a   :  3a774 ; 
+ 30b   :  3a7a6 ; 
+ 30c   :  3a7d9 ; 
+ 30d   :  3a80c ; 
+ 30e   :  3a83f ; 
+ 30f   :  3a872 ; 
+ 310   :  3a8a6 ; 
+ 311   :  3a8da ; 
+ 312   :  3a90e ; 
+ 313   :  3a943 ; 
+ 314   :  3a978 ; 
+ 315   :  3a9ad ; 
+ 316   :  3a9e2 ; 
+ 317   :  3aa18 ; 
+ 318   :  3aa4e ; 
+ 319   :  3aa85 ; 
+ 31a   :  3aabb ; 
+ 31b   :  3aaf3 ; 
+ 31c   :  3ab2a ; 
+ 31d   :  3ab62 ; 
+ 31e   :  3ab9a ; 
+ 31f   :  3abd2 ; 
+ 320   :  3ac0a ; 
+ 321   :  3ac43 ; 
+ 322   :  3ac7d ; 
+ 323   :  3acb6 ; 
+ 324   :  3acf0 ; 
+ 325   :  3ad2a ; 
+ 326   :  3ad65 ; 
+ 327   :  3ad9f ; 
+ 328   :  3adda ; 
+ 329   :  3ae16 ; 
+ 32a   :  3ae52 ; 
+ 32b   :  3ae8e ; 
+ 32c   :  3aeca ; 
+ 32d   :  3af06 ; 
+ 32e   :  3af43 ; 
+ 32f   :  3af81 ; 
+ 330   :  3afbe ; 
+ 331   :  3affc ; 
+ 332   :  3b03a ; 
+ 333   :  3b079 ; 
+ 334   :  3b0b8 ; 
+ 335   :  3b0f7 ; 
+ 336   :  3b136 ; 
+ 337   :  3b176 ; 
+ 338   :  3b1b6 ; 
+ 339   :  3b1f6 ; 
+ 33a   :  3b237 ; 
+ 33b   :  3b278 ; 
+ 33c   :  3b2b9 ; 
+ 33d   :  3b2fa ; 
+ 33e   :  3b33c ; 
+ 33f   :  3b37f ; 
+ 340   :  3b3c1 ; 
+ 341   :  3b404 ; 
+ 342   :  3b447 ; 
+ 343   :  3b48a ; 
+ 344   :  3b4ce ; 
+ 345   :  3b512 ; 
+ 346   :  3b556 ; 
+ 347   :  3b59b ; 
+ 348   :  3b5e0 ; 
+ 349   :  3b625 ; 
+ 34a   :  3b66b ; 
+ 34b   :  3b6b1 ; 
+ 34c   :  3b6f7 ; 
+ 34d   :  3b73e ; 
+ 34e   :  3b784 ; 
+ 34f   :  3b7cc ; 
+ 350   :  3b813 ; 
+ 351   :  3b85b ; 
+ 352   :  3b8a3 ; 
+ 353   :  3b8eb ; 
+ 354   :  3b934 ; 
+ 355   :  3b97d ; 
+ 356   :  3b9c6 ; 
+ 357   :  3ba10 ; 
+ 358   :  3ba5a ; 
+ 359   :  3baa4 ; 
+ 35a   :  3baee ; 
+ 35b   :  3bb39 ; 
+ 35c   :  3bb84 ; 
+ 35d   :  3bbd0 ; 
+ 35e   :  3bc1b ; 
+ 35f   :  3bc67 ; 
+ 360   :  3bcb4 ; 
+ 361   :  3bd00 ; 
+ 362   :  3bd4d ; 
+ 363   :  3bd9b ; 
+ 364   :  3bde8 ; 
+ 365   :  3be36 ; 
+ 366   :  3be84 ; 
+ 367   :  3bed3 ; 
+ 368   :  3bf22 ; 
+ 369   :  3bf71 ; 
+ 36a   :  3bfc0 ; 
+ 36b   :  3c010 ; 
+ 36c   :  3c060 ; 
+ 36d   :  3c0b0 ; 
+ 36e   :  3c101 ; 
+ 36f   :  3c152 ; 
+ 370   :  3c1a3 ; 
+ 371   :  3c1f5 ; 
+ 372   :  3c247 ; 
+ 373   :  3c299 ; 
+ 374   :  3c2eb ; 
+ 375   :  3c33e ; 
+ 376   :  3c391 ; 
+ 377   :  3c3e5 ; 
+ 378   :  3c438 ; 
+ 379   :  3c48c ; 
+ 37a   :  3c4e1 ; 
+ 37b   :  3c535 ; 
+ 37c   :  3c58a ; 
+ 37d   :  3c5e0 ; 
+ 37e   :  3c635 ; 
+ 37f   :  3c68b ; 
+ 380   :  3c6e1 ; 
+ 381   :  3c738 ; 
+ 382   :  3c78e ; 
+ 383   :  3c7e5 ; 
+ 384   :  3c83d ; 
+ 385   :  3c894 ; 
+ 386   :  3c8ec ; 
+ 387   :  3c945 ; 
+ 388   :  3c99d ; 
+ 389   :  3c9f6 ; 
+ 38a   :  3ca4f ; 
+ 38b   :  3caa9 ; 
+ 38c   :  3cb02 ; 
+ 38d   :  3cb5c ; 
+ 38e   :  3cbb7 ; 
+ 38f   :  3cc12 ; 
+ 390   :  3cc6d ; 
+ 391   :  3ccc8 ; 
+ 392   :  3cd23 ; 
+ 393   :  3cd7f ; 
+ 394   :  3cddb ; 
+ 395   :  3ce38 ; 
+ 396   :  3ce95 ; 
+ 397   :  3cef2 ; 
+ 398   :  3cf4f ; 
+ 399   :  3cfad ; 
+ 39a   :  3d00b ; 
+ 39b   :  3d069 ; 
+ 39c   :  3d0c8 ; 
+ 39d   :  3d127 ; 
+ 39e   :  3d186 ; 
+ 39f   :  3d1e5 ; 
+ 3a0   :  3d245 ; 
+ 3a1   :  3d2a5 ; 
+ 3a2   :  3d305 ; 
+ 3a3   :  3d366 ; 
+ 3a4   :  3d3c7 ; 
+ 3a5   :  3d428 ; 
+ 3a6   :  3d48a ; 
+ 3a7   :  3d4ec ; 
+ 3a8   :  3d54e ; 
+ 3a9   :  3d5b0 ; 
+ 3aa   :  3d613 ; 
+ 3ab   :  3d676 ; 
+ 3ac   :  3d6d9 ; 
+ 3ad   :  3d73d ; 
+ 3ae   :  3d7a1 ; 
+ 3af   :  3d805 ; 
+ 3b0   :  3d869 ; 
+ 3b1   :  3d8ce ; 
+ 3b2   :  3d933 ; 
+ 3b3   :  3d999 ; 
+ 3b4   :  3d9fe ; 
+ 3b5   :  3da64 ; 
+ 3b6   :  3dacb ; 
+ 3b7   :  3db31 ; 
+ 3b8   :  3db98 ; 
+ 3b9   :  3dbff ; 
+ 3ba   :  3dc67 ; 
+ 3bb   :  3dcce ; 
+ 3bc   :  3dd36 ; 
+ 3bd   :  3dd9f ; 
+ 3be   :  3de07 ; 
+ 3bf   :  3de70 ; 
+ 3c0   :  3ded9 ; 
+ 3c1   :  3df43 ; 
+ 3c2   :  3dfac ; 
+ 3c3   :  3e016 ; 
+ 3c4   :  3e081 ; 
+ 3c5   :  3e0eb ; 
+ 3c6   :  3e156 ; 
+ 3c7   :  3e1c1 ; 
+ 3c8   :  3e22d ; 
+ 3c9   :  3e299 ; 
+ 3ca   :  3e305 ; 
+ 3cb   :  3e371 ; 
+ 3cc   :  3e3de ; 
+ 3cd   :  3e44a ; 
+ 3ce   :  3e4b8 ; 
+ 3cf   :  3e525 ; 
+ 3d0   :  3e593 ; 
+ 3d1   :  3e601 ; 
+ 3d2   :  3e66f ; 
+ 3d3   :  3e6de ; 
+ 3d4   :  3e74d ; 
+ 3d5   :  3e7bc ; 
+ 3d6   :  3e82b ; 
+ 3d7   :  3e89b ; 
+ 3d8   :  3e90b ; 
+ 3d9   :  3e97b ; 
+ 3da   :  3e9ec ; 
+ 3db   :  3ea5d ; 
+ 3dc   :  3eace ; 
+ 3dd   :  3eb3f ; 
+ 3de   :  3ebb1 ; 
+ 3df   :  3ec23 ; 
+ 3e0   :  3ec95 ; 
+ 3e1   :  3ed08 ; 
+ 3e2   :  3ed7b ; 
+ 3e3   :  3edee ; 
+ 3e4   :  3ee61 ; 
+ 3e5   :  3eed5 ; 
+ 3e6   :  3ef49 ; 
+ 3e7   :  3efbd ; 
+ 3e8   :  3f031 ; 
+ 3e9   :  3f0a6 ; 
+ 3ea   :  3f11b ; 
+ 3eb   :  3f190 ; 
+ 3ec   :  3f206 ; 
+ 3ed   :  3f27c ; 
+ 3ee   :  3f2f2 ; 
+ 3ef   :  3f368 ; 
+ 3f0   :  3f3df ; 
+ 3f1   :  3f456 ; 
+ 3f2   :  3f4cd ; 
+ 3f3   :  3f545 ; 
+ 3f4   :  3f5bc ; 
+ 3f5   :  3f634 ; 
+ 3f6   :  3f6ad ; 
+ 3f7   :  3f725 ; 
+ 3f8   :  3f79e ; 
+ 3f9   :  3f817 ; 
+ 3fa   :  3f890 ; 
+ 3fb   :  3f90a ; 
+ 3fc   :  3f984 ; 
+ 3fd   :  3f9fe ; 
+ 3fe   :  3fa79 ; 
+ 3ff   :  3faf3 ; 
+END;
diff --git a/libraries/dsp/filter/src/vhdl/fil_pkg.vhd b/libraries/dsp/filter/src/vhdl/fil_pkg.vhd
index d1e0789f8d04a3f8026c19282b2b02922a1907ef..12635199691be8a1a64d07aaf742685746306b5c 100644
--- a/libraries/dsp/filter/src/vhdl/fil_pkg.vhd
+++ b/libraries/dsp/filter/src/vhdl/fil_pkg.vhd
@@ -61,6 +61,11 @@ package fil_pkg is
   
   constant c_fil_ppf_pipeline : t_fil_ppf_pipeline := (1, 1, 1, 1, 1, 1, 0);
   
+  -- DC gain of FIR filter with Coeffs16384Kaiser-quant.dat used in LOFAR1.
+  -- . Calculated with applications/lofar2/model/run_pfir_coef.m using application = 'lofar_subband'
+  -- . Not used in RTL, only used in test benches to verify expected subband levels
+  constant c_fil_lofar1_fir_filter_dc_gain : real := 0.994817;
+
 end package fil_pkg;
 
 package body fil_pkg is
diff --git a/libraries/dsp/rTwoSDF/src/vhdl/twiddlesPkg.vhd b/libraries/dsp/rTwoSDF/src/vhdl/twiddlesPkg.vhd
index c7a71f0b7f92a250c0e90746c471937c871616ba..e397b942e0c0aed3ea19d460e759994ae16b2896 100644
--- a/libraries/dsp/rTwoSDF/src/vhdl/twiddlesPkg.vhd
+++ b/libraries/dsp/rTwoSDF/src/vhdl/twiddlesPkg.vhd
@@ -3,9 +3,9 @@
 --DO NOT EDIT THIS FILE !!! 
 -------------------------------------
 --Author	:R.T.Rajan      
---Date    :05-Mar-2013
+--Date    :21-Dec-2020
 --Npoints :4096
---Nbits   :18
+--Nbits   :20
 -------------------------------------
 
 
@@ -17,4112 +17,4112 @@ use ieee.numeric_std.all;
  constant copyRightNotice: string 
  := "Copyright 2009 , ASTRON. All rights reserved."; 
 
-   subtype wTyp is std_logic_vector(17 downto 0); 
+   subtype wTyp is std_logic_vector(19 downto 0); 
    type wRowTyp is array( 1 to		2048 ) of wTyp; 
    type wMapTyp is array( integer range 0 to	2047, integer range 	12	downto 1) of natural; 
 
    constant wRe: wRowTyp := 
   ( 
-      b"011111111111111111",
-      b"011111111111111110",
-      b"011111111111111110",
-      b"011111111111111101",
-      b"011111111111111100",
-      b"011111111111111011",
-      b"011111111111111001",
-      b"011111111111110111",
-      b"011111111111110101",
-      b"011111111111110010",
-      b"011111111111101111",
-      b"011111111111101100",
-      b"011111111111101000",
-      b"011111111111100100",
-      b"011111111111100000",
-      b"011111111111011100",
-      b"011111111111010111",
-      b"011111111111010010",
-      b"011111111111001101",
-      b"011111111111000111",
-      b"011111111111000001",
-      b"011111111110111010",
-      b"011111111110110100",
-      b"011111111110101101",
-      b"011111111110100110",
-      b"011111111110011110",
-      b"011111111110010110",
-      b"011111111110001110",
-      b"011111111110000110",
-      b"011111111101111101",
-      b"011111111101110100",
-      b"011111111101101010",
-      b"011111111101100001",
-      b"011111111101010111",
-      b"011111111101001100",
-      b"011111111101000010",
-      b"011111111100110111",
-      b"011111111100101011",
-      b"011111111100100000",
-      b"011111111100010100",
-      b"011111111100001000",
-      b"011111111011111011",
-      b"011111111011101111",
-      b"011111111011100001",
-      b"011111111011010100",
-      b"011111111011000110",
-      b"011111111010111000",
-      b"011111111010101010",
-      b"011111111010011011",
-      b"011111111010001100",
-      b"011111111001111101",
-      b"011111111001101110",
-      b"011111111001011110",
-      b"011111111001001110",
-      b"011111111000111101",
-      b"011111111000101100",
-      b"011111111000011011",
-      b"011111111000001010",
-      b"011111110111111000",
-      b"011111110111100110",
-      b"011111110111010100",
-      b"011111110111000001",
-      b"011111110110101110",
-      b"011111110110011011",
-      b"011111110110000111",
-      b"011111110101110011",
-      b"011111110101011111",
-      b"011111110101001011",
-      b"011111110100110110",
-      b"011111110100100001",
-      b"011111110100001100",
-      b"011111110011110110",
-      b"011111110011100000",
-      b"011111110011001010",
-      b"011111110010110011",
-      b"011111110010011100",
-      b"011111110010000101",
-      b"011111110001101101",
-      b"011111110001010101",
-      b"011111110000111101",
-      b"011111110000100101",
-      b"011111110000001100",
-      b"011111101111110011",
-      b"011111101111011010",
-      b"011111101111000000",
-      b"011111101110100110",
-      b"011111101110001100",
-      b"011111101101110001",
-      b"011111101101010110",
-      b"011111101100111011",
-      b"011111101100011111",
-      b"011111101100000100",
-      b"011111101011100111",
-      b"011111101011001011",
-      b"011111101010101110",
-      b"011111101010010001",
-      b"011111101001110100",
-      b"011111101001010110",
-      b"011111101000111000",
-      b"011111101000011010",
-      b"011111100111111011",
-      b"011111100111011101",
-      b"011111100110111101",
-      b"011111100110011110",
-      b"011111100101111110",
-      b"011111100101011110",
-      b"011111100100111110",
-      b"011111100100011101",
-      b"011111100011111100",
-      b"011111100011011011",
-      b"011111100010111001",
-      b"011111100010010111",
-      b"011111100001110101",
-      b"011111100001010010",
-      b"011111100000101111",
-      b"011111100000001100",
-      b"011111011111101001",
-      b"011111011111000101",
-      b"011111011110100001",
-      b"011111011101111101",
-      b"011111011101011000",
-      b"011111011100110011",
-      b"011111011100001110",
-      b"011111011011101000",
-      b"011111011011000010",
-      b"011111011010011100",
-      b"011111011001110110",
-      b"011111011001001111",
-      b"011111011000101000",
-      b"011111011000000001",
-      b"011111010111011001",
-      b"011111010110110001",
-      b"011111010110001001",
-      b"011111010101100000",
-      b"011111010100110111",
-      b"011111010100001110",
-      b"011111010011100101",
-      b"011111010010111011",
-      b"011111010010010001",
-      b"011111010001100110",
-      b"011111010000111100",
-      b"011111010000010001",
-      b"011111001111100101",
-      b"011111001110111010",
-      b"011111001110001110",
-      b"011111001101100010",
-      b"011111001100110101",
-      b"011111001100001000",
-      b"011111001011011011",
-      b"011111001010101110",
-      b"011111001010000000",
-      b"011111001001010010",
-      b"011111001000100100",
-      b"011111000111110101",
-      b"011111000111000110",
-      b"011111000110010111",
-      b"011111000101100111",
-      b"011111000100111000",
-      b"011111000100001000",
-      b"011111000011010111",
-      b"011111000010100110",
-      b"011111000001110101",
-      b"011111000001000100",
-      b"011111000000010011",
-      b"011110111111100001",
-      b"011110111110101110",
-      b"011110111101111100",
-      b"011110111101001001",
-      b"011110111100010110",
-      b"011110111011100011",
-      b"011110111010101111",
-      b"011110111001111011",
-      b"011110111001000111",
-      b"011110111000010010",
-      b"011110110111011101",
-      b"011110110110101000",
-      b"011110110101110011",
-      b"011110110100111101",
-      b"011110110100000111",
-      b"011110110011010000",
-      b"011110110010011010",
-      b"011110110001100011",
-      b"011110110000101011",
-      b"011110101111110100",
-      b"011110101110111100",
-      b"011110101110000100",
-      b"011110101101001011",
-      b"011110101100010011",
-      b"011110101011011010",
-      b"011110101010100000",
-      b"011110101001100111",
-      b"011110101000101101",
-      b"011110100111110011",
-      b"011110100110111000",
-      b"011110100101111101",
-      b"011110100101000010",
-      b"011110100100000111",
-      b"011110100011001011",
-      b"011110100010001111",
-      b"011110100001010011",
-      b"011110100000010110",
-      b"011110011111011001",
-      b"011110011110011100",
-      b"011110011101011111",
-      b"011110011100100001",
-      b"011110011011100011",
-      b"011110011010100101",
-      b"011110011001100110",
-      b"011110011000100111",
-      b"011110010111101000",
-      b"011110010110101000",
-      b"011110010101101001",
-      b"011110010100101000",
-      b"011110010011101000",
-      b"011110010010100111",
-      b"011110010001100110",
-      b"011110010000100101",
-      b"011110001111100100",
-      b"011110001110100010",
-      b"011110001101100000",
-      b"011110001100011101",
-      b"011110001011011011",
-      b"011110001010011000",
-      b"011110001001010100",
-      b"011110001000010001",
-      b"011110000111001101",
-      b"011110000110001001",
-      b"011110000101000100",
-      b"011110000011111111",
-      b"011110000010111010",
-      b"011110000001110101",
-      b"011110000000101111",
-      b"011101111111101001",
-      b"011101111110100011",
-      b"011101111101011101",
-      b"011101111100010110",
-      b"011101111011001111",
-      b"011101111010001000",
-      b"011101111001000000",
-      b"011101110111111000",
-      b"011101110110110000",
-      b"011101110101100111",
-      b"011101110100011111",
-      b"011101110011010101",
-      b"011101110010001100",
-      b"011101110001000010",
-      b"011101101111111000",
-      b"011101101110101110",
-      b"011101101101100100",
-      b"011101101100011001",
-      b"011101101011001110",
-      b"011101101010000010",
-      b"011101101000110111",
-      b"011101100111101011",
-      b"011101100110011111",
-      b"011101100101010010",
-      b"011101100100000101",
-      b"011101100010111000",
-      b"011101100001101011",
-      b"011101100000011101",
-      b"011101011111001111",
-      b"011101011110000001",
-      b"011101011100110011",
-      b"011101011011100100",
-      b"011101011010010101",
-      b"011101011001000101",
-      b"011101010111110110",
-      b"011101010110100110",
-      b"011101010101010110",
-      b"011101010100000101",
-      b"011101010010110100",
-      b"011101010001100011",
-      b"011101010000010010",
-      b"011101001111000000",
-      b"011101001101101110",
-      b"011101001100011100",
-      b"011101001011001010",
-      b"011101001001110111",
-      b"011101001000100100",
-      b"011101000111010001",
-      b"011101000101111101",
-      b"011101000100101001",
-      b"011101000011010101",
-      b"011101000010000001",
-      b"011101000000101100",
-      b"011100111111010111",
-      b"011100111110000010",
-      b"011100111100101100",
-      b"011100111011010110",
-      b"011100111010000000",
-      b"011100111000101010",
-      b"011100110111010011",
-      b"011100110101111100",
-      b"011100110100100101",
-      b"011100110011001101",
-      b"011100110001110110",
-      b"011100110000011110",
-      b"011100101111000101",
-      b"011100101101101101",
-      b"011100101100010100",
-      b"011100101010111011",
-      b"011100101001100001",
-      b"011100101000001000",
-      b"011100100110101110",
-      b"011100100101010011",
-      b"011100100011111001",
-      b"011100100010011110",
-      b"011100100001000011",
-      b"011100011111101000",
-      b"011100011110001100",
-      b"011100011100110000",
-      b"011100011011010100",
-      b"011100011001110111",
-      b"011100011000011011",
-      b"011100010110111110",
-      b"011100010101100000",
-      b"011100010100000011",
-      b"011100010010100101",
-      b"011100010001000111",
-      b"011100001111101000",
-      b"011100001110001010",
-      b"011100001100101011",
-      b"011100001011001100",
-      b"011100001001101100",
-      b"011100001000001101",
-      b"011100000110101101",
-      b"011100000101001100",
-      b"011100000011101100",
-      b"011100000010001011",
-      b"011100000000101010",
-      b"011011111111001000",
-      b"011011111101100111",
-      b"011011111100000101",
-      b"011011111010100011",
-      b"011011111001000000",
-      b"011011110111011110",
-      b"011011110101111011",
-      b"011011110100010111",
-      b"011011110010110100",
-      b"011011110001010000",
-      b"011011101111101100",
-      b"011011101110001000",
-      b"011011101100100011",
-      b"011011101010111110",
-      b"011011101001011001",
-      b"011011100111110100",
-      b"011011100110001110",
-      b"011011100100101000",
-      b"011011100011000010",
-      b"011011100001011100",
-      b"011011011111110101",
-      b"011011011110001110",
-      b"011011011100100111",
-      b"011011011010111111",
-      b"011011011001011000",
-      b"011011010111110000",
-      b"011011010110000111",
-      b"011011010100011111",
-      b"011011010010110110",
-      b"011011010001001101",
-      b"011011001111100011",
-      b"011011001101111010",
-      b"011011001100010000",
-      b"011011001010100110",
-      b"011011001000111011",
-      b"011011000111010001",
-      b"011011000101100110",
-      b"011011000011111011",
-      b"011011000010001111",
-      b"011011000000100100",
-      b"011010111110111000",
-      b"011010111101001011",
-      b"011010111011011111",
-      b"011010111001110010",
-      b"011010111000000101",
-      b"011010110110011000",
-      b"011010110100101010",
-      b"011010110010111101",
-      b"011010110001001111",
-      b"011010101111100000",
-      b"011010101101110010",
-      b"011010101100000011",
-      b"011010101010010100",
-      b"011010101000100101",
-      b"011010100110110101",
-      b"011010100101000101",
-      b"011010100011010101",
-      b"011010100001100101",
-      b"011010011111110100",
-      b"011010011110000011",
-      b"011010011100010010",
-      b"011010011010100001",
-      b"011010011000101111",
-      b"011010010110111101",
-      b"011010010101001011",
-      b"011010010011011001",
-      b"011010010001100110",
-      b"011010001111110011",
-      b"011010001110000000",
-      b"011010001100001101",
-      b"011010001010011001",
-      b"011010001000100101",
-      b"011010000110110001",
-      b"011010000100111101",
-      b"011010000011001000",
-      b"011010000001010011",
-      b"011001111111011110",
-      b"011001111101101001",
-      b"011001111011110011",
-      b"011001111001111101",
-      b"011001111000000111",
-      b"011001110110010000",
-      b"011001110100011010",
-      b"011001110010100011",
-      b"011001110000101100",
-      b"011001101110110100",
-      b"011001101100111101",
-      b"011001101011000101",
-      b"011001101001001101",
-      b"011001100111010100",
-      b"011001100101011100",
-      b"011001100011100011",
-      b"011001100001101010",
-      b"011001011111110000",
-      b"011001011101110111",
-      b"011001011011111101",
-      b"011001011010000011",
-      b"011001011000001000",
-      b"011001010110001110",
-      b"011001010100010011",
-      b"011001010010011000",
-      b"011001010000011100",
-      b"011001001110100001",
-      b"011001001100100101",
-      b"011001001010101001",
-      b"011001001000101101",
-      b"011001000110110000",
-      b"011001000100110011",
-      b"011001000010110110",
-      b"011001000000111001",
-      b"011000111110111100",
-      b"011000111100111110",
-      b"011000111011000000",
-      b"011000111001000010",
-      b"011000110111000011",
-      b"011000110101000100",
-      b"011000110011000101",
-      b"011000110001000110",
-      b"011000101111000111",
-      b"011000101101000111",
-      b"011000101011000111",
-      b"011000101001000111",
-      b"011000100111000111",
-      b"011000100101000110",
-      b"011000100011000101",
-      b"011000100001000100",
-      b"011000011111000011",
-      b"011000011101000001",
-      b"011000011010111111",
-      b"011000011000111101",
-      b"011000010110111011",
-      b"011000010100111001",
-      b"011000010010110110",
-      b"011000010000110011",
-      b"011000001110110000",
-      b"011000001100101100",
-      b"011000001010101000",
-      b"011000001000100101",
-      b"011000000110100000",
-      b"011000000100011100",
-      b"011000000010010111",
-      b"011000000000010011",
-      b"010111111110001110",
-      b"010111111100001000",
-      b"010111111010000011",
-      b"010111110111111101",
-      b"010111110101110111",
-      b"010111110011110001",
-      b"010111110001101010",
-      b"010111101111100100",
-      b"010111101101011101",
-      b"010111101011010110",
-      b"010111101001001110",
-      b"010111100111000111",
-      b"010111100100111111",
-      b"010111100010110111",
-      b"010111100000101110",
-      b"010111011110100110",
-      b"010111011100011101",
-      b"010111011010010100",
-      b"010111011000001011",
-      b"010111010110000010",
-      b"010111010011111000",
-      b"010111010001101110",
-      b"010111001111100100",
-      b"010111001101011010",
-      b"010111001011001111",
-      b"010111001001000101",
-      b"010111000110111010",
-      b"010111000100101110",
-      b"010111000010100011",
-      b"010111000000010111",
-      b"010110111110001011",
-      b"010110111011111111",
-      b"010110111001110011",
-      b"010110110111100111",
-      b"010110110101011010",
-      b"010110110011001101",
-      b"010110110001000000",
-      b"010110101110110010",
-      b"010110101100100101",
-      b"010110101010010111",
-      b"010110101000001001",
-      b"010110100101111010",
-      b"010110100011101100",
-      b"010110100001011101",
-      b"010110011111001110",
-      b"010110011100111111",
-      b"010110011010110000",
-      b"010110011000100000",
-      b"010110010110010000",
-      b"010110010100000000",
-      b"010110010001110000",
-      b"010110001111100000",
-      b"010110001101001111",
-      b"010110001010111110",
-      b"010110001000101101",
-      b"010110000110011100",
-      b"010110000100001010",
-      b"010110000001111001",
-      b"010101111111100111",
-      b"010101111101010100",
-      b"010101111011000010",
-      b"010101111000110000",
-      b"010101110110011101",
-      b"010101110100001010",
-      b"010101110001110111",
-      b"010101101111100011",
-      b"010101101101010000",
-      b"010101101010111100",
-      b"010101101000101000",
-      b"010101100110010011",
-      b"010101100011111111",
-      b"010101100001101010",
-      b"010101011111010101",
-      b"010101011101000000",
-      b"010101011010101011",
-      b"010101011000010110",
-      b"010101010110000000",
-      b"010101010011101010",
-      b"010101010001010100",
-      b"010101001110111110",
-      b"010101001100100111",
-      b"010101001010010000",
-      b"010101000111111001",
-      b"010101000101100010",
-      b"010101000011001011",
-      b"010101000000110011",
-      b"010100111110011100",
-      b"010100111100000100",
-      b"010100111001101100",
-      b"010100110111010011",
-      b"010100110100111011",
-      b"010100110010100010",
-      b"010100110000001001",
-      b"010100101101110000",
-      b"010100101011010110",
-      b"010100101000111101",
-      b"010100100110100011",
-      b"010100100100001001",
-      b"010100100001101111",
-      b"010100011111010101",
-      b"010100011100111010",
-      b"010100011010011111",
-      b"010100011000000101",
-      b"010100010101101001",
-      b"010100010011001110",
-      b"010100010000110011",
-      b"010100001110010111",
-      b"010100001011111011",
-      b"010100001001011111",
-      b"010100000111000011",
-      b"010100000100100110",
-      b"010100000010001001",
-      b"010011111111101100",
-      b"010011111101001111",
-      b"010011111010110010",
-      b"010011111000010101",
-      b"010011110101110111",
-      b"010011110011011001",
-      b"010011110000111011",
-      b"010011101110011101",
-      b"010011101011111111",
-      b"010011101001100000",
-      b"010011100111000001",
-      b"010011100100100010",
-      b"010011100010000011",
-      b"010011011111100100",
-      b"010011011101000100",
-      b"010011011010100100",
-      b"010011011000000100",
-      b"010011010101100100",
-      b"010011010011000100",
-      b"010011010000100100",
-      b"010011001110000011",
-      b"010011001011100010",
-      b"010011001001000001",
-      b"010011000110100000",
-      b"010011000011111110",
-      b"010011000001011101",
-      b"010010111110111011",
-      b"010010111100011001",
-      b"010010111001110111",
-      b"010010110111010101",
-      b"010010110100110010",
-      b"010010110010001111",
-      b"010010101111101101",
-      b"010010101101001010",
-      b"010010101010100110",
-      b"010010101000000011",
-      b"010010100101011111",
-      b"010010100010111100",
-      b"010010100000011000",
-      b"010010011101110100",
-      b"010010011011001111",
-      b"010010011000101011",
-      b"010010010110000110",
-      b"010010010011100001",
-      b"010010010000111100",
-      b"010010001110010111",
-      b"010010001011110010",
-      b"010010001001001100",
-      b"010010000110100111",
-      b"010010000100000001",
-      b"010010000001011011",
-      b"010001111110110100",
-      b"010001111100001110",
-      b"010001111001100111",
-      b"010001110111000001",
-      b"010001110100011010",
-      b"010001110001110011",
-      b"010001101111001011",
-      b"010001101100100100",
-      b"010001101001111100",
-      b"010001100111010101",
-      b"010001100100101101",
-      b"010001100010000101",
-      b"010001011111011100",
-      b"010001011100110100",
-      b"010001011010001011",
-      b"010001010111100010",
-      b"010001010100111001",
-      b"010001010010010000",
-      b"010001001111100111",
-      b"010001001100111110",
-      b"010001001010010100",
-      b"010001000111101010",
-      b"010001000101000000",
-      b"010001000010010110",
-      b"010000111111101100",
-      b"010000111101000001",
-      b"010000111010010111",
-      b"010000110111101100",
-      b"010000110101000001",
-      b"010000110010010110",
-      b"010000101111101011",
-      b"010000101100111111",
-      b"010000101010010100",
-      b"010000100111101000",
-      b"010000100100111100",
-      b"010000100010010000",
-      b"010000011111100100",
-      b"010000011100110111",
-      b"010000011010001011",
-      b"010000010111011110",
-      b"010000010100110001",
-      b"010000010010000100",
-      b"010000001111010111",
-      b"010000001100101010",
-      b"010000001001111100",
-      b"010000000111001111",
-      b"010000000100100001",
-      b"010000000001110011",
-      b"001111111111000101",
-      b"001111111100010111",
-      b"001111111001101000",
-      b"001111110110111010",
-      b"001111110100001011",
-      b"001111110001011100",
-      b"001111101110101101",
-      b"001111101011111110",
-      b"001111101001001111",
-      b"001111100110011111",
-      b"001111100011110000",
-      b"001111100001000000",
-      b"001111011110010000",
-      b"001111011011100000",
-      b"001111011000110000",
-      b"001111010101111111",
-      b"001111010011001111",
-      b"001111010000011110",
-      b"001111001101101101",
-      b"001111001010111100",
-      b"001111001000001011",
-      b"001111000101011010",
-      b"001111000010101001",
-      b"001110111111110111",
-      b"001110111101000101",
-      b"001110111010010100",
-      b"001110110111100010",
-      b"001110110100101111",
-      b"001110110001111101",
-      b"001110101111001011",
-      b"001110101100011000",
-      b"001110101001100110",
-      b"001110100110110011",
-      b"001110100100000000",
-      b"001110100001001101",
-      b"001110011110011001",
-      b"001110011011100110",
-      b"001110011000110011",
-      b"001110010101111111",
-      b"001110010011001011",
-      b"001110010000010111",
-      b"001110001101100011",
-      b"001110001010101111",
-      b"001110000111111010",
-      b"001110000101000110",
-      b"001110000010010001",
-      b"001101111111011101",
-      b"001101111100101000",
-      b"001101111001110011",
-      b"001101110110111110",
-      b"001101110100001000",
-      b"001101110001010011",
-      b"001101101110011101",
-      b"001101101011101000",
-      b"001101101000110010",
-      b"001101100101111100",
-      b"001101100011000110",
-      b"001101100000001111",
-      b"001101011101011001",
-      b"001101011010100011",
-      b"001101010111101100",
-      b"001101010100110101",
-      b"001101010001111110",
-      b"001101001111000111",
-      b"001101001100010000",
-      b"001101001001011001",
-      b"001101000110100010",
-      b"001101000011101010",
-      b"001101000000110011",
-      b"001100111101111011",
-      b"001100111011000011",
-      b"001100111000001011",
-      b"001100110101010011",
-      b"001100110010011011",
-      b"001100101111100010",
-      b"001100101100101010",
-      b"001100101001110001",
-      b"001100100110111000",
-      b"001100100100000000",
-      b"001100100001000111",
-      b"001100011110001101",
-      b"001100011011010100",
-      b"001100011000011011",
-      b"001100010101100001",
-      b"001100010010101000",
-      b"001100001111101110",
-      b"001100001100110100",
-      b"001100001001111010",
-      b"001100000111000000",
-      b"001100000100000110",
-      b"001100000001001100",
-      b"001011111110010010",
-      b"001011111011010111",
-      b"001011111000011100",
-      b"001011110101100010",
-      b"001011110010100111",
-      b"001011101111101100",
-      b"001011101100110001",
-      b"001011101001110110",
-      b"001011100110111010",
-      b"001011100011111111",
-      b"001011100001000011",
-      b"001011011110001000",
-      b"001011011011001100",
-      b"001011011000010000",
-      b"001011010101010100",
-      b"001011010010011000",
-      b"001011001111011100",
-      b"001011001100011111",
-      b"001011001001100011",
-      b"001011000110100111",
-      b"001011000011101010",
-      b"001011000000101101",
-      b"001010111101110000",
-      b"001010111010110011",
-      b"001010110111110110",
-      b"001010110100111001",
-      b"001010110001111100",
-      b"001010101110111111",
-      b"001010101100000001",
-      b"001010101001000100",
-      b"001010100110000110",
-      b"001010100011001000",
-      b"001010100000001010",
-      b"001010011101001100",
-      b"001010011010001110",
-      b"001010010111010000",
-      b"001010010100010010",
-      b"001010010001010011",
-      b"001010001110010101",
-      b"001010001011010110",
-      b"001010001000011000",
-      b"001010000101011001",
-      b"001010000010011010",
-      b"001001111111011011",
-      b"001001111100011100",
-      b"001001111001011101",
-      b"001001110110011110",
-      b"001001110011011110",
-      b"001001110000011111",
-      b"001001101101011111",
-      b"001001101010100000",
-      b"001001100111100000",
-      b"001001100100100000",
-      b"001001100001100000",
-      b"001001011110100000",
-      b"001001011011100000",
-      b"001001011000100000",
-      b"001001010101100000",
-      b"001001010010011111",
-      b"001001001111011111",
-      b"001001001100011110",
-      b"001001001001011110",
-      b"001001000110011101",
-      b"001001000011011100",
-      b"001001000000011011",
-      b"001000111101011010",
-      b"001000111010011001",
-      b"001000110111011000",
-      b"001000110100010111",
-      b"001000110001010110",
-      b"001000101110010100",
-      b"001000101011010011",
-      b"001000101000010001",
-      b"001000100101010000",
-      b"001000100010001110",
-      b"001000011111001100",
-      b"001000011100001010",
-      b"001000011001001000",
-      b"001000010110000110",
-      b"001000010011000100",
-      b"001000010000000010",
-      b"001000001100111111",
-      b"001000001001111101",
-      b"001000000110111011",
-      b"001000000011111000",
-      b"001000000000110101",
-      b"000111111101110011",
-      b"000111111010110000",
-      b"000111110111101101",
-      b"000111110100101010",
-      b"000111110001100111",
-      b"000111101110100100",
-      b"000111101011100001",
-      b"000111101000011110",
-      b"000111100101011010",
-      b"000111100010010111",
-      b"000111011111010100",
-      b"000111011100010000",
-      b"000111011001001101",
-      b"000111010110001001",
-      b"000111010011000101",
-      b"000111010000000001",
-      b"000111001100111101",
-      b"000111001001111010",
-      b"000111000110110110",
-      b"000111000011110001",
-      b"000111000000101101",
-      b"000110111101101001",
-      b"000110111010100101",
-      b"000110110111100000",
-      b"000110110100011100",
-      b"000110110001011000",
-      b"000110101110010011",
-      b"000110101011001110",
-      b"000110101000001010",
-      b"000110100101000101",
-      b"000110100010000000",
-      b"000110011110111011",
-      b"000110011011110110",
-      b"000110011000110010",
-      b"000110010101101100",
-      b"000110010010100111",
-      b"000110001111100010",
-      b"000110001100011101",
-      b"000110001001011000",
-      b"000110000110010010",
-      b"000110000011001101",
-      b"000110000000000111",
-      b"000101111101000010",
-      b"000101111001111100",
-      b"000101110110110111",
-      b"000101110011110001",
-      b"000101110000101011",
-      b"000101101101100101",
-      b"000101101010100000",
-      b"000101100111011010",
-      b"000101100100010100",
-      b"000101100001001110",
-      b"000101011110001000",
-      b"000101011011000010",
-      b"000101010111111011",
-      b"000101010100110101",
-      b"000101010001101111",
-      b"000101001110101000",
-      b"000101001011100010",
-      b"000101001000011100",
-      b"000101000101010101",
-      b"000101000010001111",
-      b"000100111111001000",
-      b"000100111100000001",
-      b"000100111000111011",
-      b"000100110101110100",
-      b"000100110010101101",
-      b"000100101111100110",
-      b"000100101100100000",
-      b"000100101001011001",
-      b"000100100110010010",
-      b"000100100011001011",
-      b"000100100000000100",
-      b"000100011100111101",
-      b"000100011001110110",
-      b"000100010110101110",
-      b"000100010011100111",
-      b"000100010000100000",
-      b"000100001101011001",
-      b"000100001010010001",
-      b"000100000111001010",
-      b"000100000100000010",
-      b"000100000000111011",
-      b"000011111101110100",
-      b"000011111010101100",
-      b"000011110111100100",
-      b"000011110100011101",
-      b"000011110001010101",
-      b"000011101110001101",
-      b"000011101011000110",
-      b"000011100111111110",
-      b"000011100100110110",
-      b"000011100001101110",
-      b"000011011110100111",
-      b"000011011011011111",
-      b"000011011000010111",
-      b"000011010101001111",
-      b"000011010010000111",
-      b"000011001110111111",
-      b"000011001011110111",
-      b"000011001000101111",
-      b"000011000101100111",
-      b"000011000010011110",
-      b"000010111111010110",
-      b"000010111100001110",
-      b"000010111001000110",
-      b"000010110101111110",
-      b"000010110010110101",
-      b"000010101111101101",
-      b"000010101100100101",
-      b"000010101001011100",
-      b"000010100110010100",
-      b"000010100011001100",
-      b"000010100000000011",
-      b"000010011100111011",
-      b"000010011001110010",
-      b"000010010110101010",
-      b"000010010011100001",
-      b"000010010000011001",
-      b"000010001101010000",
-      b"000010001010000111",
-      b"000010000110111111",
-      b"000010000011110110",
-      b"000010000000101110",
-      b"000001111101100101",
-      b"000001111010011100",
-      b"000001110111010011",
-      b"000001110100001011",
-      b"000001110001000010",
-      b"000001101101111001",
-      b"000001101010110000",
-      b"000001100111101000",
-      b"000001100100011111",
-      b"000001100001010110",
-      b"000001011110001101",
-      b"000001011011000100",
-      b"000001010111111011",
-      b"000001010100110011",
-      b"000001010001101010",
-      b"000001001110100001",
-      b"000001001011011000",
-      b"000001001000001111",
-      b"000001000101000110",
-      b"000001000001111101",
-      b"000000111110110100",
-      b"000000111011101011",
-      b"000000111000100010",
-      b"000000110101011001",
-      b"000000110010010000",
-      b"000000101111000111",
-      b"000000101011111110",
-      b"000000101000110101",
-      b"000000100101101100",
-      b"000000100010100011",
-      b"000000011111011010",
-      b"000000011100010001",
-      b"000000011001001000",
-      b"000000010101111111",
-      b"000000010010110110",
-      b"000000001111101101",
-      b"000000001100100100",
-      b"000000001001011011",
-      b"000000000110010010",
-      b"000000000011001001",
-      b"111111111111111111",
-      b"111111111100110110",
-      b"111111111001101101",
-      b"111111110110100100",
-      b"111111110011011011",
-      b"111111110000010010",
-      b"111111101101001001",
-      b"111111101010000000",
-      b"111111100110110111",
-      b"111111100011101110",
-      b"111111100000100100",
-      b"111111011101011011",
-      b"111111011010010010",
-      b"111111010111001001",
-      b"111111010100000000",
-      b"111111010000110111",
-      b"111111001101101110",
-      b"111111001010100101",
-      b"111111000111011100",
-      b"111111000100010011",
-      b"111111000001001010",
-      b"111110111110000001",
-      b"111110111010111001",
-      b"111110110111110000",
-      b"111110110100100111",
-      b"111110110001011110",
-      b"111110101110010101",
-      b"111110101011001100",
-      b"111110101000000011",
-      b"111110100100111010",
-      b"111110100001110001",
-      b"111110011110101000",
-      b"111110011011100000",
-      b"111110011000010111",
-      b"111110010101001110",
-      b"111110010010000101",
-      b"111110001110111101",
-      b"111110001011110100",
-      b"111110001000101011",
-      b"111110000101100010",
-      b"111110000010011010",
-      b"111101111111010001",
-      b"111101111100001000",
-      b"111101111001000000",
-      b"111101110101110111",
-      b"111101110010101110",
-      b"111101101111100110",
-      b"111101101100011101",
-      b"111101101001010101",
-      b"111101100110001100",
-      b"111101100011000100",
-      b"111101011111111011",
-      b"111101011100110011",
-      b"111101011001101011",
-      b"111101010110100010",
-      b"111101010011011010",
-      b"111101010000010001",
-      b"111101001101001001",
-      b"111101001010000001",
-      b"111101000110111001",
-      b"111101000011110000",
-      b"111101000000101000",
-      b"111100111101100000",
-      b"111100111010011000",
-      b"111100110111010000",
-      b"111100110100001000",
-      b"111100110001000000",
-      b"111100101101111000",
-      b"111100101010110000",
-      b"111100100111101000",
-      b"111100100100100000",
-      b"111100100001011000",
-      b"111100011110010000",
-      b"111100011011001000",
-      b"111100011000000000",
-      b"111100010100111001",
-      b"111100010001110001",
-      b"111100001110101001",
-      b"111100001011100010",
-      b"111100001000011010",
-      b"111100000101010011",
-      b"111100000010001011",
-      b"111011111111000011",
-      b"111011111011111100",
-      b"111011111000110101",
-      b"111011110101101101",
-      b"111011110010100110",
-      b"111011101111011111",
-      b"111011101100010111",
-      b"111011101001010000",
-      b"111011100110001001",
-      b"111011100011000010",
-      b"111011011111111011",
-      b"111011011100110100",
-      b"111011011001101101",
-      b"111011010110100110",
-      b"111011010011011111",
-      b"111011010000011000",
-      b"111011001101010001",
-      b"111011001010001010",
-      b"111011000111000100",
-      b"111011000011111101",
-      b"111011000000110110",
-      b"111010111101110000",
-      b"111010111010101001",
-      b"111010110111100011",
-      b"111010110100011100",
-      b"111010110001010110",
-      b"111010101110010000",
-      b"111010101011001001",
-      b"111010101000000011",
-      b"111010100100111101",
-      b"111010100001110111",
-      b"111010011110110001",
-      b"111010011011101011",
-      b"111010011000100101",
-      b"111010010101011111",
-      b"111010010010011001",
-      b"111010001111010011",
-      b"111010001100001101",
-      b"111010001001001000",
-      b"111010000110000010",
-      b"111010000010111101",
-      b"111001111111110111",
-      b"111001111100110010",
-      b"111001111001101100",
-      b"111001110110100111",
-      b"111001110011100010",
-      b"111001110000011100",
-      b"111001101101010111",
-      b"111001101010010010",
-      b"111001100111001101",
-      b"111001100100001000",
-      b"111001100001000011",
-      b"111001011101111110",
-      b"111001011010111001",
-      b"111001010111110101",
-      b"111001010100110000",
-      b"111001010001101011",
-      b"111001001110100111",
-      b"111001001011100010",
-      b"111001001000011110",
-      b"111001000101011010",
-      b"111001000010010101",
-      b"111000111111010001",
-      b"111000111100001101",
-      b"111000111001001001",
-      b"111000110110000101",
-      b"111000110011000001",
-      b"111000101111111101",
-      b"111000101100111001",
-      b"111000101001110110",
-      b"111000100110110010",
-      b"111000100011101110",
-      b"111000100000101011",
-      b"111000011101100111",
-      b"111000011010100100",
-      b"111000010111100001",
-      b"111000010100011110",
-      b"111000010001011010",
-      b"111000001110010111",
-      b"111000001011010100",
-      b"111000001000010001",
-      b"111000000101001111",
-      b"111000000010001100",
-      b"110111111111001001",
-      b"110111111100000111",
-      b"110111111001000100",
-      b"110111110110000010",
-      b"110111110010111111",
-      b"110111101111111101",
-      b"110111101100111011",
-      b"110111101001111000",
-      b"110111100110110110",
-      b"110111100011110100",
-      b"110111100000110011",
-      b"110111011101110001",
-      b"110111011010101111",
-      b"110111010111101101",
-      b"110111010100101100",
-      b"110111010001101010",
-      b"110111001110101001",
-      b"110111001011101000",
-      b"110111001000100110",
-      b"110111000101100101",
-      b"110111000010100100",
-      b"110110111111100011",
-      b"110110111100100010",
-      b"110110111001100001",
-      b"110110110110100001",
-      b"110110110011100000",
-      b"110110110000100000",
-      b"110110101101011111",
-      b"110110101010011111",
-      b"110110100111011110",
-      b"110110100100011110",
-      b"110110100001011110",
-      b"110110011110011110",
-      b"110110011011011110",
-      b"110110011000011110",
-      b"110110010101011111",
-      b"110110010010011111",
-      b"110110001111100000",
-      b"110110001100100000",
-      b"110110001001100001",
-      b"110110000110100010",
-      b"110110000011100010",
-      b"110110000000100011",
-      b"110101111101100100",
-      b"110101111010100110",
-      b"110101110111100111",
-      b"110101110100101000",
-      b"110101110001101010",
-      b"110101101110101011",
-      b"110101101011101101",
-      b"110101101000101110",
-      b"110101100101110000",
-      b"110101100010110010",
-      b"110101011111110100",
-      b"110101011100110110",
-      b"110101011001111001",
-      b"110101010110111011",
-      b"110101010011111101",
-      b"110101010001000000",
-      b"110101001110000011",
-      b"110101001011000101",
-      b"110101001000001000",
-      b"110101000101001011",
-      b"110101000010001110",
-      b"110100111111010001",
-      b"110100111100010101",
-      b"110100111001011000",
-      b"110100110110011011",
-      b"110100110011011111",
-      b"110100110000100011",
-      b"110100101101100111",
-      b"110100101010101010",
-      b"110100100111101110",
-      b"110100100100110011",
-      b"110100100001110111",
-      b"110100011110111011",
-      b"110100011100000000",
-      b"110100011001000100",
-      b"110100010110001001",
-      b"110100010011001110",
-      b"110100010000010011",
-      b"110100001101011000",
-      b"110100001010011101",
-      b"110100000111100010",
-      b"110100000100100111",
-      b"110100000001101101",
-      b"110011111110110011",
-      b"110011111011111000",
-      b"110011111000111110",
-      b"110011110110000100",
-      b"110011110011001010",
-      b"110011110000010000",
-      b"110011101101010111",
-      b"110011101010011101",
-      b"110011100111100100",
-      b"110011100100101010",
-      b"110011100001110001",
-      b"110011011110111000",
-      b"110011011011111111",
-      b"110011011001000110",
-      b"110011010110001101",
-      b"110011010011010101",
-      b"110011010000011100",
-      b"110011001101100100",
-      b"110011001010101100",
-      b"110011000111110011",
-      b"110011000100111011",
-      b"110011000010000100",
-      b"110010111111001100",
-      b"110010111100010100",
-      b"110010111001011101",
-      b"110010110110100101",
-      b"110010110011101110",
-      b"110010110000110111",
-      b"110010101110000000",
-      b"110010101011001001",
-      b"110010101000010010",
-      b"110010100101011100",
-      b"110010100010100101",
-      b"110010011111101111",
-      b"110010011100111001",
-      b"110010011010000011",
-      b"110010010111001101",
-      b"110010010100010111",
-      b"110010010001100001",
-      b"110010001110101100",
-      b"110010001011110110",
-      b"110010001001000001",
-      b"110010000110001100",
-      b"110010000011010111",
-      b"110010000000100010",
-      b"110001111101101101",
-      b"110001111010111001",
-      b"110001111000000100",
-      b"110001110101010000",
-      b"110001110010011011",
-      b"110001101111100111",
-      b"110001101100110011",
-      b"110001101010000000",
-      b"110001100111001100",
-      b"110001100100011000",
-      b"110001100001100101",
-      b"110001011110110010",
-      b"110001011011111111",
-      b"110001011001001100",
-      b"110001010110011001",
-      b"110001010011100110",
-      b"110001010000110100",
-      b"110001001110000001",
-      b"110001001011001111",
-      b"110001001000011101",
-      b"110001000101101011",
-      b"110001000010111001",
-      b"110001000000000111",
-      b"110000111101010110",
-      b"110000111010100101",
-      b"110000110111110011",
-      b"110000110101000010",
-      b"110000110010010001",
-      b"110000101111100000",
-      b"110000101100110000",
-      b"110000101001111111",
-      b"110000100111001111",
-      b"110000100100011111",
-      b"110000100001101111",
-      b"110000011110111111",
-      b"110000011100001111",
-      b"110000011001011111",
-      b"110000010110110000",
-      b"110000010100000001",
-      b"110000010001010001",
-      b"110000001110100010",
-      b"110000001011110011",
-      b"110000001001000101",
-      b"110000000110010110",
-      b"110000000011101000",
-      b"110000000000111010",
-      b"101111111110001011",
-      b"101111111011011110",
-      b"101111111000110000",
-      b"101111110110000010",
-      b"101111110011010101",
-      b"101111110000100111",
-      b"101111101101111010",
-      b"101111101011001101",
-      b"101111101000100000",
-      b"101111100101110100",
-      b"101111100011000111",
-      b"101111100000011011",
-      b"101111011101101110",
-      b"101111011011000010",
-      b"101111011000010110",
-      b"101111010101101011",
-      b"101111010010111111",
-      b"101111010000010100",
-      b"101111001101101001",
-      b"101111001010111101",
-      b"101111001000010010",
-      b"101111000101101000",
-      b"101111000010111101",
-      b"101111000000010011",
-      b"101110111101101000",
-      b"101110111010111110",
-      b"101110111000010100",
-      b"101110110101101011",
-      b"101110110011000001",
-      b"101110110000010111",
-      b"101110101101101110",
-      b"101110101011000101",
-      b"101110101000011100",
-      b"101110100101110011",
-      b"101110100011001011",
-      b"101110100000100010",
-      b"101110011101111010",
-      b"101110011011010010",
-      b"101110011000101010",
-      b"101110010110000010",
-      b"101110010011011011",
-      b"101110010000110011",
-      b"101110001110001100",
-      b"101110001011100101",
-      b"101110001000111110",
-      b"101110000110010111",
-      b"101110000011110001",
-      b"101110000001001010",
-      b"101101111110100100",
-      b"101101111011111110",
-      b"101101111001011000",
-      b"101101110110110010",
-      b"101101110100001101",
-      b"101101110001100111",
-      b"101101101111000010",
-      b"101101101100011101",
-      b"101101101001111000",
-      b"101101100111010100",
-      b"101101100100101111",
-      b"101101100010001011",
-      b"101101011111100111",
-      b"101101011101000011",
-      b"101101011010011111",
-      b"101101010111111100",
-      b"101101010101011000",
-      b"101101010010110101",
-      b"101101010000010010",
-      b"101101001101101111",
-      b"101101001011001100",
-      b"101101001000101010",
-      b"101101000110001000",
-      b"101101000011100101",
-      b"101101000001000011",
-      b"101100111110100010",
-      b"101100111100000000",
-      b"101100111001011111",
-      b"101100110110111101",
-      b"101100110100011100",
-      b"101100110001111100",
-      b"101100101111011011",
-      b"101100101100111010",
-      b"101100101010011010",
-      b"101100100111111010",
-      b"101100100101011010",
-      b"101100100010111010",
-      b"101100100000011011",
-      b"101100011101111100",
-      b"101100011011011100",
-      b"101100011000111101",
-      b"101100010110011111",
-      b"101100010100000000",
-      b"101100010001100010",
-      b"101100001111000011",
-      b"101100001100100101",
-      b"101100001010000111",
-      b"101100000111101010",
-      b"101100000101001100",
-      b"101100000010101111",
-      b"101100000000010010",
-      b"101011111101110101",
-      b"101011111011011000",
-      b"101011111000111100",
-      b"101011110110100000",
-      b"101011110100000100",
-      b"101011110001101000",
-      b"101011101111001100",
-      b"101011101100110000",
-      b"101011101010010101",
-      b"101011100111111010",
-      b"101011100101011111",
-      b"101011100011000100",
-      b"101011100000101010",
-      b"101011011110001111",
-      b"101011011011110101",
-      b"101011011001011011",
-      b"101011010111000010",
-      b"101011010100101000",
-      b"101011010010001111",
-      b"101011001111110110",
-      b"101011001101011101",
-      b"101011001011000100",
-      b"101011001000101011",
-      b"101011000110010011",
-      b"101011000011111011",
-      b"101011000001100011",
-      b"101010111111001011",
-      b"101010111100110100",
-      b"101010111010011100",
-      b"101010111000000101",
-      b"101010110101101110",
-      b"101010110011011000",
-      b"101010110001000001",
-      b"101010101110101011",
-      b"101010101100010101",
-      b"101010101001111111",
-      b"101010100111101001",
-      b"101010100101010011",
-      b"101010100010111110",
-      b"101010100000101001",
-      b"101010011110010100",
-      b"101010011100000000",
-      b"101010011001101011",
-      b"101010010111010111",
-      b"101010010101000011",
-      b"101010010010101111",
-      b"101010010000011011",
-      b"101010001110001000",
-      b"101010001011110101",
-      b"101010001001100010",
-      b"101010000111001111",
-      b"101010000100111100",
-      b"101010000010101010",
-      b"101010000000011000",
-      b"101001111110000110",
-      b"101001111011110100",
-      b"101001111001100011",
-      b"101001110111010001",
-      b"101001110101000000",
-      b"101001110010101111",
-      b"101001110000011111",
-      b"101001101110001110",
-      b"101001101011111110",
-      b"101001101001101110",
-      b"101001100111011110",
-      b"101001100101001111",
-      b"101001100010111111",
-      b"101001100000110000",
-      b"101001011110100001",
-      b"101001011100010011",
-      b"101001011010000100",
-      b"101001010111110110",
-      b"101001010101101000",
-      b"101001010011011010",
-      b"101001010001001100",
-      b"101001001110111111",
-      b"101001001100110010",
-      b"101001001010100101",
-      b"101001001000011000",
-      b"101001000110001011",
-      b"101001000011111111",
-      b"101001000001110011",
-      b"101000111111100111",
-      b"101000111101011100",
-      b"101000111011010000",
-      b"101000111001000101",
-      b"101000110110111010",
-      b"101000110100101111",
-      b"101000110010100101",
-      b"101000110000011010",
-      b"101000101110010000",
-      b"101000101100000110",
-      b"101000101001111101",
-      b"101000100111110011",
-      b"101000100101101010",
-      b"101000100011100001",
-      b"101000100001011001",
-      b"101000011111010000",
-      b"101000011101001000",
-      b"101000011011000000",
-      b"101000011000111000",
-      b"101000010110110000",
-      b"101000010100101001",
-      b"101000010010100010",
-      b"101000010000011011",
-      b"101000001110010100",
-      b"101000001100001110",
-      b"101000001010001000",
-      b"101000001000000010",
-      b"101000000101111100",
-      b"101000000011110110",
-      b"101000000001110001",
-      b"100111111111101100",
-      b"100111111101100111",
-      b"100111111011100010",
-      b"100111111001011110",
-      b"100111110111011010",
-      b"100111110101010110",
-      b"100111110011010010",
-      b"100111110001001111",
-      b"100111101111001100",
-      b"100111101101001001",
-      b"100111101011000110",
-      b"100111101001000011",
-      b"100111100111000001",
-      b"100111100100111111",
-      b"100111100010111101",
-      b"100111100000111100",
-      b"100111011110111010",
-      b"100111011100111001",
-      b"100111011010111000",
-      b"100111011000111000",
-      b"100111010110110111",
-      b"100111010100110111",
-      b"100111010010110111",
-      b"100111010000111000",
-      b"100111001110111000",
-      b"100111001100111001",
-      b"100111001010111010",
-      b"100111001000111011",
-      b"100111000110111101",
-      b"100111000100111111",
-      b"100111000011000001",
-      b"100111000001000011",
-      b"100110111111000101",
-      b"100110111101001000",
-      b"100110111011001011",
-      b"100110111001001110",
-      b"100110110111010010",
-      b"100110110101010110",
-      b"100110110011011001",
-      b"100110110001011110",
-      b"100110101111100010",
-      b"100110101101100111",
-      b"100110101011101100",
-      b"100110101001110001",
-      b"100110100111110110",
-      b"100110100101111100",
-      b"100110100100000010",
-      b"100110100010001000",
-      b"100110100000001110",
-      b"100110011110010101",
-      b"100110011100011100",
-      b"100110011010100011",
-      b"100110011000101010",
-      b"100110010110110010",
-      b"100110010100111010",
-      b"100110010011000010",
-      b"100110010001001010",
-      b"100110001111010011",
-      b"100110001101011100",
-      b"100110001011100101",
-      b"100110001001101110",
-      b"100110000111111000",
-      b"100110000110000001",
-      b"100110000100001100",
-      b"100110000010010110",
-      b"100110000000100001",
-      b"100101111110101011",
-      b"100101111100110110",
-      b"100101111011000010",
-      b"100101111001001101",
-      b"100101110111011001",
-      b"100101110101100101",
-      b"100101110011110010",
-      b"100101110001111110",
-      b"100101110000001011",
-      b"100101101110011000",
-      b"100101101100100110",
-      b"100101101010110011",
-      b"100101101001000001",
-      b"100101100111001111",
-      b"100101100101011110",
-      b"100101100011101100",
-      b"100101100001111011",
-      b"100101100000001010",
-      b"100101011110011010",
-      b"100101011100101001",
-      b"100101011010111001",
-      b"100101011001001001",
-      b"100101010111011010",
-      b"100101010101101011",
-      b"100101010011111011",
-      b"100101010010001101",
-      b"100101010000011110",
-      b"100101001110110000",
-      b"100101001101000010",
-      b"100101001011010100",
-      b"100101001001100111",
-      b"100101000111111001",
-      b"100101000110001100",
-      b"100101000100100000",
-      b"100101000010110011",
-      b"100101000001000111",
-      b"100100111111011011",
-      b"100100111101101111",
-      b"100100111100000100",
-      b"100100111010011001",
-      b"100100111000101110",
-      b"100100110111000011",
-      b"100100110101011001",
-      b"100100110011101110",
-      b"100100110010000101",
-      b"100100110000011011",
-      b"100100101110110010",
-      b"100100101101001001",
-      b"100100101011100000",
-      b"100100101001110111",
-      b"100100101000001111",
-      b"100100100110100111",
-      b"100100100100111111",
-      b"100100100011011000",
-      b"100100100001110000",
-      b"100100100000001001",
-      b"100100011110100011",
-      b"100100011100111100",
-      b"100100011011010110",
-      b"100100011001110000",
-      b"100100011000001011",
-      b"100100010110100101",
-      b"100100010101000000",
-      b"100100010011011011",
-      b"100100010001110111",
-      b"100100010000010010",
-      b"100100001110101110",
-      b"100100001101001011",
-      b"100100001011100111",
-      b"100100001010000100",
-      b"100100001000100001",
-      b"100100000110111110",
-      b"100100000101011100",
-      b"100100000011111010",
-      b"100100000010011000",
-      b"100100000000110110",
-      b"100011111111010101",
-      b"100011111101110100",
-      b"100011111100010011",
-      b"100011111010110010",
-      b"100011111001010010",
-      b"100011110111110010",
-      b"100011110110010010",
-      b"100011110100110011",
-      b"100011110011010100",
-      b"100011110001110101",
-      b"100011110000010110",
-      b"100011101110111000",
-      b"100011101101011010",
-      b"100011101011111100",
-      b"100011101010011110",
-      b"100011101001000001",
-      b"100011100111100100",
-      b"100011100110000111",
-      b"100011100100101011",
-      b"100011100011001111",
-      b"100011100001110011",
-      b"100011100000010111",
-      b"100011011110111100",
-      b"100011011101100001",
-      b"100011011100000110",
-      b"100011011010101011",
-      b"100011011001010001",
-      b"100011010111110111",
-      b"100011010110011101",
-      b"100011010101000100",
-      b"100011010011101011",
-      b"100011010010010010",
-      b"100011010000111001",
-      b"100011001111100001",
-      b"100011001110001001",
-      b"100011001100110001",
-      b"100011001011011010",
-      b"100011001010000010",
-      b"100011001000101011",
-      b"100011000111010101",
-      b"100011000101111110",
-      b"100011000100101000",
-      b"100011000011010010",
-      b"100011000001111101",
-      b"100011000000101000",
-      b"100010111111010011",
-      b"100010111101111110",
-      b"100010111100101001",
-      b"100010111011010101",
-      b"100010111010000001",
-      b"100010111000101110",
-      b"100010110111011011",
-      b"100010110110001000",
-      b"100010110100110101",
-      b"100010110011100010",
-      b"100010110010010000",
-      b"100010110000111110",
-      b"100010101111101101",
-      b"100010101110011011",
-      b"100010101101001010",
-      b"100010101011111001",
-      b"100010101010101001",
-      b"100010101001011001",
-      b"100010101000001001",
-      b"100010100110111001",
-      b"100010100101101010",
-      b"100010100100011011",
-      b"100010100011001100",
-      b"100010100001111101",
-      b"100010100000101111",
-      b"100010011111100001",
-      b"100010011110010100",
-      b"100010011101000110",
-      b"100010011011111001",
-      b"100010011010101100",
-      b"100010011001100000",
-      b"100010011000010100",
-      b"100010010111001000",
-      b"100010010101111100",
-      b"100010010100110001",
-      b"100010010011100110",
-      b"100010010010011011",
-      b"100010010001010000",
-      b"100010010000000110",
-      b"100010001110111100",
-      b"100010001101110010",
-      b"100010001100101001",
-      b"100010001011100000",
-      b"100010001010010111",
-      b"100010001001001111",
-      b"100010001000000110",
-      b"100010000110111111",
-      b"100010000101110111",
-      b"100010000100110000",
-      b"100010000011101000",
-      b"100010000010100010",
-      b"100010000001011011",
-      b"100010000000010101",
-      b"100001111111001111",
-      b"100001111110001010",
-      b"100001111101000100",
-      b"100001111011111111",
-      b"100001111010111010",
-      b"100001111001110110",
-      b"100001111000110010",
-      b"100001110111101110",
-      b"100001110110101010",
-      b"100001110101100111",
-      b"100001110100100100",
-      b"100001110011100001",
-      b"100001110010011111",
-      b"100001110001011101",
-      b"100001110000011011",
-      b"100001101111011001",
-      b"100001101110011000",
-      b"100001101101010111",
-      b"100001101100010110",
-      b"100001101011010110",
-      b"100001101010010110",
-      b"100001101001010110",
-      b"100001101000010111",
-      b"100001100111010111",
-      b"100001100110011000",
-      b"100001100101011010",
-      b"100001100100011100",
-      b"100001100011011101",
-      b"100001100010100000",
-      b"100001100001100010",
-      b"100001100000100101",
-      b"100001011111101000",
-      b"100001011110101100",
-      b"100001011101101111",
-      b"100001011100110011",
-      b"100001011011111000",
-      b"100001011010111100",
-      b"100001011010000001",
-      b"100001011001000110",
-      b"100001011000001100",
-      b"100001010111010010",
-      b"100001010110011000",
-      b"100001010101011110",
-      b"100001010100100101",
-      b"100001010011101100",
-      b"100001010010110011",
-      b"100001010001111011",
-      b"100001010001000010",
-      b"100001010000001011",
-      b"100001001111010011",
-      b"100001001110011100",
-      b"100001001101100101",
-      b"100001001100101110",
-      b"100001001011111000",
-      b"100001001011000010",
-      b"100001001010001100",
-      b"100001001001010110",
-      b"100001001000100001",
-      b"100001000111101100",
-      b"100001000110111000",
-      b"100001000110000011",
-      b"100001000101010000",
-      b"100001000100011100",
-      b"100001000011101000",
-      b"100001000010110101",
-      b"100001000010000011",
-      b"100001000001010000",
-      b"100001000000011110",
-      b"100000111111101100",
-      b"100000111110111010",
-      b"100000111110001001",
-      b"100000111101011000",
-      b"100000111100100111",
-      b"100000111011110111",
-      b"100000111011000111",
-      b"100000111010010111",
-      b"100000111001101000",
-      b"100000111000111000",
-      b"100000111000001001",
-      b"100000110111011011",
-      b"100000110110101100",
-      b"100000110101111110",
-      b"100000110101010001",
-      b"100000110100100011",
-      b"100000110011110110",
-      b"100000110011001001",
-      b"100000110010011101",
-      b"100000110001110001",
-      b"100000110001000101",
-      b"100000110000011001",
-      b"100000101111101110",
-      b"100000101111000011",
-      b"100000101110011000",
-      b"100000101101101110",
-      b"100000101101000100",
-      b"100000101100011010",
-      b"100000101011110000",
-      b"100000101011000111",
-      b"100000101010011110",
-      b"100000101001110110",
-      b"100000101001001110",
-      b"100000101000100110",
-      b"100000100111111110",
-      b"100000100111010110",
-      b"100000100110101111",
-      b"100000100110001001",
-      b"100000100101100010",
-      b"100000100100111100",
-      b"100000100100010110",
-      b"100000100011110001",
-      b"100000100011001011",
-      b"100000100010100110",
-      b"100000100010000010",
-      b"100000100001011101",
-      b"100000100000111001",
-      b"100000100000010110",
-      b"100000011111110010",
-      b"100000011111001111",
-      b"100000011110101100",
-      b"100000011110001010",
-      b"100000011101100111",
-      b"100000011101000110",
-      b"100000011100100100",
-      b"100000011100000011",
-      b"100000011011100010",
-      b"100000011011000001",
-      b"100000011010100001",
-      b"100000011010000000",
-      b"100000011001100001",
-      b"100000011001000001",
-      b"100000011000100010",
-      b"100000011000000011",
-      b"100000010111100101",
-      b"100000010111000110",
-      b"100000010110101000",
-      b"100000010110001011",
-      b"100000010101101101",
-      b"100000010101010000",
-      b"100000010100110100",
-      b"100000010100010111",
-      b"100000010011111011",
-      b"100000010011011111",
-      b"100000010011000100",
-      b"100000010010101000",
-      b"100000010010001101",
-      b"100000010001110011",
-      b"100000010001011001",
-      b"100000010000111111",
-      b"100000010000100101",
-      b"100000010000001100",
-      b"100000001111110010",
-      b"100000001111011010",
-      b"100000001111000001",
-      b"100000001110101001",
-      b"100000001110010001",
-      b"100000001101111010",
-      b"100000001101100010",
-      b"100000001101001100",
-      b"100000001100110101",
-      b"100000001100011111",
-      b"100000001100001001",
-      b"100000001011110011",
-      b"100000001011011110",
-      b"100000001011001000",
-      b"100000001010110100",
-      b"100000001010011111",
-      b"100000001010001011",
-      b"100000001001110111",
-      b"100000001001100100",
-      b"100000001001010000",
-      b"100000001000111101",
-      b"100000001000101011",
-      b"100000001000011000",
-      b"100000001000000110",
-      b"100000000111110101",
-      b"100000000111100011",
-      b"100000000111010010",
-      b"100000000111000001",
-      b"100000000110110001",
-      b"100000000110100001",
-      b"100000000110010001",
-      b"100000000110000001",
-      b"100000000101110010",
-      b"100000000101100011",
-      b"100000000101010101",
-      b"100000000101000110",
-      b"100000000100111000",
-      b"100000000100101010",
-      b"100000000100011101",
-      b"100000000100010000",
-      b"100000000100000011",
-      b"100000000011110111",
-      b"100000000011101010",
-      b"100000000011011111",
-      b"100000000011010011",
-      b"100000000011001000",
-      b"100000000010111101",
-      b"100000000010110010",
-      b"100000000010101000",
-      b"100000000010011110",
-      b"100000000010010100",
-      b"100000000010001011",
-      b"100000000010000010",
-      b"100000000001111001",
-      b"100000000001110000",
-      b"100000000001101000",
-      b"100000000001100000",
-      b"100000000001011001",
-      b"100000000001010010",
-      b"100000000001001011",
-      b"100000000001000100",
-      b"100000000000111110",
-      b"100000000000111000",
-      b"100000000000110010",
-      b"100000000000101101",
-      b"100000000000100111",
-      b"100000000000100011",
-      b"100000000000011110",
-      b"100000000000011010",
-      b"100000000000010110",
-      b"100000000000010011",
-      b"100000000000001111",
-      b"100000000000001100",
-      b"100000000000001010",
-      b"100000000000001000",
-      b"100000000000000110",
-      b"100000000000000100",
-      b"100000000000000010",
-      b"100000000000000001",
-      b"100000000000000001",
-    b"100000000000000000"
+      b"01111111111111111111",
+      b"01111111111111111110",
+      b"01111111111111111100",
+      b"01111111111111111001",
+      b"01111111111111110101",
+      b"01111111111111101111",
+      b"01111111111111101000",
+      b"01111111111111100000",
+      b"01111111111111010111",
+      b"01111111111111001101",
+      b"01111111111111000001",
+      b"01111111111110110100",
+      b"01111111111110100110",
+      b"01111111111110010110",
+      b"01111111111110000110",
+      b"01111111111101110100",
+      b"01111111111101100001",
+      b"01111111111101001100",
+      b"01111111111100110111",
+      b"01111111111100100000",
+      b"01111111111100001000",
+      b"01111111111011101110",
+      b"01111111111011010100",
+      b"01111111111010111000",
+      b"01111111111010011011",
+      b"01111111111001111101",
+      b"01111111111001011110",
+      b"01111111111000111101",
+      b"01111111111000011011",
+      b"01111111110111111000",
+      b"01111111110111010011",
+      b"01111111110110101110",
+      b"01111111110110000111",
+      b"01111111110101011111",
+      b"01111111110100110110",
+      b"01111111110100001011",
+      b"01111111110011011111",
+      b"01111111110010110010",
+      b"01111111110010000100",
+      b"01111111110001010101",
+      b"01111111110000100100",
+      b"01111111101111110010",
+      b"01111111101110111111",
+      b"01111111101110001010",
+      b"01111111101101010101",
+      b"01111111101100011110",
+      b"01111111101011100110",
+      b"01111111101010101100",
+      b"01111111101001110010",
+      b"01111111101000110110",
+      b"01111111100111111001",
+      b"01111111100110111011",
+      b"01111111100101111011",
+      b"01111111100100111011",
+      b"01111111100011111001",
+      b"01111111100010110110",
+      b"01111111100001110001",
+      b"01111111100000101100",
+      b"01111111011111100101",
+      b"01111111011110011101",
+      b"01111111011101010011",
+      b"01111111011100001001",
+      b"01111111011010111101",
+      b"01111111011001110000",
+      b"01111111011000100010",
+      b"01111111010111010010",
+      b"01111111010110000010",
+      b"01111111010100110000",
+      b"01111111010011011101",
+      b"01111111010010001000",
+      b"01111111010000110011",
+      b"01111111001111011100",
+      b"01111111001110000100",
+      b"01111111001100101011",
+      b"01111111001011010000",
+      b"01111111001001110101",
+      b"01111111001000011000",
+      b"01111111000110111001",
+      b"01111111000101011010",
+      b"01111111000011111001",
+      b"01111111000010011000",
+      b"01111111000000110101",
+      b"01111110111111010000",
+      b"01111110111101101011",
+      b"01111110111100000100",
+      b"01111110111010011100",
+      b"01111110111000110011",
+      b"01111110110111001000",
+      b"01111110110101011101",
+      b"01111110110011110000",
+      b"01111110110010000010",
+      b"01111110110000010011",
+      b"01111110101110100010",
+      b"01111110101100110000",
+      b"01111110101010111101",
+      b"01111110101001001001",
+      b"01111110100111010100",
+      b"01111110100101011101",
+      b"01111110100011100101",
+      b"01111110100001101100",
+      b"01111110011111110010",
+      b"01111110011101110111",
+      b"01111110011011111010",
+      b"01111110011001111100",
+      b"01111110010111111101",
+      b"01111110010101111100",
+      b"01111110010011111011",
+      b"01111110010001111000",
+      b"01111110001111110100",
+      b"01111110001101101111",
+      b"01111110001011101000",
+      b"01111110001001100001",
+      b"01111110000111011000",
+      b"01111110000101001110",
+      b"01111110000011000010",
+      b"01111110000000110110",
+      b"01111101111110101000",
+      b"01111101111100011001",
+      b"01111101111010001001",
+      b"01111101110111111000",
+      b"01111101110101100101",
+      b"01111101110011010001",
+      b"01111101110000111100",
+      b"01111101101110100110",
+      b"01111101101100001110",
+      b"01111101101001110110",
+      b"01111101100111011100",
+      b"01111101100101000001",
+      b"01111101100010100100",
+      b"01111101100000000111",
+      b"01111101011101101000",
+      b"01111101011011001000",
+      b"01111101011000100111",
+      b"01111101010110000101",
+      b"01111101010011100001",
+      b"01111101010000111101",
+      b"01111101001110010111",
+      b"01111101001011101111",
+      b"01111101001001000111",
+      b"01111101000110011101",
+      b"01111101000011110011",
+      b"01111101000001000111",
+      b"01111100111110011001",
+      b"01111100111011101011",
+      b"01111100111000111011",
+      b"01111100110110001011",
+      b"01111100110011011001",
+      b"01111100110000100101",
+      b"01111100101101110001",
+      b"01111100101010111011",
+      b"01111100101000000101",
+      b"01111100100101001100",
+      b"01111100100010010011",
+      b"01111100011111011001",
+      b"01111100011100011101",
+      b"01111100011001100000",
+      b"01111100010110100010",
+      b"01111100010011100011",
+      b"01111100010000100011",
+      b"01111100001101100001",
+      b"01111100001010011110",
+      b"01111100000111011010",
+      b"01111100000100010101",
+      b"01111100000001001111",
+      b"01111011111110000111",
+      b"01111011111010111110",
+      b"01111011110111110100",
+      b"01111011110100101001",
+      b"01111011110001011101",
+      b"01111011101110001111",
+      b"01111011101011000000",
+      b"01111011100111110000",
+      b"01111011100100011111",
+      b"01111011100001001101",
+      b"01111011011101111001",
+      b"01111011011010100101",
+      b"01111011010111001111",
+      b"01111011010011111000",
+      b"01111011010000011111",
+      b"01111011001101000110",
+      b"01111011001001101011",
+      b"01111011000110001111",
+      b"01111011000010110010",
+      b"01111010111111010100",
+      b"01111010111011110101",
+      b"01111010111000010100",
+      b"01111010110100110010",
+      b"01111010110001001111",
+      b"01111010101101101011",
+      b"01111010101010000110",
+      b"01111010100110011111",
+      b"01111010100010111000",
+      b"01111010011111001111",
+      b"01111010011011100101",
+      b"01111010010111111010",
+      b"01111010010100001101",
+      b"01111010010000100000",
+      b"01111010001100110001",
+      b"01111010001001000001",
+      b"01111010000101010000",
+      b"01111010000001011101",
+      b"01111001111101101010",
+      b"01111001111001110101",
+      b"01111001110110000000",
+      b"01111001110010001001",
+      b"01111001101110010000",
+      b"01111001101010010111",
+      b"01111001100110011100",
+      b"01111001100010100001",
+      b"01111001011110100100",
+      b"01111001011010100110",
+      b"01111001010110100111",
+      b"01111001010010100110",
+      b"01111001001110100101",
+      b"01111001001010100010",
+      b"01111001000110011110",
+      b"01111001000010011001",
+      b"01111000111110010011",
+      b"01111000111010001100",
+      b"01111000110110000011",
+      b"01111000110001111001",
+      b"01111000101101101110",
+      b"01111000101001100010",
+      b"01111000100101010101",
+      b"01111000100001000111",
+      b"01111000011100110111",
+      b"01111000011000100111",
+      b"01111000010100010101",
+      b"01111000010000000010",
+      b"01111000001011101110",
+      b"01111000000111011000",
+      b"01111000000011000010",
+      b"01110111111110101010",
+      b"01110111111010010001",
+      b"01110111110101110111",
+      b"01110111110001011100",
+      b"01110111101101000000",
+      b"01110111101000100011",
+      b"01110111100100000100",
+      b"01110111011111100100",
+      b"01110111011011000011",
+      b"01110111010110100001",
+      b"01110111010001111110",
+      b"01110111001101011010",
+      b"01110111001000110101",
+      b"01110111000100001110",
+      b"01110110111111100110",
+      b"01110110111010111101",
+      b"01110110110110010011",
+      b"01110110110001101000",
+      b"01110110101100111100",
+      b"01110110101000001110",
+      b"01110110100011011111",
+      b"01110110011110110000",
+      b"01110110011001111111",
+      b"01110110010101001101",
+      b"01110110010000011010",
+      b"01110110001011100101",
+      b"01110110000110110000",
+      b"01110110000001111001",
+      b"01110101111101000001",
+      b"01110101111000001000",
+      b"01110101110011001110",
+      b"01110101101110010011",
+      b"01110101101001010111",
+      b"01110101100100011010",
+      b"01110101011111011011",
+      b"01110101011010011011",
+      b"01110101010101011010",
+      b"01110101010000011000",
+      b"01110101001011010101",
+      b"01110101000110010001",
+      b"01110101000001001100",
+      b"01110100111100000101",
+      b"01110100110110111110",
+      b"01110100110001110101",
+      b"01110100101100101011",
+      b"01110100100111100000",
+      b"01110100100010010100",
+      b"01110100011101000111",
+      b"01110100010111111000",
+      b"01110100010010101001",
+      b"01110100001101011000",
+      b"01110100001000000111",
+      b"01110100000010110100",
+      b"01110011111101100000",
+      b"01110011111000001011",
+      b"01110011110010110101",
+      b"01110011101101011101",
+      b"01110011101000000101",
+      b"01110011100010101011",
+      b"01110011011101010001",
+      b"01110011010111110101",
+      b"01110011010010011000",
+      b"01110011001100111010",
+      b"01110011000111011011",
+      b"01110011000001111011",
+      b"01110010111100011010",
+      b"01110010110110110111",
+      b"01110010110001010100",
+      b"01110010101011101111",
+      b"01110010100110001001",
+      b"01110010100000100010",
+      b"01110010011010111010",
+      b"01110010010101010001",
+      b"01110010001111100111",
+      b"01110010001001111100",
+      b"01110010000100010000",
+      b"01110001111110100010",
+      b"01110001111000110100",
+      b"01110001110011000100",
+      b"01110001101101010011",
+      b"01110001100111100001",
+      b"01110001100001101110",
+      b"01110001011011111010",
+      b"01110001010110000101",
+      b"01110001010000001111",
+      b"01110001001010011000",
+      b"01110001000100011111",
+      b"01110000111110100110",
+      b"01110000111000101011",
+      b"01110000110010110000",
+      b"01110000101100110011",
+      b"01110000100110110101",
+      b"01110000100000110110",
+      b"01110000011010110110",
+      b"01110000010100110101",
+      b"01110000001110110011",
+      b"01110000001000110000",
+      b"01110000000010101011",
+      b"01101111111100100110",
+      b"01101111110110011111",
+      b"01101111110000011000",
+      b"01101111101010001111",
+      b"01101111100100000101",
+      b"01101111011101111011",
+      b"01101111010111101111",
+      b"01101111010001100010",
+      b"01101111001011010100",
+      b"01101111000101000101",
+      b"01101110111110110101",
+      b"01101110111000100011",
+      b"01101110110010010001",
+      b"01101110101011111110",
+      b"01101110100101101001",
+      b"01101110011111010100",
+      b"01101110011000111101",
+      b"01101110010010100110",
+      b"01101110001100001101",
+      b"01101110000101110011",
+      b"01101101111111011000",
+      b"01101101111000111100",
+      b"01101101110010011111",
+      b"01101101101100000001",
+      b"01101101100101100010",
+      b"01101101011111000010",
+      b"01101101011000100001",
+      b"01101101010001111111",
+      b"01101101001011011100",
+      b"01101101000100110111",
+      b"01101100111110010010",
+      b"01101100110111101100",
+      b"01101100110001000100",
+      b"01101100101010011100",
+      b"01101100100011110010",
+      b"01101100011101000111",
+      b"01101100010110011100",
+      b"01101100001111101111",
+      b"01101100001001000001",
+      b"01101100000010010010",
+      b"01101011111011100011",
+      b"01101011110100110010",
+      b"01101011101110000000",
+      b"01101011100111001101",
+      b"01101011100000011001",
+      b"01101011011001100100",
+      b"01101011010010101110",
+      b"01101011001011110111",
+      b"01101011000100111111",
+      b"01101010111110000101",
+      b"01101010110111001011",
+      b"01101010110000010000",
+      b"01101010101001010100",
+      b"01101010100010010111",
+      b"01101010011011011000",
+      b"01101010010100011001",
+      b"01101010001101011001",
+      b"01101010000110010111",
+      b"01101001111111010101",
+      b"01101001111000010001",
+      b"01101001110001001101",
+      b"01101001101010000111",
+      b"01101001100011000001",
+      b"01101001011011111001",
+      b"01101001010100110001",
+      b"01101001001101100111",
+      b"01101001000110011101",
+      b"01101000111111010001",
+      b"01101000111000000101",
+      b"01101000110000110111",
+      b"01101000101001101001",
+      b"01101000100010011001",
+      b"01101000011011001000",
+      b"01101000010011110111",
+      b"01101000001100100100",
+      b"01101000000101010001",
+      b"01100111111101111100",
+      b"01100111110110100110",
+      b"01100111101111010000",
+      b"01100111100111111000",
+      b"01100111100000011111",
+      b"01100111011001000110",
+      b"01100111010001101011",
+      b"01100111001010010000",
+      b"01100111000010110011",
+      b"01100110111011010101",
+      b"01100110110011110111",
+      b"01100110101100010111",
+      b"01100110100100110111",
+      b"01100110011101010101",
+      b"01100110010101110010",
+      b"01100110001110001111",
+      b"01100110000110101010",
+      b"01100101111111000101",
+      b"01100101110111011110",
+      b"01100101101111110111",
+      b"01100101101000001111",
+      b"01100101100000100101",
+      b"01100101011000111011",
+      b"01100101010001001111",
+      b"01100101001001100011",
+      b"01100101000001110110",
+      b"01100100111010000111",
+      b"01100100110010011000",
+      b"01100100101010101000",
+      b"01100100100010110111",
+      b"01100100011011000100",
+      b"01100100010011010001",
+      b"01100100001011011101",
+      b"01100100000011101000",
+      b"01100011111011110010",
+      b"01100011110011111011",
+      b"01100011101100000011",
+      b"01100011100100001010",
+      b"01100011011100010000",
+      b"01100011010100010101",
+      b"01100011001100011001",
+      b"01100011000100011101",
+      b"01100010111100011111",
+      b"01100010110100100000",
+      b"01100010101100100001",
+      b"01100010100100100000",
+      b"01100010011100011110",
+      b"01100010010100011100",
+      b"01100010001100011000",
+      b"01100010000100010100",
+      b"01100001111100001111",
+      b"01100001110100001000",
+      b"01100001101100000001",
+      b"01100001100011111001",
+      b"01100001011011110000",
+      b"01100001010011100110",
+      b"01100001001011011011",
+      b"01100001000011001111",
+      b"01100000111011000010",
+      b"01100000110010110100",
+      b"01100000101010100110",
+      b"01100000100010010110",
+      b"01100000011010000110",
+      b"01100000010001110100",
+      b"01100000001001100010",
+      b"01100000000001001110",
+      b"01011111111000111010",
+      b"01011111110000100101",
+      b"01011111101000001111",
+      b"01011111011111111000",
+      b"01011111010111100000",
+      b"01011111001111000111",
+      b"01011111000110101101",
+      b"01011110111110010010",
+      b"01011110110101110111",
+      b"01011110101101011010",
+      b"01011110100100111101",
+      b"01011110011100011110",
+      b"01011110010011111111",
+      b"01011110001011011111",
+      b"01011110000010111110",
+      b"01011101111010011100",
+      b"01011101110001111001",
+      b"01011101101001010101",
+      b"01011101100000110000",
+      b"01011101011000001010",
+      b"01011101001111100100",
+      b"01011101000110111100",
+      b"01011100111110010100",
+      b"01011100110101101011",
+      b"01011100101101000001",
+      b"01011100100100010110",
+      b"01011100011011101010",
+      b"01011100010010111101",
+      b"01011100001010001111",
+      b"01011100000001100001",
+      b"01011011111000110001",
+      b"01011011110000000001",
+      b"01011011100111010000",
+      b"01011011011110011110",
+      b"01011011010101101011",
+      b"01011011001100110111",
+      b"01011011000100000010",
+      b"01011010111011001101",
+      b"01011010110010010110",
+      b"01011010101001011111",
+      b"01011010100000100110",
+      b"01011010010111101101",
+      b"01011010001110110011",
+      b"01011010000101111000",
+      b"01011001111100111101",
+      b"01011001110100000000",
+      b"01011001101011000011",
+      b"01011001100010000100",
+      b"01011001011001000101",
+      b"01011001010000000101",
+      b"01011001000111000100",
+      b"01011000111110000010",
+      b"01011000110101000000",
+      b"01011000101011111100",
+      b"01011000100010111000",
+      b"01011000011001110011",
+      b"01011000010000101101",
+      b"01011000000111100110",
+      b"01010111111110011110",
+      b"01010111110101010101",
+      b"01010111101100001100",
+      b"01010111100011000010",
+      b"01010111011001110111",
+      b"01010111010000101011",
+      b"01010111000111011110",
+      b"01010110111110010000",
+      b"01010110110101000010",
+      b"01010110101011110010",
+      b"01010110100010100010",
+      b"01010110011001010001",
+      b"01010110001111111111",
+      b"01010110000110101101",
+      b"01010101111101011001",
+      b"01010101110100000101",
+      b"01010101101010110000",
+      b"01010101100001011010",
+      b"01010101011000000011",
+      b"01010101001110101011",
+      b"01010101000101010011",
+      b"01010100111011111010",
+      b"01010100110010011111",
+      b"01010100101001000101",
+      b"01010100011111101001",
+      b"01010100010110001100",
+      b"01010100001100101111",
+      b"01010100000011010001",
+      b"01010011111001110010",
+      b"01010011110000010010",
+      b"01010011100110110010",
+      b"01010011011101010000",
+      b"01010011010011101110",
+      b"01010011001010001011",
+      b"01010011000000100111",
+      b"01010010110111000011",
+      b"01010010101101011101",
+      b"01010010100011110111",
+      b"01010010011010010000",
+      b"01010010010000101000",
+      b"01010010000111000000",
+      b"01010001111101010110",
+      b"01010001110011101100",
+      b"01010001101010000001",
+      b"01010001100000010101",
+      b"01010001010110101001",
+      b"01010001001100111100",
+      b"01010001000011001110",
+      b"01010000111001011111",
+      b"01010000101111101111",
+      b"01010000100101111111",
+      b"01010000011100001101",
+      b"01010000010010011011",
+      b"01010000001000101001",
+      b"01001111111110110101",
+      b"01001111110101000001",
+      b"01001111101011001100",
+      b"01001111100001010110",
+      b"01001111010111011111",
+      b"01001111001101101000",
+      b"01001111000011110000",
+      b"01001110111001110111",
+      b"01001110101111111101",
+      b"01001110100110000011",
+      b"01001110011100001000",
+      b"01001110010010001100",
+      b"01001110001000001111",
+      b"01001101111110010010",
+      b"01001101110100010100",
+      b"01001101101010010101",
+      b"01001101100000010101",
+      b"01001101010110010101",
+      b"01001101001100010011",
+      b"01001101000010010010",
+      b"01001100111000001111",
+      b"01001100101110001100",
+      b"01001100100100000111",
+      b"01001100011010000011",
+      b"01001100001111111101",
+      b"01001100000101110111",
+      b"01001011111011101111",
+      b"01001011110001101000",
+      b"01001011100111011111",
+      b"01001011011101010110",
+      b"01001011010011001100",
+      b"01001011001001000001",
+      b"01001010111110110110",
+      b"01001010110100101010",
+      b"01001010101010011101",
+      b"01001010100000001111",
+      b"01001010010110000001",
+      b"01001010001011110010",
+      b"01001010000001100010",
+      b"01001001110111010001",
+      b"01001001101101000000",
+      b"01001001100010101110",
+      b"01001001011000011100",
+      b"01001001001110001000",
+      b"01001001000011110100",
+      b"01001000111001100000",
+      b"01001000101111001010",
+      b"01001000100100110100",
+      b"01001000011010011101",
+      b"01001000010000000110",
+      b"01001000000101101110",
+      b"01000111111011010101",
+      b"01000111110000111011",
+      b"01000111100110100001",
+      b"01000111011100000110",
+      b"01000111010001101010",
+      b"01000111000111001110",
+      b"01000110111100110001",
+      b"01000110110010010011",
+      b"01000110100111110101",
+      b"01000110011101010101",
+      b"01000110010010110110",
+      b"01000110001000010101",
+      b"01000101111101110100",
+      b"01000101110011010010",
+      b"01000101101000110000",
+      b"01000101011110001101",
+      b"01000101010011101001",
+      b"01000101001001000100",
+      b"01000100111110011111",
+      b"01000100110011111001",
+      b"01000100101001010011",
+      b"01000100011110101100",
+      b"01000100010100000100",
+      b"01000100001001011100",
+      b"01000011111110110010",
+      b"01000011110100001001",
+      b"01000011101001011110",
+      b"01000011011110110011",
+      b"01000011010100000111",
+      b"01000011001001011011",
+      b"01000010111110101110",
+      b"01000010110100000000",
+      b"01000010101001010010",
+      b"01000010011110100011",
+      b"01000010010011110011",
+      b"01000010001001000011",
+      b"01000001111110010010",
+      b"01000001110011100001",
+      b"01000001101000101111",
+      b"01000001011101111100",
+      b"01000001010011001001",
+      b"01000001001000010101",
+      b"01000000111101100000",
+      b"01000000110010101011",
+      b"01000000100111110101",
+      b"01000000011100111110",
+      b"01000000010010000111",
+      b"01000000000111001111",
+      b"00111111111100010111",
+      b"00111111110001011110",
+      b"00111111100110100100",
+      b"00111111011011101010",
+      b"00111111010000101111",
+      b"00111111000101110100",
+      b"00111110111010111000",
+      b"00111110101111111011",
+      b"00111110100100111110",
+      b"00111110011010000000",
+      b"00111110001111000001",
+      b"00111110000100000010",
+      b"00111101111001000010",
+      b"00111101101110000010",
+      b"00111101100011000001",
+      b"00111101011000000000",
+      b"00111101001100111110",
+      b"00111101000001111011",
+      b"00111100110110111000",
+      b"00111100101011110100",
+      b"00111100100000110000",
+      b"00111100010101101011",
+      b"00111100001010100101",
+      b"00111011111111011111",
+      b"00111011110100011000",
+      b"00111011101001010001",
+      b"00111011011110001001",
+      b"00111011010011000001",
+      b"00111011000111111000",
+      b"00111010111100101110",
+      b"00111010110001100100",
+      b"00111010100110011001",
+      b"00111010011011001110",
+      b"00111010010000000010",
+      b"00111010000100110101",
+      b"00111001111001101001",
+      b"00111001101110011011",
+      b"00111001100011001101",
+      b"00111001010111111110",
+      b"00111001001100101111",
+      b"00111001000001011111",
+      b"00111000110110001111",
+      b"00111000101010111110",
+      b"00111000011111101101",
+      b"00111000010100011011",
+      b"00111000001001001000",
+      b"00110111111101110101",
+      b"00110111110010100010",
+      b"00110111100111001110",
+      b"00110111011011111001",
+      b"00110111010000100100",
+      b"00110111000101001110",
+      b"00110110111001111000",
+      b"00110110101110100001",
+      b"00110110100011001010",
+      b"00110110010111110010",
+      b"00110110001100011010",
+      b"00110110000001000001",
+      b"00110101110101100111",
+      b"00110101101010001101",
+      b"00110101011110110011",
+      b"00110101010011011000",
+      b"00110101000111111101",
+      b"00110100111100100001",
+      b"00110100110001000100",
+      b"00110100100101100111",
+      b"00110100011010001010",
+      b"00110100001110101100",
+      b"00110100000011001101",
+      b"00110011110111101110",
+      b"00110011101100001111",
+      b"00110011100000101111",
+      b"00110011010101001110",
+      b"00110011001001101101",
+      b"00110010111110001100",
+      b"00110010110010101010",
+      b"00110010100111000111",
+      b"00110010011011100100",
+      b"00110010010000000001",
+      b"00110010000100011101",
+      b"00110001111000111001",
+      b"00110001101101010100",
+      b"00110001100001101110",
+      b"00110001010110001001",
+      b"00110001001010100010",
+      b"00110000111110111011",
+      b"00110000110011010100",
+      b"00110000100111101100",
+      b"00110000011100000100",
+      b"00110000010000011100",
+      b"00110000000100110010",
+      b"00101111111001001001",
+      b"00101111101101011111",
+      b"00101111100001110100",
+      b"00101111010110001001",
+      b"00101111001010011110",
+      b"00101110111110110010",
+      b"00101110110011000110",
+      b"00101110100111011001",
+      b"00101110011011101100",
+      b"00101110001111111110",
+      b"00101110000100010000",
+      b"00101101111000100001",
+      b"00101101101100110010",
+      b"00101101100001000011",
+      b"00101101010101010011",
+      b"00101101001001100010",
+      b"00101100111101110010",
+      b"00101100110010000001",
+      b"00101100100110001111",
+      b"00101100011010011101",
+      b"00101100001110101010",
+      b"00101100000010110111",
+      b"00101011110111000100",
+      b"00101011101011010000",
+      b"00101011011111011100",
+      b"00101011010011100111",
+      b"00101011000111110010",
+      b"00101010111011111101",
+      b"00101010110000000111",
+      b"00101010100100010001",
+      b"00101010011000011010",
+      b"00101010001100100011",
+      b"00101010000000101100",
+      b"00101001110100110100",
+      b"00101001101000111011",
+      b"00101001011101000011",
+      b"00101001010001001010",
+      b"00101001000101010000",
+      b"00101000111001010110",
+      b"00101000101101011100",
+      b"00101000100001100001",
+      b"00101000010101100110",
+      b"00101000001001101011",
+      b"00100111111101101111",
+      b"00100111110001110011",
+      b"00100111100101110110",
+      b"00100111011001111001",
+      b"00100111001101111100",
+      b"00100111000001111110",
+      b"00100110110110000000",
+      b"00100110101010000001",
+      b"00100110011110000010",
+      b"00100110010010000011",
+      b"00100110000110000100",
+      b"00100101111010000100",
+      b"00100101101110000011",
+      b"00100101100010000010",
+      b"00100101010110000001",
+      b"00100101001010000000",
+      b"00100100111101111110",
+      b"00100100110001111100",
+      b"00100100100101111010",
+      b"00100100011001110111",
+      b"00100100001101110011",
+      b"00100100000001110000",
+      b"00100011110101101100",
+      b"00100011101001101000",
+      b"00100011011101100011",
+      b"00100011010001011110",
+      b"00100011000101011001",
+      b"00100010111001010011",
+      b"00100010101101001101",
+      b"00100010100001000111",
+      b"00100010010101000000",
+      b"00100010001000111010",
+      b"00100001111100110010",
+      b"00100001110000101011",
+      b"00100001100100100011",
+      b"00100001011000011010",
+      b"00100001001100010010",
+      b"00100001000000001001",
+      b"00100000110100000000",
+      b"00100000100111110110",
+      b"00100000011011101100",
+      b"00100000001111100010",
+      b"00100000000011011000",
+      b"00011111110111001101",
+      b"00011111101011000010",
+      b"00011111011110110111",
+      b"00011111010010101011",
+      b"00011111000110011111",
+      b"00011110111010010011",
+      b"00011110101110000110",
+      b"00011110100001111001",
+      b"00011110010101101100",
+      b"00011110001001011110",
+      b"00011101111101010001",
+      b"00011101110001000011",
+      b"00011101100100110100",
+      b"00011101011000100110",
+      b"00011101001100010111",
+      b"00011101000000001000",
+      b"00011100110011111000",
+      b"00011100100111101000",
+      b"00011100011011011000",
+      b"00011100001111001000",
+      b"00011100000010110111",
+      b"00011011110110100111",
+      b"00011011101010010101",
+      b"00011011011110000100",
+      b"00011011010001110010",
+      b"00011011000101100001",
+      b"00011010111001001110",
+      b"00011010101100111100",
+      b"00011010100000101001",
+      b"00011010010100010110",
+      b"00011010001000000011",
+      b"00011001111011110000",
+      b"00011001101111011100",
+      b"00011001100011001000",
+      b"00011001010110110100",
+      b"00011001001010011111",
+      b"00011000111110001011",
+      b"00011000110001110110",
+      b"00011000100101100001",
+      b"00011000011001001011",
+      b"00011000001100110110",
+      b"00011000000000100000",
+      b"00010111110100001010",
+      b"00010111100111110011",
+      b"00010111011011011101",
+      b"00010111001111000110",
+      b"00010111000010101111",
+      b"00010110110110011000",
+      b"00010110101010000001",
+      b"00010110011101101001",
+      b"00010110010001010001",
+      b"00010110000100111001",
+      b"00010101111000100001",
+      b"00010101101100001000",
+      b"00010101011111101111",
+      b"00010101010011010110",
+      b"00010101000110111101",
+      b"00010100111010100100",
+      b"00010100101110001010",
+      b"00010100100001110001",
+      b"00010100010101010111",
+      b"00010100001000111101",
+      b"00010011111100100010",
+      b"00010011110000001000",
+      b"00010011100011101101",
+      b"00010011010111010010",
+      b"00010011001010110111",
+      b"00010010111110011100",
+      b"00010010110010000000",
+      b"00010010100101100101",
+      b"00010010011001001001",
+      b"00010010001100101101",
+      b"00010010000000010001",
+      b"00010001110011110100",
+      b"00010001100111011000",
+      b"00010001011010111011",
+      b"00010001001110011110",
+      b"00010001000010000001",
+      b"00010000110101100100",
+      b"00010000101001000111",
+      b"00010000011100101001",
+      b"00010000010000001100",
+      b"00010000000011101110",
+      b"00001111110111010000",
+      b"00001111101010110010",
+      b"00001111011110010100",
+      b"00001111010001110101",
+      b"00001111000101010111",
+      b"00001110111000111000",
+      b"00001110101100011001",
+      b"00001110011111111010",
+      b"00001110010011011011",
+      b"00001110000110111100",
+      b"00001101111010011100",
+      b"00001101101101111101",
+      b"00001101100001011101",
+      b"00001101010100111101",
+      b"00001101001000011101",
+      b"00001100111011111101",
+      b"00001100101111011101",
+      b"00001100100010111101",
+      b"00001100010110011100",
+      b"00001100001001111100",
+      b"00001011111101011011",
+      b"00001011110000111010",
+      b"00001011100100011001",
+      b"00001011010111111000",
+      b"00001011001011010111",
+      b"00001010111110110110",
+      b"00001010110010010101",
+      b"00001010100101110011",
+      b"00001010011001010010",
+      b"00001010001100110000",
+      b"00001010000000001110",
+      b"00001001110011101100",
+      b"00001001100111001010",
+      b"00001001011010101000",
+      b"00001001001110000110",
+      b"00001001000001100100",
+      b"00001000110101000010",
+      b"00001000101000011111",
+      b"00001000011011111101",
+      b"00001000001111011010",
+      b"00001000000010111000",
+      b"00000111110110010101",
+      b"00000111101001110010",
+      b"00000111011101010000",
+      b"00000111010000101101",
+      b"00000111000100001010",
+      b"00000110110111100111",
+      b"00000110101011000011",
+      b"00000110011110100000",
+      b"00000110010001111101",
+      b"00000110000101011010",
+      b"00000101111000110110",
+      b"00000101101100010011",
+      b"00000101011111101111",
+      b"00000101010011001100",
+      b"00000101000110101000",
+      b"00000100111010000101",
+      b"00000100101101100001",
+      b"00000100100000111101",
+      b"00000100010100011010",
+      b"00000100000111110110",
+      b"00000011111011010010",
+      b"00000011101110101110",
+      b"00000011100010001010",
+      b"00000011010101100110",
+      b"00000011001001000010",
+      b"00000010111100011110",
+      b"00000010101111111010",
+      b"00000010100011010110",
+      b"00000010010110110010",
+      b"00000010001010001110",
+      b"00000001111101101010",
+      b"00000001110001000101",
+      b"00000001100100100001",
+      b"00000001010111111101",
+      b"00000001001011011001",
+      b"00000000111110110101",
+      b"00000000110010010000",
+      b"00000000100101101100",
+      b"00000000011001001000",
+      b"00000000001100100100",
+      b"11111111111111111111",
+      b"11111111110011011011",
+      b"11111111100110110111",
+      b"11111111011010010010",
+      b"11111111001101101110",
+      b"11111111000001001010",
+      b"11111110110100100110",
+      b"11111110101000000001",
+      b"11111110011011011101",
+      b"11111110001110111001",
+      b"11111110000010010101",
+      b"11111101110101110001",
+      b"11111101101001001101",
+      b"11111101011100101000",
+      b"11111101010000000100",
+      b"11111101000011100000",
+      b"11111100110110111100",
+      b"11111100101010011000",
+      b"11111100011101110100",
+      b"11111100010001010000",
+      b"11111100000100101101",
+      b"11111011111000001001",
+      b"11111011101011100101",
+      b"11111011011111000001",
+      b"11111011010010011101",
+      b"11111011000101111010",
+      b"11111010111001010110",
+      b"11111010101100110011",
+      b"11111010100000001111",
+      b"11111010010011101100",
+      b"11111010000111001000",
+      b"11111001111010100101",
+      b"11111001101110000001",
+      b"11111001100001011110",
+      b"11111001010100111011",
+      b"11111001001000011000",
+      b"11111000111011110101",
+      b"11111000101111010010",
+      b"11111000100010101111",
+      b"11111000010110001100",
+      b"11111000001001101001",
+      b"11110111111101000111",
+      b"11110111110000100100",
+      b"11110111100100000001",
+      b"11110111010111011111",
+      b"11110111001010111101",
+      b"11110110111110011010",
+      b"11110110110001111000",
+      b"11110110100101010110",
+      b"11110110011000110100",
+      b"11110110001100010010",
+      b"11110101111111110000",
+      b"11110101110011001111",
+      b"11110101100110101101",
+      b"11110101011010001011",
+      b"11110101001101101010",
+      b"11110101000001001001",
+      b"11110100110100100111",
+      b"11110100101000000110",
+      b"11110100011011100101",
+      b"11110100001111000100",
+      b"11110100000010100100",
+      b"11110011110110000011",
+      b"11110011101001100010",
+      b"11110011011101000010",
+      b"11110011010000100010",
+      b"11110011000100000001",
+      b"11110010110111100001",
+      b"11110010101011000001",
+      b"11110010011110100010",
+      b"11110010010010000010",
+      b"11110010000101100010",
+      b"11110001111001000011",
+      b"11110001101100100100",
+      b"11110001100000000101",
+      b"11110001010011100110",
+      b"11110001000111000111",
+      b"11110000111010101000",
+      b"11110000101110001001",
+      b"11110000100001101011",
+      b"11110000010101001101",
+      b"11110000001000101111",
+      b"11101111111100010001",
+      b"11101111101111110011",
+      b"11101111100011010101",
+      b"11101111010110111000",
+      b"11101111001010011010",
+      b"11101110111101111101",
+      b"11101110110001100000",
+      b"11101110100101000011",
+      b"11101110011000100111",
+      b"11101110001100001010",
+      b"11101101111111101110",
+      b"11101101110011010010",
+      b"11101101100110110110",
+      b"11101101011010011010",
+      b"11101101001101111110",
+      b"11101101000001100011",
+      b"11101100110101000111",
+      b"11101100101000101100",
+      b"11101100011100010001",
+      b"11101100001111110111",
+      b"11101100000011011100",
+      b"11101011110111000010",
+      b"11101011101010101000",
+      b"11101011011110001110",
+      b"11101011010001110100",
+      b"11101011000101011011",
+      b"11101010111001000001",
+      b"11101010101100101000",
+      b"11101010100000001111",
+      b"11101010010011110110",
+      b"11101010000111011110",
+      b"11101001111011000110",
+      b"11101001101110101110",
+      b"11101001100010010110",
+      b"11101001010101111110",
+      b"11101001001001100111",
+      b"11101000111101001111",
+      b"11101000110000111000",
+      b"11101000100100100010",
+      b"11101000011000001011",
+      b"11101000001011110101",
+      b"11100111111111011111",
+      b"11100111110011001001",
+      b"11100111100110110011",
+      b"11100111011010011110",
+      b"11100111001110001001",
+      b"11100111000001110100",
+      b"11100110110101011111",
+      b"11100110101001001011",
+      b"11100110011100110110",
+      b"11100110010000100010",
+      b"11100110000100001111",
+      b"11100101110111111011",
+      b"11100101101011101000",
+      b"11100101011111010101",
+      b"11100101010011000011",
+      b"11100101000110110000",
+      b"11100100111010011110",
+      b"11100100101110001100",
+      b"11100100100001111010",
+      b"11100100010101101001",
+      b"11100100001001011000",
+      b"11100011111101000111",
+      b"11100011110000110110",
+      b"11100011100100100110",
+      b"11100011011000010110",
+      b"11100011001100000110",
+      b"11100010111111110111",
+      b"11100010110011101000",
+      b"11100010100111011001",
+      b"11100010011011001010",
+      b"11100010001110111100",
+      b"11100010000010101110",
+      b"11100001110110100000",
+      b"11100001101010010011",
+      b"11100001011110000101",
+      b"11100001010001111001",
+      b"11100001000101101100",
+      b"11100000111001100000",
+      b"11100000101101010100",
+      b"11100000100001001000",
+      b"11100000010100111101",
+      b"11100000001000110001",
+      b"11011111111100100111",
+      b"11011111110000011100",
+      b"11011111100100010010",
+      b"11011111011000001000",
+      b"11011111001011111111",
+      b"11011110111111110110",
+      b"11011110110011101101",
+      b"11011110100111100100",
+      b"11011110011011011100",
+      b"11011110001111010100",
+      b"11011110000011001100",
+      b"11011101110111000101",
+      b"11011101101010111110",
+      b"11011101011110110111",
+      b"11011101010010110001",
+      b"11011101000110101011",
+      b"11011100111010100110",
+      b"11011100101110100000",
+      b"11011100100010011011",
+      b"11011100010110010111",
+      b"11011100001010010010",
+      b"11011011111110001111",
+      b"11011011110010001011",
+      b"11011011100110001000",
+      b"11011011011010000101",
+      b"11011011001110000010",
+      b"11011011000010000000",
+      b"11011010110101111111",
+      b"11011010101001111101",
+      b"11011010011101111100",
+      b"11011010010001111011",
+      b"11011010000101111011",
+      b"11011001111001111011",
+      b"11011001101101111011",
+      b"11011001100001111100",
+      b"11011001010101111101",
+      b"11011001001001111111",
+      b"11011000111110000001",
+      b"11011000110010000011",
+      b"11011000100110000101",
+      b"11011000011010001000",
+      b"11011000001110001100",
+      b"11011000000010010000",
+      b"11010111110110010100",
+      b"11010111101010011000",
+      b"11010111011110011101",
+      b"11010111010010100011",
+      b"11010111000110101000",
+      b"11010110111010101110",
+      b"11010110101110110101",
+      b"11010110100010111100",
+      b"11010110010111000011",
+      b"11010110001011001011",
+      b"11010101111111010011",
+      b"11010101110011011011",
+      b"11010101100111100100",
+      b"11010101011011101110",
+      b"11010101001111110111",
+      b"11010101000100000001",
+      b"11010100111000001100",
+      b"11010100101100010111",
+      b"11010100100000100010",
+      b"11010100010100101110",
+      b"11010100001000111010",
+      b"11010011111101000111",
+      b"11010011110001010100",
+      b"11010011100101100010",
+      b"11010011011001110000",
+      b"11010011001101111110",
+      b"11010011000010001101",
+      b"11010010110110011100",
+      b"11010010101010101100",
+      b"11010010011110111100",
+      b"11010010010011001100",
+      b"11010010000111011101",
+      b"11010001111011101111",
+      b"11010001110000000001",
+      b"11010001100100010011",
+      b"11010001011000100110",
+      b"11010001001100111001",
+      b"11010001000001001101",
+      b"11010000110101100001",
+      b"11010000101001110101",
+      b"11010000011110001010",
+      b"11010000010010100000",
+      b"11010000000110110110",
+      b"11001111111011001100",
+      b"11001111101111100011",
+      b"11001111100011111010",
+      b"11001111011000010010",
+      b"11001111001100101010",
+      b"11001111000001000011",
+      b"11001110110101011100",
+      b"11001110101001110110",
+      b"11001110011110010000",
+      b"11001110010010101011",
+      b"11001110000111000110",
+      b"11001101111011100001",
+      b"11001101101111111110",
+      b"11001101100100011010",
+      b"11001101011000110111",
+      b"11001101001101010101",
+      b"11001101000001110011",
+      b"11001100110110010001",
+      b"11001100101010110000",
+      b"11001100011111010000",
+      b"11001100010011110000",
+      b"11001100001000010000",
+      b"11001011111100110001",
+      b"11001011110001010011",
+      b"11001011100101110101",
+      b"11001011011010010111",
+      b"11001011001110111010",
+      b"11001011000011011110",
+      b"11001010111000000010",
+      b"11001010101100100110",
+      b"11001010100001001011",
+      b"11001010010101110001",
+      b"11001010001010010111",
+      b"11001001111110111110",
+      b"11001001110011100101",
+      b"11001001101000001101",
+      b"11001001011100110101",
+      b"11001001010001011101",
+      b"11001001000110000111",
+      b"11001000111010110000",
+      b"11001000101111011011",
+      b"11001000100100000110",
+      b"11001000011000110001",
+      b"11001000001101011101",
+      b"11001000000010001001",
+      b"11000111110110110110",
+      b"11000111101011100100",
+      b"11000111100000010010",
+      b"11000111010101000000",
+      b"11000111001001110000",
+      b"11000110111110011111",
+      b"11000110110011001111",
+      b"11000110101000000000",
+      b"11000110011100110010",
+      b"11000110010001100100",
+      b"11000110000110010110",
+      b"11000101111011001001",
+      b"11000101101111111101",
+      b"11000101100100110001",
+      b"11000101011001100101",
+      b"11000101001110011011",
+      b"11000101000011010001",
+      b"11000100111000000111",
+      b"11000100101100111110",
+      b"11000100100001110101",
+      b"11000100010110101110",
+      b"11000100001011100110",
+      b"11000100000000100000",
+      b"11000011110101011001",
+      b"11000011101010010100",
+      b"11000011011111001111",
+      b"11000011010100001010",
+      b"11000011001001000111",
+      b"11000010111110000011",
+      b"11000010110011000001",
+      b"11000010100111111111",
+      b"11000010011100111101",
+      b"11000010010001111100",
+      b"11000010000110111100",
+      b"11000001111011111100",
+      b"11000001110000111101",
+      b"11000001100101111111",
+      b"11000001011011000001",
+      b"11000001010000000100",
+      b"11000001000101000111",
+      b"11000000111010001011",
+      b"11000000101111001111",
+      b"11000000100100010101",
+      b"11000000011001011010",
+      b"11000000001110100001",
+      b"11000000000011101000",
+      b"10111111111000101111",
+      b"10111111101101111000",
+      b"10111111100011000000",
+      b"10111111011000001010",
+      b"10111111001101010100",
+      b"10111111000010011111",
+      b"10111110110111101010",
+      b"10111110101100110110",
+      b"10111110100010000011",
+      b"10111110010111010000",
+      b"10111110001100011110",
+      b"10111110000001101100",
+      b"10111101110110111011",
+      b"10111101101100001011",
+      b"10111101100001011011",
+      b"10111101010110101100",
+      b"10111101001011111110",
+      b"10111101000001010000",
+      b"10111100110110100011",
+      b"10111100101011110111",
+      b"10111100100001001011",
+      b"10111100010110100000",
+      b"10111100001011110110",
+      b"10111100000001001100",
+      b"10111011110110100011",
+      b"10111011101011111011",
+      b"10111011100001010011",
+      b"10111011010110101100",
+      b"10111011001100000101",
+      b"10111011000001011111",
+      b"10111010110110111010",
+      b"10111010101100010110",
+      b"10111010100001110010",
+      b"10111010010111001111",
+      b"10111010001100101100",
+      b"10111010000010001010",
+      b"10111001110111101001",
+      b"10111001101101001001",
+      b"10111001100010101001",
+      b"10111001011000001010",
+      b"10111001001101101100",
+      b"10111001000011001110",
+      b"10111000111000110001",
+      b"10111000101110010100",
+      b"10111000100011111001",
+      b"10111000011001011110",
+      b"10111000001111000011",
+      b"10111000000100101010",
+      b"10110111111010010001",
+      b"10110111101111111001",
+      b"10110111100101100001",
+      b"10110111011011001010",
+      b"10110111010000110100",
+      b"10110111000110011111",
+      b"10110110111100001010",
+      b"10110110110001110110",
+      b"10110110100111100011",
+      b"10110110011101010000",
+      b"10110110010010111110",
+      b"10110110001000101101",
+      b"10110101111110011101",
+      b"10110101110100001101",
+      b"10110101101001111110",
+      b"10110101011111101111",
+      b"10110101010101100010",
+      b"10110101001011010101",
+      b"10110101000001001001",
+      b"10110100110110111101",
+      b"10110100101100110011",
+      b"10110100100010101001",
+      b"10110100011000011111",
+      b"10110100001110010111",
+      b"10110100000100001111",
+      b"10110011111010001000",
+      b"10110011110000000010",
+      b"10110011100101111100",
+      b"10110011011011110111",
+      b"10110011010001110011",
+      b"10110011000111110000",
+      b"10110010111101101101",
+      b"10110010110011101011",
+      b"10110010101001101010",
+      b"10110010011111101001",
+      b"10110010010101101010",
+      b"10110010001011101011",
+      b"10110010000001101101",
+      b"10110001110111101111",
+      b"10110001101101110011",
+      b"10110001100011110111",
+      b"10110001011001111011",
+      b"10110001010000000001",
+      b"10110001000110000111",
+      b"10110000111100001111",
+      b"10110000110010010110",
+      b"10110000101000011111",
+      b"10110000011110101000",
+      b"10110000010100110011",
+      b"10110000001010111110",
+      b"10110000000001001001",
+      b"10101111110111010110",
+      b"10101111101101100011",
+      b"10101111100011110001",
+      b"10101111011010000000",
+      b"10101111010000001111",
+      b"10101111000110100000",
+      b"10101110111100110001",
+      b"10101110110011000011",
+      b"10101110101001010110",
+      b"10101110011111101001",
+      b"10101110010101111101",
+      b"10101110001100010010",
+      b"10101110000010101000",
+      b"10101101111000111111",
+      b"10101101101111010110",
+      b"10101101100101101110",
+      b"10101101011100001000",
+      b"10101101010010100001",
+      b"10101101001000111100",
+      b"10101100111111010111",
+      b"10101100110101110100",
+      b"10101100101100010001",
+      b"10101100100010101110",
+      b"10101100011001001101",
+      b"10101100001111101100",
+      b"10101100000110001101",
+      b"10101011111100101110",
+      b"10101011110011010000",
+      b"10101011101001110010",
+      b"10101011100000010110",
+      b"10101011010110111010",
+      b"10101011001101011111",
+      b"10101011000100000101",
+      b"10101010111010101100",
+      b"10101010110001010011",
+      b"10101010100111111100",
+      b"10101010011110100101",
+      b"10101010010101001111",
+      b"10101010001011111010",
+      b"10101010000010100101",
+      b"10101001111001010010",
+      b"10101001101111111111",
+      b"10101001100110101101",
+      b"10101001011101011100",
+      b"10101001010100001100",
+      b"10101001001010111101",
+      b"10101001000001101110",
+      b"10101000111000100001",
+      b"10101000101111010100",
+      b"10101000100110001000",
+      b"10101000011100111101",
+      b"10101000010011110011",
+      b"10101000001010101001",
+      b"10101000000001100001",
+      b"10100111111000011001",
+      b"10100111101111010010",
+      b"10100111100110001100",
+      b"10100111011101000111",
+      b"10100111010100000010",
+      b"10100111001010111111",
+      b"10100111000001111100",
+      b"10100110111000111010",
+      b"10100110101111111001",
+      b"10100110100110111001",
+      b"10100110011101111010",
+      b"10100110010100111100",
+      b"10100110001011111110",
+      b"10100110000011000010",
+      b"10100101111010000110",
+      b"10100101110001001011",
+      b"10100101101000010001",
+      b"10100101011111011000",
+      b"10100101010110100000",
+      b"10100101001101101000",
+      b"10100101000100110010",
+      b"10100100111011111100",
+      b"10100100110011001000",
+      b"10100100101010010100",
+      b"10100100100001100001",
+      b"10100100011000101111",
+      b"10100100001111111101",
+      b"10100100000111001101",
+      b"10100011111110011110",
+      b"10100011110101101111",
+      b"10100011101101000001",
+      b"10100011100100010101",
+      b"10100011011011101001",
+      b"10100011010010111110",
+      b"10100011001010010100",
+      b"10100011000001101010",
+      b"10100010111001000010",
+      b"10100010110000011011",
+      b"10100010100111110100",
+      b"10100010011111001110",
+      b"10100010010110101010",
+      b"10100010001110000110",
+      b"10100010000101100011",
+      b"10100001111101000001",
+      b"10100001110100100000",
+      b"10100001101100000000",
+      b"10100001100011100000",
+      b"10100001011011000010",
+      b"10100001010010100101",
+      b"10100001001010001000",
+      b"10100001000001101100",
+      b"10100000111001010010",
+      b"10100000110000111000",
+      b"10100000101000011111",
+      b"10100000100000000111",
+      b"10100000010111110000",
+      b"10100000001111011010",
+      b"10100000000111000101",
+      b"10011111111110110000",
+      b"10011111110110011101",
+      b"10011111101110001010",
+      b"10011111100101111001",
+      b"10011111011101101000",
+      b"10011111010101011001",
+      b"10011111001101001010",
+      b"10011111000100111100",
+      b"10011110111100101111",
+      b"10011110110100100011",
+      b"10011110101100011001",
+      b"10011110100100001110",
+      b"10011110011100000101",
+      b"10011110010011111101",
+      b"10011110001011110110",
+      b"10011110000011110000",
+      b"10011101111011101010",
+      b"10011101110011100110",
+      b"10011101101011100011",
+      b"10011101100011100000",
+      b"10011101011011011111",
+      b"10011101010011011110",
+      b"10011101001011011110",
+      b"10011101000011100000",
+      b"10011100111011100010",
+      b"10011100110011100101",
+      b"10011100101011101001",
+      b"10011100100011101110",
+      b"10011100011011110101",
+      b"10011100010011111100",
+      b"10011100001100000100",
+      b"10011100000100001101",
+      b"10011011111100010111",
+      b"10011011110100100001",
+      b"10011011101100101101",
+      b"10011011100100111010",
+      b"10011011011101001000",
+      b"10011011010101010111",
+      b"10011011001101100111",
+      b"10011011000101110111",
+      b"10011010111110001001",
+      b"10011010110110011100",
+      b"10011010101110101111",
+      b"10011010100111000100",
+      b"10011010011111011001",
+      b"10011010010111110000",
+      b"10011010010000001000",
+      b"10011010001000100000",
+      b"10011010000000111010",
+      b"10011001111001010100",
+      b"10011001110001110000",
+      b"10011001101010001100",
+      b"10011001100010101001",
+      b"10011001011011001000",
+      b"10011001010011100111",
+      b"10011001001100001000",
+      b"10011001000100101001",
+      b"10011000111101001100",
+      b"10011000110101101111",
+      b"10011000101110010011",
+      b"10011000100110111001",
+      b"10011000011111011111",
+      b"10011000011000000110",
+      b"10011000010000101111",
+      b"10011000001001011000",
+      b"10011000000010000011",
+      b"10010111111010101110",
+      b"10010111110011011010",
+      b"10010111101100001000",
+      b"10010111100100110110",
+      b"10010111011101100110",
+      b"10010111010110010110",
+      b"10010111001111000111",
+      b"10010111000111111010",
+      b"10010111000000101101",
+      b"10010110111001100010",
+      b"10010110110010010111",
+      b"10010110101011001110",
+      b"10010110100100000101",
+      b"10010110011100111110",
+      b"10010110010101110111",
+      b"10010110001110110010",
+      b"10010110000111101101",
+      b"10010110000000101010",
+      b"10010101111001100111",
+      b"10010101110010100110",
+      b"10010101101011100110",
+      b"10010101100100100110",
+      b"10010101011101101000",
+      b"10010101010110101011",
+      b"10010101001111101110",
+      b"10010101001000110011",
+      b"10010101000001111001",
+      b"10010100111011000000",
+      b"10010100110100001000",
+      b"10010100101101010001",
+      b"10010100100110011011",
+      b"10010100011111100110",
+      b"10010100011000110010",
+      b"10010100010001111111",
+      b"10010100001011001101",
+      b"10010100000100011100",
+      b"10010011111101101100",
+      b"10010011110110111101",
+      b"10010011110000010000",
+      b"10010011101001100011",
+      b"10010011100010110111",
+      b"10010011011100001101",
+      b"10010011010101100011",
+      b"10010011001110111010",
+      b"10010011001000010011",
+      b"10010011000001101101",
+      b"10010010111011000111",
+      b"10010010110100100011",
+      b"10010010101110000000",
+      b"10010010100111011101",
+      b"10010010100000111100",
+      b"10010010011010011100",
+      b"10010010010011111101",
+      b"10010010001101011111",
+      b"10010010000111000010",
+      b"10010010000000100110",
+      b"10010001111010001011",
+      b"10010001110011110010",
+      b"10010001101101011001",
+      b"10010001100111000001",
+      b"10010001100000101011",
+      b"10010001011010010101",
+      b"10010001010100000001",
+      b"10010001001101101101",
+      b"10010001000111011011",
+      b"10010001000001001010",
+      b"10010000111010111010",
+      b"10010000110100101011",
+      b"10010000101110011101",
+      b"10010000101000010000",
+      b"10010000100010000100",
+      b"10010000011011111001",
+      b"10010000010101101111",
+      b"10010000001111100111",
+      b"10010000001001011111",
+      b"10010000000011011001",
+      b"10001111111101010011",
+      b"10001111110111001111",
+      b"10001111110001001100",
+      b"10001111101011001001",
+      b"10001111100101001000",
+      b"10001111011111001000",
+      b"10001111011001001001",
+      b"10001111010011001100",
+      b"10001111001101001111",
+      b"10001111000111010011",
+      b"10001111000001011001",
+      b"10001110111011011111",
+      b"10001110110101100111",
+      b"10001110101111101111",
+      b"10001110101001111001",
+      b"10001110100100000100",
+      b"10001110011110010000",
+      b"10001110011000011101",
+      b"10001110010010101011",
+      b"10001110001100111011",
+      b"10001110000111001011",
+      b"10001110000001011100",
+      b"10001101111011101111",
+      b"10001101110110000011",
+      b"10001101110000010111",
+      b"10001101101010101101",
+      b"10001101100101000100",
+      b"10001101011111011100",
+      b"10001101011001110101",
+      b"10001101010100010000",
+      b"10001101001110101011",
+      b"10001101001001000111",
+      b"10001101000011100101",
+      b"10001100111110000100",
+      b"10001100111000100011",
+      b"10001100110011000100",
+      b"10001100101101100110",
+      b"10001100101000001010",
+      b"10001100100010101110",
+      b"10001100011101010011",
+      b"10001100010111111010",
+      b"10001100010010100001",
+      b"10001100001101001010",
+      b"10001100000111110100",
+      b"10001100000010011111",
+      b"10001011111101001011",
+      b"10001011110111111000",
+      b"10001011110010100110",
+      b"10001011101101010110",
+      b"10001011101000000110",
+      b"10001011100010111000",
+      b"10001011011101101010",
+      b"10001011011000011110",
+      b"10001011010011010011",
+      b"10001011001110001010",
+      b"10001011001001000001",
+      b"10001011000011111001",
+      b"10001010111110110011",
+      b"10001010111001101101",
+      b"10001010110100101001",
+      b"10001010101111100110",
+      b"10001010101010100100",
+      b"10001010100101100011",
+      b"10001010100000100100",
+      b"10001010011011100101",
+      b"10001010010110101000",
+      b"10001010010001101011",
+      b"10001010001100110000",
+      b"10001010000111110110",
+      b"10001010000010111101",
+      b"10001001111110000101",
+      b"10001001111001001111",
+      b"10001001110100011001",
+      b"10001001101111100101",
+      b"10001001101010110010",
+      b"10001001100110000000",
+      b"10001001100001001111",
+      b"10001001011100011111",
+      b"10001001010111110000",
+      b"10001001010011000011",
+      b"10001001001110010111",
+      b"10001001001001101011",
+      b"10001001000101000001",
+      b"10001001000000011000",
+      b"10001000111011110001",
+      b"10001000110111001010",
+      b"10001000110010100101",
+      b"10001000101110000000",
+      b"10001000101001011101",
+      b"10001000100100111011",
+      b"10001000100000011010",
+      b"10001000011011111010",
+      b"10001000010111011100",
+      b"10001000010010111110",
+      b"10001000001110100010",
+      b"10001000001010000111",
+      b"10001000000101101101",
+      b"10001000000001010100",
+      b"10000111111100111101",
+      b"10000111111000100110",
+      b"10000111110100010001",
+      b"10000111101111111101",
+      b"10000111101011101010",
+      b"10000111100111011000",
+      b"10000111100011000111",
+      b"10000111011110111000",
+      b"10000111011010101001",
+      b"10000111010110011100",
+      b"10000111010010010000",
+      b"10000111001110000101",
+      b"10000111001001111100",
+      b"10000111000101110011",
+      b"10000111000001101100",
+      b"10000110111101100101",
+      b"10000110111001100000",
+      b"10000110110101011100",
+      b"10000110110001011010",
+      b"10000110101101011000",
+      b"10000110101001011000",
+      b"10000110100101011001",
+      b"10000110100001011011",
+      b"10000110011101011110",
+      b"10000110011001100010",
+      b"10000110010101101000",
+      b"10000110010001101110",
+      b"10000110001101110110",
+      b"10000110001001111111",
+      b"10000110000110001001",
+      b"10000110000010010101",
+      b"10000101111110100001",
+      b"10000101111010101111",
+      b"10000101110110111110",
+      b"10000101110011001110",
+      b"10000101101111011111",
+      b"10000101101011110001",
+      b"10000101101000000101",
+      b"10000101100100011010",
+      b"10000101100000110000",
+      b"10000101011101000111",
+      b"10000101011001011111",
+      b"10000101010101111001",
+      b"10000101010010010011",
+      b"10000101001110101111",
+      b"10000101001011001100",
+      b"10000101000111101010",
+      b"10000101000100001010",
+      b"10000101000000101010",
+      b"10000100111101001100",
+      b"10000100111001101111",
+      b"10000100110110010011",
+      b"10000100110010111001",
+      b"10000100101111011111",
+      b"10000100101100000111",
+      b"10000100101000110000",
+      b"10000100100101011010",
+      b"10000100100010000101",
+      b"10000100011110110010",
+      b"10000100011011011111",
+      b"10000100011000001110",
+      b"10000100010100111110",
+      b"10000100010001101111",
+      b"10000100001110100010",
+      b"10000100001011010101",
+      b"10000100001000001010",
+      b"10000100000101000000",
+      b"10000100000001110111",
+      b"10000011111110110000",
+      b"10000011111011101001",
+      b"10000011111000100100",
+      b"10000011110101100000",
+      b"10000011110010011101",
+      b"10000011101111011100",
+      b"10000011101100011011",
+      b"10000011101001011100",
+      b"10000011100110011110",
+      b"10000011100011100001",
+      b"10000011100000100110",
+      b"10000011011101101011",
+      b"10000011011010110010",
+      b"10000011010111111010",
+      b"10000011010101000011",
+      b"10000011010010001110",
+      b"10000011001111011001",
+      b"10000011001100100110",
+      b"10000011001001110100",
+      b"10000011000111000011",
+      b"10000011000100010011",
+      b"10000011000001100101",
+      b"10000010111110111000",
+      b"10000010111100001100",
+      b"10000010111001100001",
+      b"10000010110110110111",
+      b"10000010110100001111",
+      b"10000010110001101000",
+      b"10000010101111000010",
+      b"10000010101100011101",
+      b"10000010101001111010",
+      b"10000010100111010111",
+      b"10000010100100110110",
+      b"10000010100010010110",
+      b"10000010011111111000",
+      b"10000010011101011010",
+      b"10000010011010111110",
+      b"10000010011000100011",
+      b"10000010010110001001",
+      b"10000010010011110000",
+      b"10000010010001011001",
+      b"10000010001111000010",
+      b"10000010001100101101",
+      b"10000010001010011010",
+      b"10000010001000000111",
+      b"10000010000101110110",
+      b"10000010000011100101",
+      b"10000010000001010110",
+      b"10000001111111001001",
+      b"10000001111100111100",
+      b"10000001111010110001",
+      b"10000001111000100111",
+      b"10000001110110011110",
+      b"10000001110100010110",
+      b"10000001110010010000",
+      b"10000001110000001010",
+      b"10000001101110000110",
+      b"10000001101100000100",
+      b"10000001101010000010",
+      b"10000001101000000010",
+      b"10000001100110000011",
+      b"10000001100100000101",
+      b"10000001100010001000",
+      b"10000001100000001100",
+      b"10000001011110010010",
+      b"10000001011100011001",
+      b"10000001011010100001",
+      b"10000001011000101011",
+      b"10000001010110110101",
+      b"10000001010101000001",
+      b"10000001010011001110",
+      b"10000001010001011100",
+      b"10000001001111101100",
+      b"10000001001101111101",
+      b"10000001001100001110",
+      b"10000001001010100010",
+      b"10000001001000110110",
+      b"10000001000111001100",
+      b"10000001000101100010",
+      b"10000001000011111010",
+      b"10000001000010010100",
+      b"10000001000000101110",
+      b"10000000111111001010",
+      b"10000000111101100111",
+      b"10000000111100000101",
+      b"10000000111010100100",
+      b"10000000111001000101",
+      b"10000000110111100111",
+      b"10000000110110001010",
+      b"10000000110100101110",
+      b"10000000110011010100",
+      b"10000000110001111010",
+      b"10000000110000100010",
+      b"10000000101111001100",
+      b"10000000101101110110",
+      b"10000000101100100010",
+      b"10000000101011001111",
+      b"10000000101001111101",
+      b"10000000101000101100",
+      b"10000000100111011101",
+      b"10000000100110001110",
+      b"10000000100101000001",
+      b"10000000100011110110",
+      b"10000000100010101011",
+      b"10000000100001100010",
+      b"10000000100000011010",
+      b"10000000011111010011",
+      b"10000000011110001101",
+      b"10000000011101001001",
+      b"10000000011100000110",
+      b"10000000011011000100",
+      b"10000000011010000011",
+      b"10000000011001000100",
+      b"10000000011000000101",
+      b"10000000010111001000",
+      b"10000000010110001101",
+      b"10000000010101010010",
+      b"10000000010100011001",
+      b"10000000010011100001",
+      b"10000000010010101010",
+      b"10000000010001110100",
+      b"10000000010001000000",
+      b"10000000010000001101",
+      b"10000000001111011011",
+      b"10000000001110101010",
+      b"10000000001101111010",
+      b"10000000001101001100",
+      b"10000000001100011111",
+      b"10000000001011110011",
+      b"10000000001011001001",
+      b"10000000001010100000",
+      b"10000000001001111000",
+      b"10000000001001010001",
+      b"10000000001000101011",
+      b"10000000001000000111",
+      b"10000000000111100100",
+      b"10000000000111000010",
+      b"10000000000110100001",
+      b"10000000000110000001",
+      b"10000000000101100011",
+      b"10000000000101000110",
+      b"10000000000100101011",
+      b"10000000000100010000",
+      b"10000000000011110111",
+      b"10000000000011011111",
+      b"10000000000011001000",
+      b"10000000000010110010",
+      b"10000000000010011110",
+      b"10000000000010001011",
+      b"10000000000001111001",
+      b"10000000000001101000",
+      b"10000000000001011001",
+      b"10000000000001001011",
+      b"10000000000000111110",
+      b"10000000000000110010",
+      b"10000000000000100111",
+      b"10000000000000011110",
+      b"10000000000000010110",
+      b"10000000000000001111",
+      b"10000000000000001010",
+      b"10000000000000000110",
+      b"10000000000000000010",
+    b"10000000000000000001"
 	 ); 
 
    constant wIm: wRowTyp := 
   ( 
-      b"000000000000000000",
-      b"111111111100110110",
-      b"111111111001101101",
-      b"111111110110100100",
-      b"111111110011011011",
-      b"111111110000010010",
-      b"111111101101001001",
-      b"111111101010000000",
-      b"111111100110110111",
-      b"111111100011101110",
-      b"111111100000100100",
-      b"111111011101011011",
-      b"111111011010010010",
-      b"111111010111001001",
-      b"111111010100000000",
-      b"111111010000110111",
-      b"111111001101101110",
-      b"111111001010100101",
-      b"111111000111011100",
-      b"111111000100010011",
-      b"111111000001001010",
-      b"111110111110000001",
-      b"111110111010111001",
-      b"111110110111110000",
-      b"111110110100100111",
-      b"111110110001011110",
-      b"111110101110010101",
-      b"111110101011001100",
-      b"111110101000000011",
-      b"111110100100111010",
-      b"111110100001110001",
-      b"111110011110101000",
-      b"111110011011100000",
-      b"111110011000010111",
-      b"111110010101001110",
-      b"111110010010000101",
-      b"111110001110111101",
-      b"111110001011110100",
-      b"111110001000101011",
-      b"111110000101100010",
-      b"111110000010011010",
-      b"111101111111010001",
-      b"111101111100001000",
-      b"111101111001000000",
-      b"111101110101110111",
-      b"111101110010101110",
-      b"111101101111100110",
-      b"111101101100011101",
-      b"111101101001010101",
-      b"111101100110001100",
-      b"111101100011000100",
-      b"111101011111111011",
-      b"111101011100110011",
-      b"111101011001101011",
-      b"111101010110100010",
-      b"111101010011011010",
-      b"111101010000010001",
-      b"111101001101001001",
-      b"111101001010000001",
-      b"111101000110111001",
-      b"111101000011110000",
-      b"111101000000101000",
-      b"111100111101100000",
-      b"111100111010011000",
-      b"111100110111010000",
-      b"111100110100001000",
-      b"111100110001000000",
-      b"111100101101111000",
-      b"111100101010110000",
-      b"111100100111101000",
-      b"111100100100100000",
-      b"111100100001011000",
-      b"111100011110010000",
-      b"111100011011001000",
-      b"111100011000000000",
-      b"111100010100111001",
-      b"111100010001110001",
-      b"111100001110101001",
-      b"111100001011100010",
-      b"111100001000011010",
-      b"111100000101010011",
-      b"111100000010001011",
-      b"111011111111000011",
-      b"111011111011111100",
-      b"111011111000110101",
-      b"111011110101101101",
-      b"111011110010100110",
-      b"111011101111011111",
-      b"111011101100010111",
-      b"111011101001010000",
-      b"111011100110001001",
-      b"111011100011000010",
-      b"111011011111111011",
-      b"111011011100110100",
-      b"111011011001101101",
-      b"111011010110100110",
-      b"111011010011011111",
-      b"111011010000011000",
-      b"111011001101010001",
-      b"111011001010001010",
-      b"111011000111000100",
-      b"111011000011111101",
-      b"111011000000110110",
-      b"111010111101110000",
-      b"111010111010101001",
-      b"111010110111100011",
-      b"111010110100011100",
-      b"111010110001010110",
-      b"111010101110010000",
-      b"111010101011001001",
-      b"111010101000000011",
-      b"111010100100111101",
-      b"111010100001110111",
-      b"111010011110110001",
-      b"111010011011101011",
-      b"111010011000100101",
-      b"111010010101011111",
-      b"111010010010011001",
-      b"111010001111010011",
-      b"111010001100001101",
-      b"111010001001001000",
-      b"111010000110000010",
-      b"111010000010111101",
-      b"111001111111110111",
-      b"111001111100110010",
-      b"111001111001101100",
-      b"111001110110100111",
-      b"111001110011100010",
-      b"111001110000011100",
-      b"111001101101010111",
-      b"111001101010010010",
-      b"111001100111001101",
-      b"111001100100001000",
-      b"111001100001000011",
-      b"111001011101111110",
-      b"111001011010111001",
-      b"111001010111110101",
-      b"111001010100110000",
-      b"111001010001101011",
-      b"111001001110100111",
-      b"111001001011100010",
-      b"111001001000011110",
-      b"111001000101011010",
-      b"111001000010010101",
-      b"111000111111010001",
-      b"111000111100001101",
-      b"111000111001001001",
-      b"111000110110000101",
-      b"111000110011000001",
-      b"111000101111111101",
-      b"111000101100111001",
-      b"111000101001110110",
-      b"111000100110110010",
-      b"111000100011101110",
-      b"111000100000101011",
-      b"111000011101100111",
-      b"111000011010100100",
-      b"111000010111100001",
-      b"111000010100011110",
-      b"111000010001011010",
-      b"111000001110010111",
-      b"111000001011010100",
-      b"111000001000010001",
-      b"111000000101001111",
-      b"111000000010001100",
-      b"110111111111001001",
-      b"110111111100000111",
-      b"110111111001000100",
-      b"110111110110000010",
-      b"110111110010111111",
-      b"110111101111111101",
-      b"110111101100111011",
-      b"110111101001111000",
-      b"110111100110110110",
-      b"110111100011110100",
-      b"110111100000110011",
-      b"110111011101110001",
-      b"110111011010101111",
-      b"110111010111101101",
-      b"110111010100101100",
-      b"110111010001101010",
-      b"110111001110101001",
-      b"110111001011101000",
-      b"110111001000100110",
-      b"110111000101100101",
-      b"110111000010100100",
-      b"110110111111100011",
-      b"110110111100100010",
-      b"110110111001100001",
-      b"110110110110100001",
-      b"110110110011100000",
-      b"110110110000100000",
-      b"110110101101011111",
-      b"110110101010011111",
-      b"110110100111011110",
-      b"110110100100011110",
-      b"110110100001011110",
-      b"110110011110011110",
-      b"110110011011011110",
-      b"110110011000011110",
-      b"110110010101011111",
-      b"110110010010011111",
-      b"110110001111100000",
-      b"110110001100100000",
-      b"110110001001100001",
-      b"110110000110100010",
-      b"110110000011100010",
-      b"110110000000100011",
-      b"110101111101100100",
-      b"110101111010100110",
-      b"110101110111100111",
-      b"110101110100101000",
-      b"110101110001101010",
-      b"110101101110101011",
-      b"110101101011101101",
-      b"110101101000101110",
-      b"110101100101110000",
-      b"110101100010110010",
-      b"110101011111110100",
-      b"110101011100110110",
-      b"110101011001111001",
-      b"110101010110111011",
-      b"110101010011111101",
-      b"110101010001000000",
-      b"110101001110000011",
-      b"110101001011000101",
-      b"110101001000001000",
-      b"110101000101001011",
-      b"110101000010001110",
-      b"110100111111010001",
-      b"110100111100010101",
-      b"110100111001011000",
-      b"110100110110011011",
-      b"110100110011011111",
-      b"110100110000100011",
-      b"110100101101100111",
-      b"110100101010101010",
-      b"110100100111101110",
-      b"110100100100110011",
-      b"110100100001110111",
-      b"110100011110111011",
-      b"110100011100000000",
-      b"110100011001000100",
-      b"110100010110001001",
-      b"110100010011001110",
-      b"110100010000010011",
-      b"110100001101011000",
-      b"110100001010011101",
-      b"110100000111100010",
-      b"110100000100100111",
-      b"110100000001101101",
-      b"110011111110110011",
-      b"110011111011111000",
-      b"110011111000111110",
-      b"110011110110000100",
-      b"110011110011001010",
-      b"110011110000010000",
-      b"110011101101010111",
-      b"110011101010011101",
-      b"110011100111100100",
-      b"110011100100101010",
-      b"110011100001110001",
-      b"110011011110111000",
-      b"110011011011111111",
-      b"110011011001000110",
-      b"110011010110001101",
-      b"110011010011010101",
-      b"110011010000011100",
-      b"110011001101100100",
-      b"110011001010101100",
-      b"110011000111110011",
-      b"110011000100111011",
-      b"110011000010000100",
-      b"110010111111001100",
-      b"110010111100010100",
-      b"110010111001011101",
-      b"110010110110100101",
-      b"110010110011101110",
-      b"110010110000110111",
-      b"110010101110000000",
-      b"110010101011001001",
-      b"110010101000010010",
-      b"110010100101011100",
-      b"110010100010100101",
-      b"110010011111101111",
-      b"110010011100111001",
-      b"110010011010000011",
-      b"110010010111001101",
-      b"110010010100010111",
-      b"110010010001100001",
-      b"110010001110101100",
-      b"110010001011110110",
-      b"110010001001000001",
-      b"110010000110001100",
-      b"110010000011010111",
-      b"110010000000100010",
-      b"110001111101101101",
-      b"110001111010111001",
-      b"110001111000000100",
-      b"110001110101010000",
-      b"110001110010011011",
-      b"110001101111100111",
-      b"110001101100110011",
-      b"110001101010000000",
-      b"110001100111001100",
-      b"110001100100011000",
-      b"110001100001100101",
-      b"110001011110110010",
-      b"110001011011111111",
-      b"110001011001001100",
-      b"110001010110011001",
-      b"110001010011100110",
-      b"110001010000110100",
-      b"110001001110000001",
-      b"110001001011001111",
-      b"110001001000011101",
-      b"110001000101101011",
-      b"110001000010111001",
-      b"110001000000000111",
-      b"110000111101010110",
-      b"110000111010100101",
-      b"110000110111110011",
-      b"110000110101000010",
-      b"110000110010010001",
-      b"110000101111100000",
-      b"110000101100110000",
-      b"110000101001111111",
-      b"110000100111001111",
-      b"110000100100011111",
-      b"110000100001101111",
-      b"110000011110111111",
-      b"110000011100001111",
-      b"110000011001011111",
-      b"110000010110110000",
-      b"110000010100000001",
-      b"110000010001010001",
-      b"110000001110100010",
-      b"110000001011110011",
-      b"110000001001000101",
-      b"110000000110010110",
-      b"110000000011101000",
-      b"110000000000111010",
-      b"101111111110001011",
-      b"101111111011011110",
-      b"101111111000110000",
-      b"101111110110000010",
-      b"101111110011010101",
-      b"101111110000100111",
-      b"101111101101111010",
-      b"101111101011001101",
-      b"101111101000100000",
-      b"101111100101110100",
-      b"101111100011000111",
-      b"101111100000011011",
-      b"101111011101101110",
-      b"101111011011000010",
-      b"101111011000010110",
-      b"101111010101101011",
-      b"101111010010111111",
-      b"101111010000010100",
-      b"101111001101101001",
-      b"101111001010111101",
-      b"101111001000010010",
-      b"101111000101101000",
-      b"101111000010111101",
-      b"101111000000010011",
-      b"101110111101101000",
-      b"101110111010111110",
-      b"101110111000010100",
-      b"101110110101101011",
-      b"101110110011000001",
-      b"101110110000010111",
-      b"101110101101101110",
-      b"101110101011000101",
-      b"101110101000011100",
-      b"101110100101110011",
-      b"101110100011001011",
-      b"101110100000100010",
-      b"101110011101111010",
-      b"101110011011010010",
-      b"101110011000101010",
-      b"101110010110000010",
-      b"101110010011011011",
-      b"101110010000110011",
-      b"101110001110001100",
-      b"101110001011100101",
-      b"101110001000111110",
-      b"101110000110010111",
-      b"101110000011110001",
-      b"101110000001001010",
-      b"101101111110100100",
-      b"101101111011111110",
-      b"101101111001011000",
-      b"101101110110110010",
-      b"101101110100001101",
-      b"101101110001100111",
-      b"101101101111000010",
-      b"101101101100011101",
-      b"101101101001111000",
-      b"101101100111010100",
-      b"101101100100101111",
-      b"101101100010001011",
-      b"101101011111100111",
-      b"101101011101000011",
-      b"101101011010011111",
-      b"101101010111111100",
-      b"101101010101011000",
-      b"101101010010110101",
-      b"101101010000010010",
-      b"101101001101101111",
-      b"101101001011001100",
-      b"101101001000101010",
-      b"101101000110001000",
-      b"101101000011100101",
-      b"101101000001000011",
-      b"101100111110100010",
-      b"101100111100000000",
-      b"101100111001011111",
-      b"101100110110111101",
-      b"101100110100011100",
-      b"101100110001111100",
-      b"101100101111011011",
-      b"101100101100111010",
-      b"101100101010011010",
-      b"101100100111111010",
-      b"101100100101011010",
-      b"101100100010111010",
-      b"101100100000011011",
-      b"101100011101111100",
-      b"101100011011011100",
-      b"101100011000111101",
-      b"101100010110011111",
-      b"101100010100000000",
-      b"101100010001100010",
-      b"101100001111000011",
-      b"101100001100100101",
-      b"101100001010000111",
-      b"101100000111101010",
-      b"101100000101001100",
-      b"101100000010101111",
-      b"101100000000010010",
-      b"101011111101110101",
-      b"101011111011011000",
-      b"101011111000111100",
-      b"101011110110100000",
-      b"101011110100000100",
-      b"101011110001101000",
-      b"101011101111001100",
-      b"101011101100110000",
-      b"101011101010010101",
-      b"101011100111111010",
-      b"101011100101011111",
-      b"101011100011000100",
-      b"101011100000101010",
-      b"101011011110001111",
-      b"101011011011110101",
-      b"101011011001011011",
-      b"101011010111000010",
-      b"101011010100101000",
-      b"101011010010001111",
-      b"101011001111110110",
-      b"101011001101011101",
-      b"101011001011000100",
-      b"101011001000101011",
-      b"101011000110010011",
-      b"101011000011111011",
-      b"101011000001100011",
-      b"101010111111001011",
-      b"101010111100110100",
-      b"101010111010011100",
-      b"101010111000000101",
-      b"101010110101101110",
-      b"101010110011011000",
-      b"101010110001000001",
-      b"101010101110101011",
-      b"101010101100010101",
-      b"101010101001111111",
-      b"101010100111101001",
-      b"101010100101010011",
-      b"101010100010111110",
-      b"101010100000101001",
-      b"101010011110010100",
-      b"101010011100000000",
-      b"101010011001101011",
-      b"101010010111010111",
-      b"101010010101000011",
-      b"101010010010101111",
-      b"101010010000011011",
-      b"101010001110001000",
-      b"101010001011110101",
-      b"101010001001100010",
-      b"101010000111001111",
-      b"101010000100111100",
-      b"101010000010101010",
-      b"101010000000011000",
-      b"101001111110000110",
-      b"101001111011110100",
-      b"101001111001100011",
-      b"101001110111010001",
-      b"101001110101000000",
-      b"101001110010101111",
-      b"101001110000011111",
-      b"101001101110001110",
-      b"101001101011111110",
-      b"101001101001101110",
-      b"101001100111011110",
-      b"101001100101001111",
-      b"101001100010111111",
-      b"101001100000110000",
-      b"101001011110100001",
-      b"101001011100010011",
-      b"101001011010000100",
-      b"101001010111110110",
-      b"101001010101101000",
-      b"101001010011011010",
-      b"101001010001001100",
-      b"101001001110111111",
-      b"101001001100110010",
-      b"101001001010100101",
-      b"101001001000011000",
-      b"101001000110001011",
-      b"101001000011111111",
-      b"101001000001110011",
-      b"101000111111100111",
-      b"101000111101011100",
-      b"101000111011010000",
-      b"101000111001000101",
-      b"101000110110111010",
-      b"101000110100101111",
-      b"101000110010100101",
-      b"101000110000011010",
-      b"101000101110010000",
-      b"101000101100000110",
-      b"101000101001111101",
-      b"101000100111110011",
-      b"101000100101101010",
-      b"101000100011100001",
-      b"101000100001011001",
-      b"101000011111010000",
-      b"101000011101001000",
-      b"101000011011000000",
-      b"101000011000111000",
-      b"101000010110110000",
-      b"101000010100101001",
-      b"101000010010100010",
-      b"101000010000011011",
-      b"101000001110010100",
-      b"101000001100001110",
-      b"101000001010001000",
-      b"101000001000000010",
-      b"101000000101111100",
-      b"101000000011110110",
-      b"101000000001110001",
-      b"100111111111101100",
-      b"100111111101100111",
-      b"100111111011100010",
-      b"100111111001011110",
-      b"100111110111011010",
-      b"100111110101010110",
-      b"100111110011010010",
-      b"100111110001001111",
-      b"100111101111001100",
-      b"100111101101001001",
-      b"100111101011000110",
-      b"100111101001000011",
-      b"100111100111000001",
-      b"100111100100111111",
-      b"100111100010111101",
-      b"100111100000111100",
-      b"100111011110111010",
-      b"100111011100111001",
-      b"100111011010111000",
-      b"100111011000111000",
-      b"100111010110110111",
-      b"100111010100110111",
-      b"100111010010110111",
-      b"100111010000111000",
-      b"100111001110111000",
-      b"100111001100111001",
-      b"100111001010111010",
-      b"100111001000111011",
-      b"100111000110111101",
-      b"100111000100111111",
-      b"100111000011000001",
-      b"100111000001000011",
-      b"100110111111000101",
-      b"100110111101001000",
-      b"100110111011001011",
-      b"100110111001001110",
-      b"100110110111010010",
-      b"100110110101010110",
-      b"100110110011011001",
-      b"100110110001011110",
-      b"100110101111100010",
-      b"100110101101100111",
-      b"100110101011101100",
-      b"100110101001110001",
-      b"100110100111110110",
-      b"100110100101111100",
-      b"100110100100000010",
-      b"100110100010001000",
-      b"100110100000001110",
-      b"100110011110010101",
-      b"100110011100011100",
-      b"100110011010100011",
-      b"100110011000101010",
-      b"100110010110110010",
-      b"100110010100111010",
-      b"100110010011000010",
-      b"100110010001001010",
-      b"100110001111010011",
-      b"100110001101011100",
-      b"100110001011100101",
-      b"100110001001101110",
-      b"100110000111111000",
-      b"100110000110000001",
-      b"100110000100001100",
-      b"100110000010010110",
-      b"100110000000100001",
-      b"100101111110101011",
-      b"100101111100110110",
-      b"100101111011000010",
-      b"100101111001001101",
-      b"100101110111011001",
-      b"100101110101100101",
-      b"100101110011110010",
-      b"100101110001111110",
-      b"100101110000001011",
-      b"100101101110011000",
-      b"100101101100100110",
-      b"100101101010110011",
-      b"100101101001000001",
-      b"100101100111001111",
-      b"100101100101011110",
-      b"100101100011101100",
-      b"100101100001111011",
-      b"100101100000001010",
-      b"100101011110011010",
-      b"100101011100101001",
-      b"100101011010111001",
-      b"100101011001001001",
-      b"100101010111011010",
-      b"100101010101101011",
-      b"100101010011111011",
-      b"100101010010001101",
-      b"100101010000011110",
-      b"100101001110110000",
-      b"100101001101000010",
-      b"100101001011010100",
-      b"100101001001100111",
-      b"100101000111111001",
-      b"100101000110001100",
-      b"100101000100100000",
-      b"100101000010110011",
-      b"100101000001000111",
-      b"100100111111011011",
-      b"100100111101101111",
-      b"100100111100000100",
-      b"100100111010011001",
-      b"100100111000101110",
-      b"100100110111000011",
-      b"100100110101011001",
-      b"100100110011101110",
-      b"100100110010000101",
-      b"100100110000011011",
-      b"100100101110110010",
-      b"100100101101001001",
-      b"100100101011100000",
-      b"100100101001110111",
-      b"100100101000001111",
-      b"100100100110100111",
-      b"100100100100111111",
-      b"100100100011011000",
-      b"100100100001110000",
-      b"100100100000001001",
-      b"100100011110100011",
-      b"100100011100111100",
-      b"100100011011010110",
-      b"100100011001110000",
-      b"100100011000001011",
-      b"100100010110100101",
-      b"100100010101000000",
-      b"100100010011011011",
-      b"100100010001110111",
-      b"100100010000010010",
-      b"100100001110101110",
-      b"100100001101001011",
-      b"100100001011100111",
-      b"100100001010000100",
-      b"100100001000100001",
-      b"100100000110111110",
-      b"100100000101011100",
-      b"100100000011111010",
-      b"100100000010011000",
-      b"100100000000110110",
-      b"100011111111010101",
-      b"100011111101110100",
-      b"100011111100010011",
-      b"100011111010110010",
-      b"100011111001010010",
-      b"100011110111110010",
-      b"100011110110010010",
-      b"100011110100110011",
-      b"100011110011010100",
-      b"100011110001110101",
-      b"100011110000010110",
-      b"100011101110111000",
-      b"100011101101011010",
-      b"100011101011111100",
-      b"100011101010011110",
-      b"100011101001000001",
-      b"100011100111100100",
-      b"100011100110000111",
-      b"100011100100101011",
-      b"100011100011001111",
-      b"100011100001110011",
-      b"100011100000010111",
-      b"100011011110111100",
-      b"100011011101100001",
-      b"100011011100000110",
-      b"100011011010101011",
-      b"100011011001010001",
-      b"100011010111110111",
-      b"100011010110011101",
-      b"100011010101000100",
-      b"100011010011101011",
-      b"100011010010010010",
-      b"100011010000111001",
-      b"100011001111100001",
-      b"100011001110001001",
-      b"100011001100110001",
-      b"100011001011011010",
-      b"100011001010000010",
-      b"100011001000101011",
-      b"100011000111010101",
-      b"100011000101111110",
-      b"100011000100101000",
-      b"100011000011010010",
-      b"100011000001111101",
-      b"100011000000101000",
-      b"100010111111010011",
-      b"100010111101111110",
-      b"100010111100101001",
-      b"100010111011010101",
-      b"100010111010000001",
-      b"100010111000101110",
-      b"100010110111011011",
-      b"100010110110001000",
-      b"100010110100110101",
-      b"100010110011100010",
-      b"100010110010010000",
-      b"100010110000111110",
-      b"100010101111101101",
-      b"100010101110011011",
-      b"100010101101001010",
-      b"100010101011111001",
-      b"100010101010101001",
-      b"100010101001011001",
-      b"100010101000001001",
-      b"100010100110111001",
-      b"100010100101101010",
-      b"100010100100011011",
-      b"100010100011001100",
-      b"100010100001111101",
-      b"100010100000101111",
-      b"100010011111100001",
-      b"100010011110010100",
-      b"100010011101000110",
-      b"100010011011111001",
-      b"100010011010101100",
-      b"100010011001100000",
-      b"100010011000010100",
-      b"100010010111001000",
-      b"100010010101111100",
-      b"100010010100110001",
-      b"100010010011100110",
-      b"100010010010011011",
-      b"100010010001010000",
-      b"100010010000000110",
-      b"100010001110111100",
-      b"100010001101110010",
-      b"100010001100101001",
-      b"100010001011100000",
-      b"100010001010010111",
-      b"100010001001001111",
-      b"100010001000000110",
-      b"100010000110111111",
-      b"100010000101110111",
-      b"100010000100110000",
-      b"100010000011101000",
-      b"100010000010100010",
-      b"100010000001011011",
-      b"100010000000010101",
-      b"100001111111001111",
-      b"100001111110001010",
-      b"100001111101000100",
-      b"100001111011111111",
-      b"100001111010111010",
-      b"100001111001110110",
-      b"100001111000110010",
-      b"100001110111101110",
-      b"100001110110101010",
-      b"100001110101100111",
-      b"100001110100100100",
-      b"100001110011100001",
-      b"100001110010011111",
-      b"100001110001011101",
-      b"100001110000011011",
-      b"100001101111011001",
-      b"100001101110011000",
-      b"100001101101010111",
-      b"100001101100010110",
-      b"100001101011010110",
-      b"100001101010010110",
-      b"100001101001010110",
-      b"100001101000010111",
-      b"100001100111010111",
-      b"100001100110011000",
-      b"100001100101011010",
-      b"100001100100011100",
-      b"100001100011011101",
-      b"100001100010100000",
-      b"100001100001100010",
-      b"100001100000100101",
-      b"100001011111101000",
-      b"100001011110101100",
-      b"100001011101101111",
-      b"100001011100110011",
-      b"100001011011111000",
-      b"100001011010111100",
-      b"100001011010000001",
-      b"100001011001000110",
-      b"100001011000001100",
-      b"100001010111010010",
-      b"100001010110011000",
-      b"100001010101011110",
-      b"100001010100100101",
-      b"100001010011101100",
-      b"100001010010110011",
-      b"100001010001111011",
-      b"100001010001000010",
-      b"100001010000001011",
-      b"100001001111010011",
-      b"100001001110011100",
-      b"100001001101100101",
-      b"100001001100101110",
-      b"100001001011111000",
-      b"100001001011000010",
-      b"100001001010001100",
-      b"100001001001010110",
-      b"100001001000100001",
-      b"100001000111101100",
-      b"100001000110111000",
-      b"100001000110000011",
-      b"100001000101010000",
-      b"100001000100011100",
-      b"100001000011101000",
-      b"100001000010110101",
-      b"100001000010000011",
-      b"100001000001010000",
-      b"100001000000011110",
-      b"100000111111101100",
-      b"100000111110111010",
-      b"100000111110001001",
-      b"100000111101011000",
-      b"100000111100100111",
-      b"100000111011110111",
-      b"100000111011000111",
-      b"100000111010010111",
-      b"100000111001101000",
-      b"100000111000111000",
-      b"100000111000001001",
-      b"100000110111011011",
-      b"100000110110101100",
-      b"100000110101111110",
-      b"100000110101010001",
-      b"100000110100100011",
-      b"100000110011110110",
-      b"100000110011001001",
-      b"100000110010011101",
-      b"100000110001110001",
-      b"100000110001000101",
-      b"100000110000011001",
-      b"100000101111101110",
-      b"100000101111000011",
-      b"100000101110011000",
-      b"100000101101101110",
-      b"100000101101000100",
-      b"100000101100011010",
-      b"100000101011110000",
-      b"100000101011000111",
-      b"100000101010011110",
-      b"100000101001110110",
-      b"100000101001001110",
-      b"100000101000100110",
-      b"100000100111111110",
-      b"100000100111010110",
-      b"100000100110101111",
-      b"100000100110001001",
-      b"100000100101100010",
-      b"100000100100111100",
-      b"100000100100010110",
-      b"100000100011110001",
-      b"100000100011001011",
-      b"100000100010100110",
-      b"100000100010000010",
-      b"100000100001011101",
-      b"100000100000111001",
-      b"100000100000010110",
-      b"100000011111110010",
-      b"100000011111001111",
-      b"100000011110101100",
-      b"100000011110001010",
-      b"100000011101100111",
-      b"100000011101000110",
-      b"100000011100100100",
-      b"100000011100000011",
-      b"100000011011100010",
-      b"100000011011000001",
-      b"100000011010100001",
-      b"100000011010000000",
-      b"100000011001100001",
-      b"100000011001000001",
-      b"100000011000100010",
-      b"100000011000000011",
-      b"100000010111100101",
-      b"100000010111000110",
-      b"100000010110101000",
-      b"100000010110001011",
-      b"100000010101101101",
-      b"100000010101010000",
-      b"100000010100110100",
-      b"100000010100010111",
-      b"100000010011111011",
-      b"100000010011011111",
-      b"100000010011000100",
-      b"100000010010101000",
-      b"100000010010001101",
-      b"100000010001110011",
-      b"100000010001011001",
-      b"100000010000111111",
-      b"100000010000100101",
-      b"100000010000001100",
-      b"100000001111110010",
-      b"100000001111011010",
-      b"100000001111000001",
-      b"100000001110101001",
-      b"100000001110010001",
-      b"100000001101111010",
-      b"100000001101100010",
-      b"100000001101001100",
-      b"100000001100110101",
-      b"100000001100011111",
-      b"100000001100001001",
-      b"100000001011110011",
-      b"100000001011011110",
-      b"100000001011001000",
-      b"100000001010110100",
-      b"100000001010011111",
-      b"100000001010001011",
-      b"100000001001110111",
-      b"100000001001100100",
-      b"100000001001010000",
-      b"100000001000111101",
-      b"100000001000101011",
-      b"100000001000011000",
-      b"100000001000000110",
-      b"100000000111110101",
-      b"100000000111100011",
-      b"100000000111010010",
-      b"100000000111000001",
-      b"100000000110110001",
-      b"100000000110100001",
-      b"100000000110010001",
-      b"100000000110000001",
-      b"100000000101110010",
-      b"100000000101100011",
-      b"100000000101010101",
-      b"100000000101000110",
-      b"100000000100111000",
-      b"100000000100101010",
-      b"100000000100011101",
-      b"100000000100010000",
-      b"100000000100000011",
-      b"100000000011110111",
-      b"100000000011101010",
-      b"100000000011011111",
-      b"100000000011010011",
-      b"100000000011001000",
-      b"100000000010111101",
-      b"100000000010110010",
-      b"100000000010101000",
-      b"100000000010011110",
-      b"100000000010010100",
-      b"100000000010001011",
-      b"100000000010000010",
-      b"100000000001111001",
-      b"100000000001110000",
-      b"100000000001101000",
-      b"100000000001100000",
-      b"100000000001011001",
-      b"100000000001010010",
-      b"100000000001001011",
-      b"100000000001000100",
-      b"100000000000111110",
-      b"100000000000111000",
-      b"100000000000110010",
-      b"100000000000101101",
-      b"100000000000100111",
-      b"100000000000100011",
-      b"100000000000011110",
-      b"100000000000011010",
-      b"100000000000010110",
-      b"100000000000010011",
-      b"100000000000001111",
-      b"100000000000001100",
-      b"100000000000001010",
-      b"100000000000001000",
-      b"100000000000000110",
-      b"100000000000000100",
-      b"100000000000000010",
-      b"100000000000000001",
-      b"100000000000000001",
-      b"100000000000000000",
-      b"100000000000000000",
-      b"100000000000000000",
-      b"100000000000000001",
-      b"100000000000000001",
-      b"100000000000000010",
-      b"100000000000000100",
-      b"100000000000000110",
-      b"100000000000001000",
-      b"100000000000001010",
-      b"100000000000001100",
-      b"100000000000001111",
-      b"100000000000010011",
-      b"100000000000010110",
-      b"100000000000011010",
-      b"100000000000011110",
-      b"100000000000100011",
-      b"100000000000100111",
-      b"100000000000101101",
-      b"100000000000110010",
-      b"100000000000111000",
-      b"100000000000111110",
-      b"100000000001000100",
-      b"100000000001001011",
-      b"100000000001010010",
-      b"100000000001011001",
-      b"100000000001100000",
-      b"100000000001101000",
-      b"100000000001110000",
-      b"100000000001111001",
-      b"100000000010000010",
-      b"100000000010001011",
-      b"100000000010010100",
-      b"100000000010011110",
-      b"100000000010101000",
-      b"100000000010110010",
-      b"100000000010111101",
-      b"100000000011001000",
-      b"100000000011010011",
-      b"100000000011011111",
-      b"100000000011101010",
-      b"100000000011110111",
-      b"100000000100000011",
-      b"100000000100010000",
-      b"100000000100011101",
-      b"100000000100101010",
-      b"100000000100111000",
-      b"100000000101000110",
-      b"100000000101010101",
-      b"100000000101100011",
-      b"100000000101110010",
-      b"100000000110000001",
-      b"100000000110010001",
-      b"100000000110100001",
-      b"100000000110110001",
-      b"100000000111000001",
-      b"100000000111010010",
-      b"100000000111100011",
-      b"100000000111110101",
-      b"100000001000000110",
-      b"100000001000011000",
-      b"100000001000101011",
-      b"100000001000111101",
-      b"100000001001010000",
-      b"100000001001100100",
-      b"100000001001110111",
-      b"100000001010001011",
-      b"100000001010011111",
-      b"100000001010110100",
-      b"100000001011001000",
-      b"100000001011011110",
-      b"100000001011110011",
-      b"100000001100001001",
-      b"100000001100011111",
-      b"100000001100110101",
-      b"100000001101001100",
-      b"100000001101100010",
-      b"100000001101111010",
-      b"100000001110010001",
-      b"100000001110101001",
-      b"100000001111000001",
-      b"100000001111011010",
-      b"100000001111110010",
-      b"100000010000001100",
-      b"100000010000100101",
-      b"100000010000111111",
-      b"100000010001011001",
-      b"100000010001110011",
-      b"100000010010001101",
-      b"100000010010101000",
-      b"100000010011000100",
-      b"100000010011011111",
-      b"100000010011111011",
-      b"100000010100010111",
-      b"100000010100110100",
-      b"100000010101010000",
-      b"100000010101101101",
-      b"100000010110001011",
-      b"100000010110101000",
-      b"100000010111000110",
-      b"100000010111100101",
-      b"100000011000000011",
-      b"100000011000100010",
-      b"100000011001000001",
-      b"100000011001100001",
-      b"100000011010000000",
-      b"100000011010100001",
-      b"100000011011000001",
-      b"100000011011100010",
-      b"100000011100000011",
-      b"100000011100100100",
-      b"100000011101000110",
-      b"100000011101100111",
-      b"100000011110001010",
-      b"100000011110101100",
-      b"100000011111001111",
-      b"100000011111110010",
-      b"100000100000010110",
-      b"100000100000111001",
-      b"100000100001011101",
-      b"100000100010000010",
-      b"100000100010100110",
-      b"100000100011001011",
-      b"100000100011110001",
-      b"100000100100010110",
-      b"100000100100111100",
-      b"100000100101100010",
-      b"100000100110001001",
-      b"100000100110101111",
-      b"100000100111010110",
-      b"100000100111111110",
-      b"100000101000100110",
-      b"100000101001001110",
-      b"100000101001110110",
-      b"100000101010011110",
-      b"100000101011000111",
-      b"100000101011110000",
-      b"100000101100011010",
-      b"100000101101000100",
-      b"100000101101101110",
-      b"100000101110011000",
-      b"100000101111000011",
-      b"100000101111101110",
-      b"100000110000011001",
-      b"100000110001000101",
-      b"100000110001110001",
-      b"100000110010011101",
-      b"100000110011001001",
-      b"100000110011110110",
-      b"100000110100100011",
-      b"100000110101010001",
-      b"100000110101111110",
-      b"100000110110101100",
-      b"100000110111011011",
-      b"100000111000001001",
-      b"100000111000111000",
-      b"100000111001101000",
-      b"100000111010010111",
-      b"100000111011000111",
-      b"100000111011110111",
-      b"100000111100100111",
-      b"100000111101011000",
-      b"100000111110001001",
-      b"100000111110111010",
-      b"100000111111101100",
-      b"100001000000011110",
-      b"100001000001010000",
-      b"100001000010000011",
-      b"100001000010110101",
-      b"100001000011101000",
-      b"100001000100011100",
-      b"100001000101010000",
-      b"100001000110000011",
-      b"100001000110111000",
-      b"100001000111101100",
-      b"100001001000100001",
-      b"100001001001010110",
-      b"100001001010001100",
-      b"100001001011000010",
-      b"100001001011111000",
-      b"100001001100101110",
-      b"100001001101100101",
-      b"100001001110011100",
-      b"100001001111010011",
-      b"100001010000001011",
-      b"100001010001000010",
-      b"100001010001111011",
-      b"100001010010110011",
-      b"100001010011101100",
-      b"100001010100100101",
-      b"100001010101011110",
-      b"100001010110011000",
-      b"100001010111010010",
-      b"100001011000001100",
-      b"100001011001000110",
-      b"100001011010000001",
-      b"100001011010111100",
-      b"100001011011111000",
-      b"100001011100110011",
-      b"100001011101101111",
-      b"100001011110101100",
-      b"100001011111101000",
-      b"100001100000100101",
-      b"100001100001100010",
-      b"100001100010100000",
-      b"100001100011011101",
-      b"100001100100011100",
-      b"100001100101011010",
-      b"100001100110011000",
-      b"100001100111010111",
-      b"100001101000010111",
-      b"100001101001010110",
-      b"100001101010010110",
-      b"100001101011010110",
-      b"100001101100010110",
-      b"100001101101010111",
-      b"100001101110011000",
-      b"100001101111011001",
-      b"100001110000011011",
-      b"100001110001011101",
-      b"100001110010011111",
-      b"100001110011100001",
-      b"100001110100100100",
-      b"100001110101100111",
-      b"100001110110101010",
-      b"100001110111101110",
-      b"100001111000110010",
-      b"100001111001110110",
-      b"100001111010111010",
-      b"100001111011111111",
-      b"100001111101000100",
-      b"100001111110001010",
-      b"100001111111001111",
-      b"100010000000010101",
-      b"100010000001011011",
-      b"100010000010100010",
-      b"100010000011101000",
-      b"100010000100110000",
-      b"100010000101110111",
-      b"100010000110111111",
-      b"100010001000000110",
-      b"100010001001001111",
-      b"100010001010010111",
-      b"100010001011100000",
-      b"100010001100101001",
-      b"100010001101110010",
-      b"100010001110111100",
-      b"100010010000000110",
-      b"100010010001010000",
-      b"100010010010011011",
-      b"100010010011100110",
-      b"100010010100110001",
-      b"100010010101111100",
-      b"100010010111001000",
-      b"100010011000010100",
-      b"100010011001100000",
-      b"100010011010101100",
-      b"100010011011111001",
-      b"100010011101000110",
-      b"100010011110010100",
-      b"100010011111100001",
-      b"100010100000101111",
-      b"100010100001111101",
-      b"100010100011001100",
-      b"100010100100011011",
-      b"100010100101101010",
-      b"100010100110111001",
-      b"100010101000001001",
-      b"100010101001011001",
-      b"100010101010101001",
-      b"100010101011111001",
-      b"100010101101001010",
-      b"100010101110011011",
-      b"100010101111101101",
-      b"100010110000111110",
-      b"100010110010010000",
-      b"100010110011100010",
-      b"100010110100110101",
-      b"100010110110001000",
-      b"100010110111011011",
-      b"100010111000101110",
-      b"100010111010000001",
-      b"100010111011010101",
-      b"100010111100101001",
-      b"100010111101111110",
-      b"100010111111010011",
-      b"100011000000101000",
-      b"100011000001111101",
-      b"100011000011010010",
-      b"100011000100101000",
-      b"100011000101111110",
-      b"100011000111010101",
-      b"100011001000101011",
-      b"100011001010000010",
-      b"100011001011011010",
-      b"100011001100110001",
-      b"100011001110001001",
-      b"100011001111100001",
-      b"100011010000111001",
-      b"100011010010010010",
-      b"100011010011101011",
-      b"100011010101000100",
-      b"100011010110011101",
-      b"100011010111110111",
-      b"100011011001010001",
-      b"100011011010101011",
-      b"100011011100000110",
-      b"100011011101100001",
-      b"100011011110111100",
-      b"100011100000010111",
-      b"100011100001110011",
-      b"100011100011001111",
-      b"100011100100101011",
-      b"100011100110000111",
-      b"100011100111100100",
-      b"100011101001000001",
-      b"100011101010011110",
-      b"100011101011111100",
-      b"100011101101011010",
-      b"100011101110111000",
-      b"100011110000010110",
-      b"100011110001110101",
-      b"100011110011010100",
-      b"100011110100110011",
-      b"100011110110010010",
-      b"100011110111110010",
-      b"100011111001010010",
-      b"100011111010110010",
-      b"100011111100010011",
-      b"100011111101110100",
-      b"100011111111010101",
-      b"100100000000110110",
-      b"100100000010011000",
-      b"100100000011111010",
-      b"100100000101011100",
-      b"100100000110111110",
-      b"100100001000100001",
-      b"100100001010000100",
-      b"100100001011100111",
-      b"100100001101001011",
-      b"100100001110101110",
-      b"100100010000010010",
-      b"100100010001110111",
-      b"100100010011011011",
-      b"100100010101000000",
-      b"100100010110100101",
-      b"100100011000001011",
-      b"100100011001110000",
-      b"100100011011010110",
-      b"100100011100111100",
-      b"100100011110100011",
-      b"100100100000001001",
-      b"100100100001110000",
-      b"100100100011011000",
-      b"100100100100111111",
-      b"100100100110100111",
-      b"100100101000001111",
-      b"100100101001110111",
-      b"100100101011100000",
-      b"100100101101001001",
-      b"100100101110110010",
-      b"100100110000011011",
-      b"100100110010000101",
-      b"100100110011101110",
-      b"100100110101011001",
-      b"100100110111000011",
-      b"100100111000101110",
-      b"100100111010011001",
-      b"100100111100000100",
-      b"100100111101101111",
-      b"100100111111011011",
-      b"100101000001000111",
-      b"100101000010110011",
-      b"100101000100100000",
-      b"100101000110001100",
-      b"100101000111111001",
-      b"100101001001100111",
-      b"100101001011010100",
-      b"100101001101000010",
-      b"100101001110110000",
-      b"100101010000011110",
-      b"100101010010001101",
-      b"100101010011111011",
-      b"100101010101101011",
-      b"100101010111011010",
-      b"100101011001001001",
-      b"100101011010111001",
-      b"100101011100101001",
-      b"100101011110011010",
-      b"100101100000001010",
-      b"100101100001111011",
-      b"100101100011101100",
-      b"100101100101011110",
-      b"100101100111001111",
-      b"100101101001000001",
-      b"100101101010110011",
-      b"100101101100100110",
-      b"100101101110011000",
-      b"100101110000001011",
-      b"100101110001111110",
-      b"100101110011110010",
-      b"100101110101100101",
-      b"100101110111011001",
-      b"100101111001001101",
-      b"100101111011000010",
-      b"100101111100110110",
-      b"100101111110101011",
-      b"100110000000100001",
-      b"100110000010010110",
-      b"100110000100001100",
-      b"100110000110000001",
-      b"100110000111111000",
-      b"100110001001101110",
-      b"100110001011100101",
-      b"100110001101011100",
-      b"100110001111010011",
-      b"100110010001001010",
-      b"100110010011000010",
-      b"100110010100111010",
-      b"100110010110110010",
-      b"100110011000101010",
-      b"100110011010100011",
-      b"100110011100011100",
-      b"100110011110010101",
-      b"100110100000001110",
-      b"100110100010001000",
-      b"100110100100000010",
-      b"100110100101111100",
-      b"100110100111110110",
-      b"100110101001110001",
-      b"100110101011101100",
-      b"100110101101100111",
-      b"100110101111100010",
-      b"100110110001011110",
-      b"100110110011011001",
-      b"100110110101010110",
-      b"100110110111010010",
-      b"100110111001001110",
-      b"100110111011001011",
-      b"100110111101001000",
-      b"100110111111000101",
-      b"100111000001000011",
-      b"100111000011000001",
-      b"100111000100111111",
-      b"100111000110111101",
-      b"100111001000111011",
-      b"100111001010111010",
-      b"100111001100111001",
-      b"100111001110111000",
-      b"100111010000111000",
-      b"100111010010110111",
-      b"100111010100110111",
-      b"100111010110110111",
-      b"100111011000111000",
-      b"100111011010111000",
-      b"100111011100111001",
-      b"100111011110111010",
-      b"100111100000111100",
-      b"100111100010111101",
-      b"100111100100111111",
-      b"100111100111000001",
-      b"100111101001000011",
-      b"100111101011000110",
-      b"100111101101001001",
-      b"100111101111001100",
-      b"100111110001001111",
-      b"100111110011010010",
-      b"100111110101010110",
-      b"100111110111011010",
-      b"100111111001011110",
-      b"100111111011100010",
-      b"100111111101100111",
-      b"100111111111101100",
-      b"101000000001110001",
-      b"101000000011110110",
-      b"101000000101111100",
-      b"101000001000000010",
-      b"101000001010001000",
-      b"101000001100001110",
-      b"101000001110010100",
-      b"101000010000011011",
-      b"101000010010100010",
-      b"101000010100101001",
-      b"101000010110110000",
-      b"101000011000111000",
-      b"101000011011000000",
-      b"101000011101001000",
-      b"101000011111010000",
-      b"101000100001011001",
-      b"101000100011100001",
-      b"101000100101101010",
-      b"101000100111110011",
-      b"101000101001111101",
-      b"101000101100000110",
-      b"101000101110010000",
-      b"101000110000011010",
-      b"101000110010100101",
-      b"101000110100101111",
-      b"101000110110111010",
-      b"101000111001000101",
-      b"101000111011010000",
-      b"101000111101011100",
-      b"101000111111100111",
-      b"101001000001110011",
-      b"101001000011111111",
-      b"101001000110001011",
-      b"101001001000011000",
-      b"101001001010100101",
-      b"101001001100110010",
-      b"101001001110111111",
-      b"101001010001001100",
-      b"101001010011011010",
-      b"101001010101101000",
-      b"101001010111110110",
-      b"101001011010000100",
-      b"101001011100010011",
-      b"101001011110100001",
-      b"101001100000110000",
-      b"101001100010111111",
-      b"101001100101001111",
-      b"101001100111011110",
-      b"101001101001101110",
-      b"101001101011111110",
-      b"101001101110001110",
-      b"101001110000011111",
-      b"101001110010101111",
-      b"101001110101000000",
-      b"101001110111010001",
-      b"101001111001100011",
-      b"101001111011110100",
-      b"101001111110000110",
-      b"101010000000011000",
-      b"101010000010101010",
-      b"101010000100111100",
-      b"101010000111001111",
-      b"101010001001100010",
-      b"101010001011110101",
-      b"101010001110001000",
-      b"101010010000011011",
-      b"101010010010101111",
-      b"101010010101000011",
-      b"101010010111010111",
-      b"101010011001101011",
-      b"101010011100000000",
-      b"101010011110010100",
-      b"101010100000101001",
-      b"101010100010111110",
-      b"101010100101010011",
-      b"101010100111101001",
-      b"101010101001111111",
-      b"101010101100010101",
-      b"101010101110101011",
-      b"101010110001000001",
-      b"101010110011011000",
-      b"101010110101101110",
-      b"101010111000000101",
-      b"101010111010011100",
-      b"101010111100110100",
-      b"101010111111001011",
-      b"101011000001100011",
-      b"101011000011111011",
-      b"101011000110010011",
-      b"101011001000101011",
-      b"101011001011000100",
-      b"101011001101011101",
-      b"101011001111110110",
-      b"101011010010001111",
-      b"101011010100101000",
-      b"101011010111000010",
-      b"101011011001011011",
-      b"101011011011110101",
-      b"101011011110001111",
-      b"101011100000101010",
-      b"101011100011000100",
-      b"101011100101011111",
-      b"101011100111111010",
-      b"101011101010010101",
-      b"101011101100110000",
-      b"101011101111001100",
-      b"101011110001101000",
-      b"101011110100000100",
-      b"101011110110100000",
-      b"101011111000111100",
-      b"101011111011011000",
-      b"101011111101110101",
-      b"101100000000010010",
-      b"101100000010101111",
-      b"101100000101001100",
-      b"101100000111101010",
-      b"101100001010000111",
-      b"101100001100100101",
-      b"101100001111000011",
-      b"101100010001100010",
-      b"101100010100000000",
-      b"101100010110011111",
-      b"101100011000111101",
-      b"101100011011011100",
-      b"101100011101111100",
-      b"101100100000011011",
-      b"101100100010111010",
-      b"101100100101011010",
-      b"101100100111111010",
-      b"101100101010011010",
-      b"101100101100111010",
-      b"101100101111011011",
-      b"101100110001111100",
-      b"101100110100011100",
-      b"101100110110111101",
-      b"101100111001011111",
-      b"101100111100000000",
-      b"101100111110100010",
-      b"101101000001000011",
-      b"101101000011100101",
-      b"101101000110001000",
-      b"101101001000101010",
-      b"101101001011001100",
-      b"101101001101101111",
-      b"101101010000010010",
-      b"101101010010110101",
-      b"101101010101011000",
-      b"101101010111111100",
-      b"101101011010011111",
-      b"101101011101000011",
-      b"101101011111100111",
-      b"101101100010001011",
-      b"101101100100101111",
-      b"101101100111010100",
-      b"101101101001111000",
-      b"101101101100011101",
-      b"101101101111000010",
-      b"101101110001100111",
-      b"101101110100001101",
-      b"101101110110110010",
-      b"101101111001011000",
-      b"101101111011111110",
-      b"101101111110100100",
-      b"101110000001001010",
-      b"101110000011110001",
-      b"101110000110010111",
-      b"101110001000111110",
-      b"101110001011100101",
-      b"101110001110001100",
-      b"101110010000110011",
-      b"101110010011011011",
-      b"101110010110000010",
-      b"101110011000101010",
-      b"101110011011010010",
-      b"101110011101111010",
-      b"101110100000100010",
-      b"101110100011001011",
-      b"101110100101110011",
-      b"101110101000011100",
-      b"101110101011000101",
-      b"101110101101101110",
-      b"101110110000010111",
-      b"101110110011000001",
-      b"101110110101101011",
-      b"101110111000010100",
-      b"101110111010111110",
-      b"101110111101101000",
-      b"101111000000010011",
-      b"101111000010111101",
-      b"101111000101101000",
-      b"101111001000010010",
-      b"101111001010111101",
-      b"101111001101101001",
-      b"101111010000010100",
-      b"101111010010111111",
-      b"101111010101101011",
-      b"101111011000010110",
-      b"101111011011000010",
-      b"101111011101101110",
-      b"101111100000011011",
-      b"101111100011000111",
-      b"101111100101110100",
-      b"101111101000100000",
-      b"101111101011001101",
-      b"101111101101111010",
-      b"101111110000100111",
-      b"101111110011010101",
-      b"101111110110000010",
-      b"101111111000110000",
-      b"101111111011011110",
-      b"101111111110001011",
-      b"110000000000111010",
-      b"110000000011101000",
-      b"110000000110010110",
-      b"110000001001000101",
-      b"110000001011110011",
-      b"110000001110100010",
-      b"110000010001010001",
-      b"110000010100000001",
-      b"110000010110110000",
-      b"110000011001011111",
-      b"110000011100001111",
-      b"110000011110111111",
-      b"110000100001101111",
-      b"110000100100011111",
-      b"110000100111001111",
-      b"110000101001111111",
-      b"110000101100110000",
-      b"110000101111100000",
-      b"110000110010010001",
-      b"110000110101000010",
-      b"110000110111110011",
-      b"110000111010100101",
-      b"110000111101010110",
-      b"110001000000000111",
-      b"110001000010111001",
-      b"110001000101101011",
-      b"110001001000011101",
-      b"110001001011001111",
-      b"110001001110000001",
-      b"110001010000110100",
-      b"110001010011100110",
-      b"110001010110011001",
-      b"110001011001001100",
-      b"110001011011111111",
-      b"110001011110110010",
-      b"110001100001100101",
-      b"110001100100011000",
-      b"110001100111001100",
-      b"110001101010000000",
-      b"110001101100110011",
-      b"110001101111100111",
-      b"110001110010011011",
-      b"110001110101010000",
-      b"110001111000000100",
-      b"110001111010111001",
-      b"110001111101101101",
-      b"110010000000100010",
-      b"110010000011010111",
-      b"110010000110001100",
-      b"110010001001000001",
-      b"110010001011110110",
-      b"110010001110101100",
-      b"110010010001100001",
-      b"110010010100010111",
-      b"110010010111001101",
-      b"110010011010000011",
-      b"110010011100111001",
-      b"110010011111101111",
-      b"110010100010100101",
-      b"110010100101011100",
-      b"110010101000010010",
-      b"110010101011001001",
-      b"110010101110000000",
-      b"110010110000110111",
-      b"110010110011101110",
-      b"110010110110100101",
-      b"110010111001011101",
-      b"110010111100010100",
-      b"110010111111001100",
-      b"110011000010000100",
-      b"110011000100111011",
-      b"110011000111110011",
-      b"110011001010101100",
-      b"110011001101100100",
-      b"110011010000011100",
-      b"110011010011010101",
-      b"110011010110001101",
-      b"110011011001000110",
-      b"110011011011111111",
-      b"110011011110111000",
-      b"110011100001110001",
-      b"110011100100101010",
-      b"110011100111100100",
-      b"110011101010011101",
-      b"110011101101010111",
-      b"110011110000010000",
-      b"110011110011001010",
-      b"110011110110000100",
-      b"110011111000111110",
-      b"110011111011111000",
-      b"110011111110110011",
-      b"110100000001101101",
-      b"110100000100100111",
-      b"110100000111100010",
-      b"110100001010011101",
-      b"110100001101011000",
-      b"110100010000010011",
-      b"110100010011001110",
-      b"110100010110001001",
-      b"110100011001000100",
-      b"110100011100000000",
-      b"110100011110111011",
-      b"110100100001110111",
-      b"110100100100110011",
-      b"110100100111101110",
-      b"110100101010101010",
-      b"110100101101100111",
-      b"110100110000100011",
-      b"110100110011011111",
-      b"110100110110011011",
-      b"110100111001011000",
-      b"110100111100010101",
-      b"110100111111010001",
-      b"110101000010001110",
-      b"110101000101001011",
-      b"110101001000001000",
-      b"110101001011000101",
-      b"110101001110000011",
-      b"110101010001000000",
-      b"110101010011111101",
-      b"110101010110111011",
-      b"110101011001111001",
-      b"110101011100110110",
-      b"110101011111110100",
-      b"110101100010110010",
-      b"110101100101110000",
-      b"110101101000101110",
-      b"110101101011101101",
-      b"110101101110101011",
-      b"110101110001101010",
-      b"110101110100101000",
-      b"110101110111100111",
-      b"110101111010100110",
-      b"110101111101100100",
-      b"110110000000100011",
-      b"110110000011100010",
-      b"110110000110100010",
-      b"110110001001100001",
-      b"110110001100100000",
-      b"110110001111100000",
-      b"110110010010011111",
-      b"110110010101011111",
-      b"110110011000011110",
-      b"110110011011011110",
-      b"110110011110011110",
-      b"110110100001011110",
-      b"110110100100011110",
-      b"110110100111011110",
-      b"110110101010011111",
-      b"110110101101011111",
-      b"110110110000100000",
-      b"110110110011100000",
-      b"110110110110100001",
-      b"110110111001100001",
-      b"110110111100100010",
-      b"110110111111100011",
-      b"110111000010100100",
-      b"110111000101100101",
-      b"110111001000100110",
-      b"110111001011101000",
-      b"110111001110101001",
-      b"110111010001101010",
-      b"110111010100101100",
-      b"110111010111101101",
-      b"110111011010101111",
-      b"110111011101110001",
-      b"110111100000110011",
-      b"110111100011110100",
-      b"110111100110110110",
-      b"110111101001111000",
-      b"110111101100111011",
-      b"110111101111111101",
-      b"110111110010111111",
-      b"110111110110000010",
-      b"110111111001000100",
-      b"110111111100000111",
-      b"110111111111001001",
-      b"111000000010001100",
-      b"111000000101001111",
-      b"111000001000010001",
-      b"111000001011010100",
-      b"111000001110010111",
-      b"111000010001011010",
-      b"111000010100011110",
-      b"111000010111100001",
-      b"111000011010100100",
-      b"111000011101100111",
-      b"111000100000101011",
-      b"111000100011101110",
-      b"111000100110110010",
-      b"111000101001110110",
-      b"111000101100111001",
-      b"111000101111111101",
-      b"111000110011000001",
-      b"111000110110000101",
-      b"111000111001001001",
-      b"111000111100001101",
-      b"111000111111010001",
-      b"111001000010010101",
-      b"111001000101011010",
-      b"111001001000011110",
-      b"111001001011100010",
-      b"111001001110100111",
-      b"111001010001101011",
-      b"111001010100110000",
-      b"111001010111110101",
-      b"111001011010111001",
-      b"111001011101111110",
-      b"111001100001000011",
-      b"111001100100001000",
-      b"111001100111001101",
-      b"111001101010010010",
-      b"111001101101010111",
-      b"111001110000011100",
-      b"111001110011100010",
-      b"111001110110100111",
-      b"111001111001101100",
-      b"111001111100110010",
-      b"111001111111110111",
-      b"111010000010111101",
-      b"111010000110000010",
-      b"111010001001001000",
-      b"111010001100001101",
-      b"111010001111010011",
-      b"111010010010011001",
-      b"111010010101011111",
-      b"111010011000100101",
-      b"111010011011101011",
-      b"111010011110110001",
-      b"111010100001110111",
-      b"111010100100111101",
-      b"111010101000000011",
-      b"111010101011001001",
-      b"111010101110010000",
-      b"111010110001010110",
-      b"111010110100011100",
-      b"111010110111100011",
-      b"111010111010101001",
-      b"111010111101110000",
-      b"111011000000110110",
-      b"111011000011111101",
-      b"111011000111000100",
-      b"111011001010001010",
-      b"111011001101010001",
-      b"111011010000011000",
-      b"111011010011011111",
-      b"111011010110100110",
-      b"111011011001101101",
-      b"111011011100110100",
-      b"111011011111111011",
-      b"111011100011000010",
-      b"111011100110001001",
-      b"111011101001010000",
-      b"111011101100010111",
-      b"111011101111011111",
-      b"111011110010100110",
-      b"111011110101101101",
-      b"111011111000110101",
-      b"111011111011111100",
-      b"111011111111000011",
-      b"111100000010001011",
-      b"111100000101010011",
-      b"111100001000011010",
-      b"111100001011100010",
-      b"111100001110101001",
-      b"111100010001110001",
-      b"111100010100111001",
-      b"111100011000000000",
-      b"111100011011001000",
-      b"111100011110010000",
-      b"111100100001011000",
-      b"111100100100100000",
-      b"111100100111101000",
-      b"111100101010110000",
-      b"111100101101111000",
-      b"111100110001000000",
-      b"111100110100001000",
-      b"111100110111010000",
-      b"111100111010011000",
-      b"111100111101100000",
-      b"111101000000101000",
-      b"111101000011110000",
-      b"111101000110111001",
-      b"111101001010000001",
-      b"111101001101001001",
-      b"111101010000010001",
-      b"111101010011011010",
-      b"111101010110100010",
-      b"111101011001101011",
-      b"111101011100110011",
-      b"111101011111111011",
-      b"111101100011000100",
-      b"111101100110001100",
-      b"111101101001010101",
-      b"111101101100011101",
-      b"111101101111100110",
-      b"111101110010101110",
-      b"111101110101110111",
-      b"111101111001000000",
-      b"111101111100001000",
-      b"111101111111010001",
-      b"111110000010011010",
-      b"111110000101100010",
-      b"111110001000101011",
-      b"111110001011110100",
-      b"111110001110111101",
-      b"111110010010000101",
-      b"111110010101001110",
-      b"111110011000010111",
-      b"111110011011100000",
-      b"111110011110101000",
-      b"111110100001110001",
-      b"111110100100111010",
-      b"111110101000000011",
-      b"111110101011001100",
-      b"111110101110010101",
-      b"111110110001011110",
-      b"111110110100100111",
-      b"111110110111110000",
-      b"111110111010111001",
-      b"111110111110000001",
-      b"111111000001001010",
-      b"111111000100010011",
-      b"111111000111011100",
-      b"111111001010100101",
-      b"111111001101101110",
-      b"111111010000110111",
-      b"111111010100000000",
-      b"111111010111001001",
-      b"111111011010010010",
-      b"111111011101011011",
-      b"111111100000100100",
-      b"111111100011101110",
-      b"111111100110110111",
-      b"111111101010000000",
-      b"111111101101001001",
-      b"111111110000010010",
-      b"111111110011011011",
-      b"111111110110100100",
-      b"111111111001101101",
-    b"111111111100110110"
+      b"00000000000000000000",
+      b"11111111110011011011",
+      b"11111111100110110111",
+      b"11111111011010010010",
+      b"11111111001101101110",
+      b"11111111000001001010",
+      b"11111110110100100110",
+      b"11111110101000000001",
+      b"11111110011011011101",
+      b"11111110001110111001",
+      b"11111110000010010101",
+      b"11111101110101110001",
+      b"11111101101001001101",
+      b"11111101011100101000",
+      b"11111101010000000100",
+      b"11111101000011100000",
+      b"11111100110110111100",
+      b"11111100101010011000",
+      b"11111100011101110100",
+      b"11111100010001010000",
+      b"11111100000100101101",
+      b"11111011111000001001",
+      b"11111011101011100101",
+      b"11111011011111000001",
+      b"11111011010010011101",
+      b"11111011000101111010",
+      b"11111010111001010110",
+      b"11111010101100110011",
+      b"11111010100000001111",
+      b"11111010010011101100",
+      b"11111010000111001000",
+      b"11111001111010100101",
+      b"11111001101110000001",
+      b"11111001100001011110",
+      b"11111001010100111011",
+      b"11111001001000011000",
+      b"11111000111011110101",
+      b"11111000101111010010",
+      b"11111000100010101111",
+      b"11111000010110001100",
+      b"11111000001001101001",
+      b"11110111111101000111",
+      b"11110111110000100100",
+      b"11110111100100000001",
+      b"11110111010111011111",
+      b"11110111001010111101",
+      b"11110110111110011010",
+      b"11110110110001111000",
+      b"11110110100101010110",
+      b"11110110011000110100",
+      b"11110110001100010010",
+      b"11110101111111110000",
+      b"11110101110011001111",
+      b"11110101100110101101",
+      b"11110101011010001011",
+      b"11110101001101101010",
+      b"11110101000001001001",
+      b"11110100110100100111",
+      b"11110100101000000110",
+      b"11110100011011100101",
+      b"11110100001111000100",
+      b"11110100000010100100",
+      b"11110011110110000011",
+      b"11110011101001100010",
+      b"11110011011101000010",
+      b"11110011010000100010",
+      b"11110011000100000001",
+      b"11110010110111100001",
+      b"11110010101011000001",
+      b"11110010011110100010",
+      b"11110010010010000010",
+      b"11110010000101100010",
+      b"11110001111001000011",
+      b"11110001101100100100",
+      b"11110001100000000101",
+      b"11110001010011100110",
+      b"11110001000111000111",
+      b"11110000111010101000",
+      b"11110000101110001001",
+      b"11110000100001101011",
+      b"11110000010101001101",
+      b"11110000001000101111",
+      b"11101111111100010001",
+      b"11101111101111110011",
+      b"11101111100011010101",
+      b"11101111010110111000",
+      b"11101111001010011010",
+      b"11101110111101111101",
+      b"11101110110001100000",
+      b"11101110100101000011",
+      b"11101110011000100111",
+      b"11101110001100001010",
+      b"11101101111111101110",
+      b"11101101110011010010",
+      b"11101101100110110110",
+      b"11101101011010011010",
+      b"11101101001101111110",
+      b"11101101000001100011",
+      b"11101100110101000111",
+      b"11101100101000101100",
+      b"11101100011100010001",
+      b"11101100001111110111",
+      b"11101100000011011100",
+      b"11101011110111000010",
+      b"11101011101010101000",
+      b"11101011011110001110",
+      b"11101011010001110100",
+      b"11101011000101011011",
+      b"11101010111001000001",
+      b"11101010101100101000",
+      b"11101010100000001111",
+      b"11101010010011110110",
+      b"11101010000111011110",
+      b"11101001111011000110",
+      b"11101001101110101110",
+      b"11101001100010010110",
+      b"11101001010101111110",
+      b"11101001001001100111",
+      b"11101000111101001111",
+      b"11101000110000111000",
+      b"11101000100100100010",
+      b"11101000011000001011",
+      b"11101000001011110101",
+      b"11100111111111011111",
+      b"11100111110011001001",
+      b"11100111100110110011",
+      b"11100111011010011110",
+      b"11100111001110001001",
+      b"11100111000001110100",
+      b"11100110110101011111",
+      b"11100110101001001011",
+      b"11100110011100110110",
+      b"11100110010000100010",
+      b"11100110000100001111",
+      b"11100101110111111011",
+      b"11100101101011101000",
+      b"11100101011111010101",
+      b"11100101010011000011",
+      b"11100101000110110000",
+      b"11100100111010011110",
+      b"11100100101110001100",
+      b"11100100100001111010",
+      b"11100100010101101001",
+      b"11100100001001011000",
+      b"11100011111101000111",
+      b"11100011110000110110",
+      b"11100011100100100110",
+      b"11100011011000010110",
+      b"11100011001100000110",
+      b"11100010111111110111",
+      b"11100010110011101000",
+      b"11100010100111011001",
+      b"11100010011011001010",
+      b"11100010001110111100",
+      b"11100010000010101110",
+      b"11100001110110100000",
+      b"11100001101010010011",
+      b"11100001011110000101",
+      b"11100001010001111001",
+      b"11100001000101101100",
+      b"11100000111001100000",
+      b"11100000101101010100",
+      b"11100000100001001000",
+      b"11100000010100111101",
+      b"11100000001000110001",
+      b"11011111111100100111",
+      b"11011111110000011100",
+      b"11011111100100010010",
+      b"11011111011000001000",
+      b"11011111001011111111",
+      b"11011110111111110110",
+      b"11011110110011101101",
+      b"11011110100111100100",
+      b"11011110011011011100",
+      b"11011110001111010100",
+      b"11011110000011001100",
+      b"11011101110111000101",
+      b"11011101101010111110",
+      b"11011101011110110111",
+      b"11011101010010110001",
+      b"11011101000110101011",
+      b"11011100111010100110",
+      b"11011100101110100000",
+      b"11011100100010011011",
+      b"11011100010110010111",
+      b"11011100001010010010",
+      b"11011011111110001111",
+      b"11011011110010001011",
+      b"11011011100110001000",
+      b"11011011011010000101",
+      b"11011011001110000010",
+      b"11011011000010000000",
+      b"11011010110101111111",
+      b"11011010101001111101",
+      b"11011010011101111100",
+      b"11011010010001111011",
+      b"11011010000101111011",
+      b"11011001111001111011",
+      b"11011001101101111011",
+      b"11011001100001111100",
+      b"11011001010101111101",
+      b"11011001001001111111",
+      b"11011000111110000001",
+      b"11011000110010000011",
+      b"11011000100110000101",
+      b"11011000011010001000",
+      b"11011000001110001100",
+      b"11011000000010010000",
+      b"11010111110110010100",
+      b"11010111101010011000",
+      b"11010111011110011101",
+      b"11010111010010100011",
+      b"11010111000110101000",
+      b"11010110111010101110",
+      b"11010110101110110101",
+      b"11010110100010111100",
+      b"11010110010111000011",
+      b"11010110001011001011",
+      b"11010101111111010011",
+      b"11010101110011011011",
+      b"11010101100111100100",
+      b"11010101011011101110",
+      b"11010101001111110111",
+      b"11010101000100000001",
+      b"11010100111000001100",
+      b"11010100101100010111",
+      b"11010100100000100010",
+      b"11010100010100101110",
+      b"11010100001000111010",
+      b"11010011111101000111",
+      b"11010011110001010100",
+      b"11010011100101100010",
+      b"11010011011001110000",
+      b"11010011001101111110",
+      b"11010011000010001101",
+      b"11010010110110011100",
+      b"11010010101010101100",
+      b"11010010011110111100",
+      b"11010010010011001100",
+      b"11010010000111011101",
+      b"11010001111011101111",
+      b"11010001110000000001",
+      b"11010001100100010011",
+      b"11010001011000100110",
+      b"11010001001100111001",
+      b"11010001000001001101",
+      b"11010000110101100001",
+      b"11010000101001110101",
+      b"11010000011110001010",
+      b"11010000010010100000",
+      b"11010000000110110110",
+      b"11001111111011001100",
+      b"11001111101111100011",
+      b"11001111100011111010",
+      b"11001111011000010010",
+      b"11001111001100101010",
+      b"11001111000001000011",
+      b"11001110110101011100",
+      b"11001110101001110110",
+      b"11001110011110010000",
+      b"11001110010010101011",
+      b"11001110000111000110",
+      b"11001101111011100001",
+      b"11001101101111111110",
+      b"11001101100100011010",
+      b"11001101011000110111",
+      b"11001101001101010101",
+      b"11001101000001110011",
+      b"11001100110110010001",
+      b"11001100101010110000",
+      b"11001100011111010000",
+      b"11001100010011110000",
+      b"11001100001000010000",
+      b"11001011111100110001",
+      b"11001011110001010011",
+      b"11001011100101110101",
+      b"11001011011010010111",
+      b"11001011001110111010",
+      b"11001011000011011110",
+      b"11001010111000000010",
+      b"11001010101100100110",
+      b"11001010100001001011",
+      b"11001010010101110001",
+      b"11001010001010010111",
+      b"11001001111110111110",
+      b"11001001110011100101",
+      b"11001001101000001101",
+      b"11001001011100110101",
+      b"11001001010001011101",
+      b"11001001000110000111",
+      b"11001000111010110000",
+      b"11001000101111011011",
+      b"11001000100100000110",
+      b"11001000011000110001",
+      b"11001000001101011101",
+      b"11001000000010001001",
+      b"11000111110110110110",
+      b"11000111101011100100",
+      b"11000111100000010010",
+      b"11000111010101000000",
+      b"11000111001001110000",
+      b"11000110111110011111",
+      b"11000110110011001111",
+      b"11000110101000000000",
+      b"11000110011100110010",
+      b"11000110010001100100",
+      b"11000110000110010110",
+      b"11000101111011001001",
+      b"11000101101111111101",
+      b"11000101100100110001",
+      b"11000101011001100101",
+      b"11000101001110011011",
+      b"11000101000011010001",
+      b"11000100111000000111",
+      b"11000100101100111110",
+      b"11000100100001110101",
+      b"11000100010110101110",
+      b"11000100001011100110",
+      b"11000100000000100000",
+      b"11000011110101011001",
+      b"11000011101010010100",
+      b"11000011011111001111",
+      b"11000011010100001010",
+      b"11000011001001000111",
+      b"11000010111110000011",
+      b"11000010110011000001",
+      b"11000010100111111111",
+      b"11000010011100111101",
+      b"11000010010001111100",
+      b"11000010000110111100",
+      b"11000001111011111100",
+      b"11000001110000111101",
+      b"11000001100101111111",
+      b"11000001011011000001",
+      b"11000001010000000100",
+      b"11000001000101000111",
+      b"11000000111010001011",
+      b"11000000101111001111",
+      b"11000000100100010101",
+      b"11000000011001011010",
+      b"11000000001110100001",
+      b"11000000000011101000",
+      b"10111111111000101111",
+      b"10111111101101111000",
+      b"10111111100011000000",
+      b"10111111011000001010",
+      b"10111111001101010100",
+      b"10111111000010011111",
+      b"10111110110111101010",
+      b"10111110101100110110",
+      b"10111110100010000011",
+      b"10111110010111010000",
+      b"10111110001100011110",
+      b"10111110000001101100",
+      b"10111101110110111011",
+      b"10111101101100001011",
+      b"10111101100001011011",
+      b"10111101010110101100",
+      b"10111101001011111110",
+      b"10111101000001010000",
+      b"10111100110110100011",
+      b"10111100101011110111",
+      b"10111100100001001011",
+      b"10111100010110100000",
+      b"10111100001011110110",
+      b"10111100000001001100",
+      b"10111011110110100011",
+      b"10111011101011111011",
+      b"10111011100001010011",
+      b"10111011010110101100",
+      b"10111011001100000101",
+      b"10111011000001011111",
+      b"10111010110110111010",
+      b"10111010101100010110",
+      b"10111010100001110010",
+      b"10111010010111001111",
+      b"10111010001100101100",
+      b"10111010000010001010",
+      b"10111001110111101001",
+      b"10111001101101001001",
+      b"10111001100010101001",
+      b"10111001011000001010",
+      b"10111001001101101100",
+      b"10111001000011001110",
+      b"10111000111000110001",
+      b"10111000101110010100",
+      b"10111000100011111001",
+      b"10111000011001011110",
+      b"10111000001111000011",
+      b"10111000000100101010",
+      b"10110111111010010001",
+      b"10110111101111111001",
+      b"10110111100101100001",
+      b"10110111011011001010",
+      b"10110111010000110100",
+      b"10110111000110011111",
+      b"10110110111100001010",
+      b"10110110110001110110",
+      b"10110110100111100011",
+      b"10110110011101010000",
+      b"10110110010010111110",
+      b"10110110001000101101",
+      b"10110101111110011101",
+      b"10110101110100001101",
+      b"10110101101001111110",
+      b"10110101011111101111",
+      b"10110101010101100010",
+      b"10110101001011010101",
+      b"10110101000001001001",
+      b"10110100110110111101",
+      b"10110100101100110011",
+      b"10110100100010101001",
+      b"10110100011000011111",
+      b"10110100001110010111",
+      b"10110100000100001111",
+      b"10110011111010001000",
+      b"10110011110000000010",
+      b"10110011100101111100",
+      b"10110011011011110111",
+      b"10110011010001110011",
+      b"10110011000111110000",
+      b"10110010111101101101",
+      b"10110010110011101011",
+      b"10110010101001101010",
+      b"10110010011111101001",
+      b"10110010010101101010",
+      b"10110010001011101011",
+      b"10110010000001101101",
+      b"10110001110111101111",
+      b"10110001101101110011",
+      b"10110001100011110111",
+      b"10110001011001111011",
+      b"10110001010000000001",
+      b"10110001000110000111",
+      b"10110000111100001111",
+      b"10110000110010010110",
+      b"10110000101000011111",
+      b"10110000011110101000",
+      b"10110000010100110011",
+      b"10110000001010111110",
+      b"10110000000001001001",
+      b"10101111110111010110",
+      b"10101111101101100011",
+      b"10101111100011110001",
+      b"10101111011010000000",
+      b"10101111010000001111",
+      b"10101111000110100000",
+      b"10101110111100110001",
+      b"10101110110011000011",
+      b"10101110101001010110",
+      b"10101110011111101001",
+      b"10101110010101111101",
+      b"10101110001100010010",
+      b"10101110000010101000",
+      b"10101101111000111111",
+      b"10101101101111010110",
+      b"10101101100101101110",
+      b"10101101011100001000",
+      b"10101101010010100001",
+      b"10101101001000111100",
+      b"10101100111111010111",
+      b"10101100110101110100",
+      b"10101100101100010001",
+      b"10101100100010101110",
+      b"10101100011001001101",
+      b"10101100001111101100",
+      b"10101100000110001101",
+      b"10101011111100101110",
+      b"10101011110011010000",
+      b"10101011101001110010",
+      b"10101011100000010110",
+      b"10101011010110111010",
+      b"10101011001101011111",
+      b"10101011000100000101",
+      b"10101010111010101100",
+      b"10101010110001010011",
+      b"10101010100111111100",
+      b"10101010011110100101",
+      b"10101010010101001111",
+      b"10101010001011111010",
+      b"10101010000010100101",
+      b"10101001111001010010",
+      b"10101001101111111111",
+      b"10101001100110101101",
+      b"10101001011101011100",
+      b"10101001010100001100",
+      b"10101001001010111101",
+      b"10101001000001101110",
+      b"10101000111000100001",
+      b"10101000101111010100",
+      b"10101000100110001000",
+      b"10101000011100111101",
+      b"10101000010011110011",
+      b"10101000001010101001",
+      b"10101000000001100001",
+      b"10100111111000011001",
+      b"10100111101111010010",
+      b"10100111100110001100",
+      b"10100111011101000111",
+      b"10100111010100000010",
+      b"10100111001010111111",
+      b"10100111000001111100",
+      b"10100110111000111010",
+      b"10100110101111111001",
+      b"10100110100110111001",
+      b"10100110011101111010",
+      b"10100110010100111100",
+      b"10100110001011111110",
+      b"10100110000011000010",
+      b"10100101111010000110",
+      b"10100101110001001011",
+      b"10100101101000010001",
+      b"10100101011111011000",
+      b"10100101010110100000",
+      b"10100101001101101000",
+      b"10100101000100110010",
+      b"10100100111011111100",
+      b"10100100110011001000",
+      b"10100100101010010100",
+      b"10100100100001100001",
+      b"10100100011000101111",
+      b"10100100001111111101",
+      b"10100100000111001101",
+      b"10100011111110011110",
+      b"10100011110101101111",
+      b"10100011101101000001",
+      b"10100011100100010101",
+      b"10100011011011101001",
+      b"10100011010010111110",
+      b"10100011001010010100",
+      b"10100011000001101010",
+      b"10100010111001000010",
+      b"10100010110000011011",
+      b"10100010100111110100",
+      b"10100010011111001110",
+      b"10100010010110101010",
+      b"10100010001110000110",
+      b"10100010000101100011",
+      b"10100001111101000001",
+      b"10100001110100100000",
+      b"10100001101100000000",
+      b"10100001100011100000",
+      b"10100001011011000010",
+      b"10100001010010100101",
+      b"10100001001010001000",
+      b"10100001000001101100",
+      b"10100000111001010010",
+      b"10100000110000111000",
+      b"10100000101000011111",
+      b"10100000100000000111",
+      b"10100000010111110000",
+      b"10100000001111011010",
+      b"10100000000111000101",
+      b"10011111111110110000",
+      b"10011111110110011101",
+      b"10011111101110001010",
+      b"10011111100101111001",
+      b"10011111011101101000",
+      b"10011111010101011001",
+      b"10011111001101001010",
+      b"10011111000100111100",
+      b"10011110111100101111",
+      b"10011110110100100011",
+      b"10011110101100011001",
+      b"10011110100100001110",
+      b"10011110011100000101",
+      b"10011110010011111101",
+      b"10011110001011110110",
+      b"10011110000011110000",
+      b"10011101111011101010",
+      b"10011101110011100110",
+      b"10011101101011100011",
+      b"10011101100011100000",
+      b"10011101011011011111",
+      b"10011101010011011110",
+      b"10011101001011011110",
+      b"10011101000011100000",
+      b"10011100111011100010",
+      b"10011100110011100101",
+      b"10011100101011101001",
+      b"10011100100011101110",
+      b"10011100011011110101",
+      b"10011100010011111100",
+      b"10011100001100000100",
+      b"10011100000100001101",
+      b"10011011111100010111",
+      b"10011011110100100001",
+      b"10011011101100101101",
+      b"10011011100100111010",
+      b"10011011011101001000",
+      b"10011011010101010111",
+      b"10011011001101100111",
+      b"10011011000101110111",
+      b"10011010111110001001",
+      b"10011010110110011100",
+      b"10011010101110101111",
+      b"10011010100111000100",
+      b"10011010011111011001",
+      b"10011010010111110000",
+      b"10011010010000001000",
+      b"10011010001000100000",
+      b"10011010000000111010",
+      b"10011001111001010100",
+      b"10011001110001110000",
+      b"10011001101010001100",
+      b"10011001100010101001",
+      b"10011001011011001000",
+      b"10011001010011100111",
+      b"10011001001100001000",
+      b"10011001000100101001",
+      b"10011000111101001100",
+      b"10011000110101101111",
+      b"10011000101110010011",
+      b"10011000100110111001",
+      b"10011000011111011111",
+      b"10011000011000000110",
+      b"10011000010000101111",
+      b"10011000001001011000",
+      b"10011000000010000011",
+      b"10010111111010101110",
+      b"10010111110011011010",
+      b"10010111101100001000",
+      b"10010111100100110110",
+      b"10010111011101100110",
+      b"10010111010110010110",
+      b"10010111001111000111",
+      b"10010111000111111010",
+      b"10010111000000101101",
+      b"10010110111001100010",
+      b"10010110110010010111",
+      b"10010110101011001110",
+      b"10010110100100000101",
+      b"10010110011100111110",
+      b"10010110010101110111",
+      b"10010110001110110010",
+      b"10010110000111101101",
+      b"10010110000000101010",
+      b"10010101111001100111",
+      b"10010101110010100110",
+      b"10010101101011100110",
+      b"10010101100100100110",
+      b"10010101011101101000",
+      b"10010101010110101011",
+      b"10010101001111101110",
+      b"10010101001000110011",
+      b"10010101000001111001",
+      b"10010100111011000000",
+      b"10010100110100001000",
+      b"10010100101101010001",
+      b"10010100100110011011",
+      b"10010100011111100110",
+      b"10010100011000110010",
+      b"10010100010001111111",
+      b"10010100001011001101",
+      b"10010100000100011100",
+      b"10010011111101101100",
+      b"10010011110110111101",
+      b"10010011110000010000",
+      b"10010011101001100011",
+      b"10010011100010110111",
+      b"10010011011100001101",
+      b"10010011010101100011",
+      b"10010011001110111010",
+      b"10010011001000010011",
+      b"10010011000001101101",
+      b"10010010111011000111",
+      b"10010010110100100011",
+      b"10010010101110000000",
+      b"10010010100111011101",
+      b"10010010100000111100",
+      b"10010010011010011100",
+      b"10010010010011111101",
+      b"10010010001101011111",
+      b"10010010000111000010",
+      b"10010010000000100110",
+      b"10010001111010001011",
+      b"10010001110011110010",
+      b"10010001101101011001",
+      b"10010001100111000001",
+      b"10010001100000101011",
+      b"10010001011010010101",
+      b"10010001010100000001",
+      b"10010001001101101101",
+      b"10010001000111011011",
+      b"10010001000001001010",
+      b"10010000111010111010",
+      b"10010000110100101011",
+      b"10010000101110011101",
+      b"10010000101000010000",
+      b"10010000100010000100",
+      b"10010000011011111001",
+      b"10010000010101101111",
+      b"10010000001111100111",
+      b"10010000001001011111",
+      b"10010000000011011001",
+      b"10001111111101010011",
+      b"10001111110111001111",
+      b"10001111110001001100",
+      b"10001111101011001001",
+      b"10001111100101001000",
+      b"10001111011111001000",
+      b"10001111011001001001",
+      b"10001111010011001100",
+      b"10001111001101001111",
+      b"10001111000111010011",
+      b"10001111000001011001",
+      b"10001110111011011111",
+      b"10001110110101100111",
+      b"10001110101111101111",
+      b"10001110101001111001",
+      b"10001110100100000100",
+      b"10001110011110010000",
+      b"10001110011000011101",
+      b"10001110010010101011",
+      b"10001110001100111011",
+      b"10001110000111001011",
+      b"10001110000001011100",
+      b"10001101111011101111",
+      b"10001101110110000011",
+      b"10001101110000010111",
+      b"10001101101010101101",
+      b"10001101100101000100",
+      b"10001101011111011100",
+      b"10001101011001110101",
+      b"10001101010100010000",
+      b"10001101001110101011",
+      b"10001101001001000111",
+      b"10001101000011100101",
+      b"10001100111110000100",
+      b"10001100111000100011",
+      b"10001100110011000100",
+      b"10001100101101100110",
+      b"10001100101000001010",
+      b"10001100100010101110",
+      b"10001100011101010011",
+      b"10001100010111111010",
+      b"10001100010010100001",
+      b"10001100001101001010",
+      b"10001100000111110100",
+      b"10001100000010011111",
+      b"10001011111101001011",
+      b"10001011110111111000",
+      b"10001011110010100110",
+      b"10001011101101010110",
+      b"10001011101000000110",
+      b"10001011100010111000",
+      b"10001011011101101010",
+      b"10001011011000011110",
+      b"10001011010011010011",
+      b"10001011001110001010",
+      b"10001011001001000001",
+      b"10001011000011111001",
+      b"10001010111110110011",
+      b"10001010111001101101",
+      b"10001010110100101001",
+      b"10001010101111100110",
+      b"10001010101010100100",
+      b"10001010100101100011",
+      b"10001010100000100100",
+      b"10001010011011100101",
+      b"10001010010110101000",
+      b"10001010010001101011",
+      b"10001010001100110000",
+      b"10001010000111110110",
+      b"10001010000010111101",
+      b"10001001111110000101",
+      b"10001001111001001111",
+      b"10001001110100011001",
+      b"10001001101111100101",
+      b"10001001101010110010",
+      b"10001001100110000000",
+      b"10001001100001001111",
+      b"10001001011100011111",
+      b"10001001010111110000",
+      b"10001001010011000011",
+      b"10001001001110010111",
+      b"10001001001001101011",
+      b"10001001000101000001",
+      b"10001001000000011000",
+      b"10001000111011110001",
+      b"10001000110111001010",
+      b"10001000110010100101",
+      b"10001000101110000000",
+      b"10001000101001011101",
+      b"10001000100100111011",
+      b"10001000100000011010",
+      b"10001000011011111010",
+      b"10001000010111011100",
+      b"10001000010010111110",
+      b"10001000001110100010",
+      b"10001000001010000111",
+      b"10001000000101101101",
+      b"10001000000001010100",
+      b"10000111111100111101",
+      b"10000111111000100110",
+      b"10000111110100010001",
+      b"10000111101111111101",
+      b"10000111101011101010",
+      b"10000111100111011000",
+      b"10000111100011000111",
+      b"10000111011110111000",
+      b"10000111011010101001",
+      b"10000111010110011100",
+      b"10000111010010010000",
+      b"10000111001110000101",
+      b"10000111001001111100",
+      b"10000111000101110011",
+      b"10000111000001101100",
+      b"10000110111101100101",
+      b"10000110111001100000",
+      b"10000110110101011100",
+      b"10000110110001011010",
+      b"10000110101101011000",
+      b"10000110101001011000",
+      b"10000110100101011001",
+      b"10000110100001011011",
+      b"10000110011101011110",
+      b"10000110011001100010",
+      b"10000110010101101000",
+      b"10000110010001101110",
+      b"10000110001101110110",
+      b"10000110001001111111",
+      b"10000110000110001001",
+      b"10000110000010010101",
+      b"10000101111110100001",
+      b"10000101111010101111",
+      b"10000101110110111110",
+      b"10000101110011001110",
+      b"10000101101111011111",
+      b"10000101101011110001",
+      b"10000101101000000101",
+      b"10000101100100011010",
+      b"10000101100000110000",
+      b"10000101011101000111",
+      b"10000101011001011111",
+      b"10000101010101111001",
+      b"10000101010010010011",
+      b"10000101001110101111",
+      b"10000101001011001100",
+      b"10000101000111101010",
+      b"10000101000100001010",
+      b"10000101000000101010",
+      b"10000100111101001100",
+      b"10000100111001101111",
+      b"10000100110110010011",
+      b"10000100110010111001",
+      b"10000100101111011111",
+      b"10000100101100000111",
+      b"10000100101000110000",
+      b"10000100100101011010",
+      b"10000100100010000101",
+      b"10000100011110110010",
+      b"10000100011011011111",
+      b"10000100011000001110",
+      b"10000100010100111110",
+      b"10000100010001101111",
+      b"10000100001110100010",
+      b"10000100001011010101",
+      b"10000100001000001010",
+      b"10000100000101000000",
+      b"10000100000001110111",
+      b"10000011111110110000",
+      b"10000011111011101001",
+      b"10000011111000100100",
+      b"10000011110101100000",
+      b"10000011110010011101",
+      b"10000011101111011100",
+      b"10000011101100011011",
+      b"10000011101001011100",
+      b"10000011100110011110",
+      b"10000011100011100001",
+      b"10000011100000100110",
+      b"10000011011101101011",
+      b"10000011011010110010",
+      b"10000011010111111010",
+      b"10000011010101000011",
+      b"10000011010010001110",
+      b"10000011001111011001",
+      b"10000011001100100110",
+      b"10000011001001110100",
+      b"10000011000111000011",
+      b"10000011000100010011",
+      b"10000011000001100101",
+      b"10000010111110111000",
+      b"10000010111100001100",
+      b"10000010111001100001",
+      b"10000010110110110111",
+      b"10000010110100001111",
+      b"10000010110001101000",
+      b"10000010101111000010",
+      b"10000010101100011101",
+      b"10000010101001111010",
+      b"10000010100111010111",
+      b"10000010100100110110",
+      b"10000010100010010110",
+      b"10000010011111111000",
+      b"10000010011101011010",
+      b"10000010011010111110",
+      b"10000010011000100011",
+      b"10000010010110001001",
+      b"10000010010011110000",
+      b"10000010010001011001",
+      b"10000010001111000010",
+      b"10000010001100101101",
+      b"10000010001010011010",
+      b"10000010001000000111",
+      b"10000010000101110110",
+      b"10000010000011100101",
+      b"10000010000001010110",
+      b"10000001111111001001",
+      b"10000001111100111100",
+      b"10000001111010110001",
+      b"10000001111000100111",
+      b"10000001110110011110",
+      b"10000001110100010110",
+      b"10000001110010010000",
+      b"10000001110000001010",
+      b"10000001101110000110",
+      b"10000001101100000100",
+      b"10000001101010000010",
+      b"10000001101000000010",
+      b"10000001100110000011",
+      b"10000001100100000101",
+      b"10000001100010001000",
+      b"10000001100000001100",
+      b"10000001011110010010",
+      b"10000001011100011001",
+      b"10000001011010100001",
+      b"10000001011000101011",
+      b"10000001010110110101",
+      b"10000001010101000001",
+      b"10000001010011001110",
+      b"10000001010001011100",
+      b"10000001001111101100",
+      b"10000001001101111101",
+      b"10000001001100001110",
+      b"10000001001010100010",
+      b"10000001001000110110",
+      b"10000001000111001100",
+      b"10000001000101100010",
+      b"10000001000011111010",
+      b"10000001000010010100",
+      b"10000001000000101110",
+      b"10000000111111001010",
+      b"10000000111101100111",
+      b"10000000111100000101",
+      b"10000000111010100100",
+      b"10000000111001000101",
+      b"10000000110111100111",
+      b"10000000110110001010",
+      b"10000000110100101110",
+      b"10000000110011010100",
+      b"10000000110001111010",
+      b"10000000110000100010",
+      b"10000000101111001100",
+      b"10000000101101110110",
+      b"10000000101100100010",
+      b"10000000101011001111",
+      b"10000000101001111101",
+      b"10000000101000101100",
+      b"10000000100111011101",
+      b"10000000100110001110",
+      b"10000000100101000001",
+      b"10000000100011110110",
+      b"10000000100010101011",
+      b"10000000100001100010",
+      b"10000000100000011010",
+      b"10000000011111010011",
+      b"10000000011110001101",
+      b"10000000011101001001",
+      b"10000000011100000110",
+      b"10000000011011000100",
+      b"10000000011010000011",
+      b"10000000011001000100",
+      b"10000000011000000101",
+      b"10000000010111001000",
+      b"10000000010110001101",
+      b"10000000010101010010",
+      b"10000000010100011001",
+      b"10000000010011100001",
+      b"10000000010010101010",
+      b"10000000010001110100",
+      b"10000000010001000000",
+      b"10000000010000001101",
+      b"10000000001111011011",
+      b"10000000001110101010",
+      b"10000000001101111010",
+      b"10000000001101001100",
+      b"10000000001100011111",
+      b"10000000001011110011",
+      b"10000000001011001001",
+      b"10000000001010100000",
+      b"10000000001001111000",
+      b"10000000001001010001",
+      b"10000000001000101011",
+      b"10000000001000000111",
+      b"10000000000111100100",
+      b"10000000000111000010",
+      b"10000000000110100001",
+      b"10000000000110000001",
+      b"10000000000101100011",
+      b"10000000000101000110",
+      b"10000000000100101011",
+      b"10000000000100010000",
+      b"10000000000011110111",
+      b"10000000000011011111",
+      b"10000000000011001000",
+      b"10000000000010110010",
+      b"10000000000010011110",
+      b"10000000000010001011",
+      b"10000000000001111001",
+      b"10000000000001101000",
+      b"10000000000001011001",
+      b"10000000000001001011",
+      b"10000000000000111110",
+      b"10000000000000110010",
+      b"10000000000000100111",
+      b"10000000000000011110",
+      b"10000000000000010110",
+      b"10000000000000001111",
+      b"10000000000000001010",
+      b"10000000000000000110",
+      b"10000000000000000010",
+      b"10000000000000000001",
+      b"10000000000000000000",
+      b"10000000000000000001",
+      b"10000000000000000010",
+      b"10000000000000000110",
+      b"10000000000000001010",
+      b"10000000000000001111",
+      b"10000000000000010110",
+      b"10000000000000011110",
+      b"10000000000000100111",
+      b"10000000000000110010",
+      b"10000000000000111110",
+      b"10000000000001001011",
+      b"10000000000001011001",
+      b"10000000000001101000",
+      b"10000000000001111001",
+      b"10000000000010001011",
+      b"10000000000010011110",
+      b"10000000000010110010",
+      b"10000000000011001000",
+      b"10000000000011011111",
+      b"10000000000011110111",
+      b"10000000000100010000",
+      b"10000000000100101011",
+      b"10000000000101000110",
+      b"10000000000101100011",
+      b"10000000000110000001",
+      b"10000000000110100001",
+      b"10000000000111000010",
+      b"10000000000111100100",
+      b"10000000001000000111",
+      b"10000000001000101011",
+      b"10000000001001010001",
+      b"10000000001001111000",
+      b"10000000001010100000",
+      b"10000000001011001001",
+      b"10000000001011110011",
+      b"10000000001100011111",
+      b"10000000001101001100",
+      b"10000000001101111010",
+      b"10000000001110101010",
+      b"10000000001111011011",
+      b"10000000010000001101",
+      b"10000000010001000000",
+      b"10000000010001110100",
+      b"10000000010010101010",
+      b"10000000010011100001",
+      b"10000000010100011001",
+      b"10000000010101010010",
+      b"10000000010110001101",
+      b"10000000010111001000",
+      b"10000000011000000101",
+      b"10000000011001000100",
+      b"10000000011010000011",
+      b"10000000011011000100",
+      b"10000000011100000110",
+      b"10000000011101001001",
+      b"10000000011110001101",
+      b"10000000011111010011",
+      b"10000000100000011010",
+      b"10000000100001100010",
+      b"10000000100010101011",
+      b"10000000100011110110",
+      b"10000000100101000001",
+      b"10000000100110001110",
+      b"10000000100111011101",
+      b"10000000101000101100",
+      b"10000000101001111101",
+      b"10000000101011001111",
+      b"10000000101100100010",
+      b"10000000101101110110",
+      b"10000000101111001100",
+      b"10000000110000100010",
+      b"10000000110001111010",
+      b"10000000110011010100",
+      b"10000000110100101110",
+      b"10000000110110001010",
+      b"10000000110111100111",
+      b"10000000111001000101",
+      b"10000000111010100100",
+      b"10000000111100000101",
+      b"10000000111101100111",
+      b"10000000111111001010",
+      b"10000001000000101110",
+      b"10000001000010010100",
+      b"10000001000011111010",
+      b"10000001000101100010",
+      b"10000001000111001100",
+      b"10000001001000110110",
+      b"10000001001010100010",
+      b"10000001001100001110",
+      b"10000001001101111101",
+      b"10000001001111101100",
+      b"10000001010001011100",
+      b"10000001010011001110",
+      b"10000001010101000001",
+      b"10000001010110110101",
+      b"10000001011000101011",
+      b"10000001011010100001",
+      b"10000001011100011001",
+      b"10000001011110010010",
+      b"10000001100000001100",
+      b"10000001100010001000",
+      b"10000001100100000101",
+      b"10000001100110000011",
+      b"10000001101000000010",
+      b"10000001101010000010",
+      b"10000001101100000100",
+      b"10000001101110000110",
+      b"10000001110000001010",
+      b"10000001110010010000",
+      b"10000001110100010110",
+      b"10000001110110011110",
+      b"10000001111000100111",
+      b"10000001111010110001",
+      b"10000001111100111100",
+      b"10000001111111001001",
+      b"10000010000001010110",
+      b"10000010000011100101",
+      b"10000010000101110110",
+      b"10000010001000000111",
+      b"10000010001010011010",
+      b"10000010001100101101",
+      b"10000010001111000010",
+      b"10000010010001011001",
+      b"10000010010011110000",
+      b"10000010010110001001",
+      b"10000010011000100011",
+      b"10000010011010111110",
+      b"10000010011101011010",
+      b"10000010011111111000",
+      b"10000010100010010110",
+      b"10000010100100110110",
+      b"10000010100111010111",
+      b"10000010101001111010",
+      b"10000010101100011101",
+      b"10000010101111000010",
+      b"10000010110001101000",
+      b"10000010110100001111",
+      b"10000010110110110111",
+      b"10000010111001100001",
+      b"10000010111100001100",
+      b"10000010111110111000",
+      b"10000011000001100101",
+      b"10000011000100010011",
+      b"10000011000111000011",
+      b"10000011001001110100",
+      b"10000011001100100110",
+      b"10000011001111011001",
+      b"10000011010010001110",
+      b"10000011010101000011",
+      b"10000011010111111010",
+      b"10000011011010110010",
+      b"10000011011101101011",
+      b"10000011100000100110",
+      b"10000011100011100001",
+      b"10000011100110011110",
+      b"10000011101001011100",
+      b"10000011101100011011",
+      b"10000011101111011100",
+      b"10000011110010011101",
+      b"10000011110101100000",
+      b"10000011111000100100",
+      b"10000011111011101001",
+      b"10000011111110110000",
+      b"10000100000001110111",
+      b"10000100000101000000",
+      b"10000100001000001010",
+      b"10000100001011010101",
+      b"10000100001110100010",
+      b"10000100010001101111",
+      b"10000100010100111110",
+      b"10000100011000001110",
+      b"10000100011011011111",
+      b"10000100011110110010",
+      b"10000100100010000101",
+      b"10000100100101011010",
+      b"10000100101000110000",
+      b"10000100101100000111",
+      b"10000100101111011111",
+      b"10000100110010111001",
+      b"10000100110110010011",
+      b"10000100111001101111",
+      b"10000100111101001100",
+      b"10000101000000101010",
+      b"10000101000100001010",
+      b"10000101000111101010",
+      b"10000101001011001100",
+      b"10000101001110101111",
+      b"10000101010010010011",
+      b"10000101010101111001",
+      b"10000101011001011111",
+      b"10000101011101000111",
+      b"10000101100000110000",
+      b"10000101100100011010",
+      b"10000101101000000101",
+      b"10000101101011110001",
+      b"10000101101111011111",
+      b"10000101110011001110",
+      b"10000101110110111110",
+      b"10000101111010101111",
+      b"10000101111110100001",
+      b"10000110000010010101",
+      b"10000110000110001001",
+      b"10000110001001111111",
+      b"10000110001101110110",
+      b"10000110010001101110",
+      b"10000110010101101000",
+      b"10000110011001100010",
+      b"10000110011101011110",
+      b"10000110100001011011",
+      b"10000110100101011001",
+      b"10000110101001011000",
+      b"10000110101101011000",
+      b"10000110110001011010",
+      b"10000110110101011100",
+      b"10000110111001100000",
+      b"10000110111101100101",
+      b"10000111000001101100",
+      b"10000111000101110011",
+      b"10000111001001111100",
+      b"10000111001110000101",
+      b"10000111010010010000",
+      b"10000111010110011100",
+      b"10000111011010101001",
+      b"10000111011110111000",
+      b"10000111100011000111",
+      b"10000111100111011000",
+      b"10000111101011101010",
+      b"10000111101111111101",
+      b"10000111110100010001",
+      b"10000111111000100110",
+      b"10000111111100111101",
+      b"10001000000001010100",
+      b"10001000000101101101",
+      b"10001000001010000111",
+      b"10001000001110100010",
+      b"10001000010010111110",
+      b"10001000010111011100",
+      b"10001000011011111010",
+      b"10001000100000011010",
+      b"10001000100100111011",
+      b"10001000101001011101",
+      b"10001000101110000000",
+      b"10001000110010100101",
+      b"10001000110111001010",
+      b"10001000111011110001",
+      b"10001001000000011000",
+      b"10001001000101000001",
+      b"10001001001001101011",
+      b"10001001001110010111",
+      b"10001001010011000011",
+      b"10001001010111110000",
+      b"10001001011100011111",
+      b"10001001100001001111",
+      b"10001001100110000000",
+      b"10001001101010110010",
+      b"10001001101111100101",
+      b"10001001110100011001",
+      b"10001001111001001111",
+      b"10001001111110000101",
+      b"10001010000010111101",
+      b"10001010000111110110",
+      b"10001010001100110000",
+      b"10001010010001101011",
+      b"10001010010110101000",
+      b"10001010011011100101",
+      b"10001010100000100100",
+      b"10001010100101100011",
+      b"10001010101010100100",
+      b"10001010101111100110",
+      b"10001010110100101001",
+      b"10001010111001101101",
+      b"10001010111110110011",
+      b"10001011000011111001",
+      b"10001011001001000001",
+      b"10001011001110001010",
+      b"10001011010011010011",
+      b"10001011011000011110",
+      b"10001011011101101010",
+      b"10001011100010111000",
+      b"10001011101000000110",
+      b"10001011101101010110",
+      b"10001011110010100110",
+      b"10001011110111111000",
+      b"10001011111101001011",
+      b"10001100000010011111",
+      b"10001100000111110100",
+      b"10001100001101001010",
+      b"10001100010010100001",
+      b"10001100010111111010",
+      b"10001100011101010011",
+      b"10001100100010101110",
+      b"10001100101000001010",
+      b"10001100101101100110",
+      b"10001100110011000100",
+      b"10001100111000100011",
+      b"10001100111110000100",
+      b"10001101000011100101",
+      b"10001101001001000111",
+      b"10001101001110101011",
+      b"10001101010100010000",
+      b"10001101011001110101",
+      b"10001101011111011100",
+      b"10001101100101000100",
+      b"10001101101010101101",
+      b"10001101110000010111",
+      b"10001101110110000011",
+      b"10001101111011101111",
+      b"10001110000001011100",
+      b"10001110000111001011",
+      b"10001110001100111011",
+      b"10001110010010101011",
+      b"10001110011000011101",
+      b"10001110011110010000",
+      b"10001110100100000100",
+      b"10001110101001111001",
+      b"10001110101111101111",
+      b"10001110110101100111",
+      b"10001110111011011111",
+      b"10001111000001011001",
+      b"10001111000111010011",
+      b"10001111001101001111",
+      b"10001111010011001100",
+      b"10001111011001001001",
+      b"10001111011111001000",
+      b"10001111100101001000",
+      b"10001111101011001001",
+      b"10001111110001001100",
+      b"10001111110111001111",
+      b"10001111111101010011",
+      b"10010000000011011001",
+      b"10010000001001011111",
+      b"10010000001111100111",
+      b"10010000010101101111",
+      b"10010000011011111001",
+      b"10010000100010000100",
+      b"10010000101000010000",
+      b"10010000101110011101",
+      b"10010000110100101011",
+      b"10010000111010111010",
+      b"10010001000001001010",
+      b"10010001000111011011",
+      b"10010001001101101101",
+      b"10010001010100000001",
+      b"10010001011010010101",
+      b"10010001100000101011",
+      b"10010001100111000001",
+      b"10010001101101011001",
+      b"10010001110011110010",
+      b"10010001111010001011",
+      b"10010010000000100110",
+      b"10010010000111000010",
+      b"10010010001101011111",
+      b"10010010010011111101",
+      b"10010010011010011100",
+      b"10010010100000111100",
+      b"10010010100111011101",
+      b"10010010101110000000",
+      b"10010010110100100011",
+      b"10010010111011000111",
+      b"10010011000001101101",
+      b"10010011001000010011",
+      b"10010011001110111010",
+      b"10010011010101100011",
+      b"10010011011100001101",
+      b"10010011100010110111",
+      b"10010011101001100011",
+      b"10010011110000010000",
+      b"10010011110110111101",
+      b"10010011111101101100",
+      b"10010100000100011100",
+      b"10010100001011001101",
+      b"10010100010001111111",
+      b"10010100011000110010",
+      b"10010100011111100110",
+      b"10010100100110011011",
+      b"10010100101101010001",
+      b"10010100110100001000",
+      b"10010100111011000000",
+      b"10010101000001111001",
+      b"10010101001000110011",
+      b"10010101001111101110",
+      b"10010101010110101011",
+      b"10010101011101101000",
+      b"10010101100100100110",
+      b"10010101101011100110",
+      b"10010101110010100110",
+      b"10010101111001100111",
+      b"10010110000000101010",
+      b"10010110000111101101",
+      b"10010110001110110010",
+      b"10010110010101110111",
+      b"10010110011100111110",
+      b"10010110100100000101",
+      b"10010110101011001110",
+      b"10010110110010010111",
+      b"10010110111001100010",
+      b"10010111000000101101",
+      b"10010111000111111010",
+      b"10010111001111000111",
+      b"10010111010110010110",
+      b"10010111011101100110",
+      b"10010111100100110110",
+      b"10010111101100001000",
+      b"10010111110011011010",
+      b"10010111111010101110",
+      b"10011000000010000011",
+      b"10011000001001011000",
+      b"10011000010000101111",
+      b"10011000011000000110",
+      b"10011000011111011111",
+      b"10011000100110111001",
+      b"10011000101110010011",
+      b"10011000110101101111",
+      b"10011000111101001100",
+      b"10011001000100101001",
+      b"10011001001100001000",
+      b"10011001010011100111",
+      b"10011001011011001000",
+      b"10011001100010101001",
+      b"10011001101010001100",
+      b"10011001110001110000",
+      b"10011001111001010100",
+      b"10011010000000111010",
+      b"10011010001000100000",
+      b"10011010010000001000",
+      b"10011010010111110000",
+      b"10011010011111011001",
+      b"10011010100111000100",
+      b"10011010101110101111",
+      b"10011010110110011100",
+      b"10011010111110001001",
+      b"10011011000101110111",
+      b"10011011001101100111",
+      b"10011011010101010111",
+      b"10011011011101001000",
+      b"10011011100100111010",
+      b"10011011101100101101",
+      b"10011011110100100001",
+      b"10011011111100010111",
+      b"10011100000100001101",
+      b"10011100001100000100",
+      b"10011100010011111100",
+      b"10011100011011110101",
+      b"10011100100011101110",
+      b"10011100101011101001",
+      b"10011100110011100101",
+      b"10011100111011100010",
+      b"10011101000011100000",
+      b"10011101001011011110",
+      b"10011101010011011110",
+      b"10011101011011011111",
+      b"10011101100011100000",
+      b"10011101101011100011",
+      b"10011101110011100110",
+      b"10011101111011101010",
+      b"10011110000011110000",
+      b"10011110001011110110",
+      b"10011110010011111101",
+      b"10011110011100000101",
+      b"10011110100100001110",
+      b"10011110101100011001",
+      b"10011110110100100011",
+      b"10011110111100101111",
+      b"10011111000100111100",
+      b"10011111001101001010",
+      b"10011111010101011001",
+      b"10011111011101101000",
+      b"10011111100101111001",
+      b"10011111101110001010",
+      b"10011111110110011101",
+      b"10011111111110110000",
+      b"10100000000111000101",
+      b"10100000001111011010",
+      b"10100000010111110000",
+      b"10100000100000000111",
+      b"10100000101000011111",
+      b"10100000110000111000",
+      b"10100000111001010010",
+      b"10100001000001101100",
+      b"10100001001010001000",
+      b"10100001010010100101",
+      b"10100001011011000010",
+      b"10100001100011100000",
+      b"10100001101100000000",
+      b"10100001110100100000",
+      b"10100001111101000001",
+      b"10100010000101100011",
+      b"10100010001110000110",
+      b"10100010010110101010",
+      b"10100010011111001110",
+      b"10100010100111110100",
+      b"10100010110000011011",
+      b"10100010111001000010",
+      b"10100011000001101010",
+      b"10100011001010010100",
+      b"10100011010010111110",
+      b"10100011011011101001",
+      b"10100011100100010101",
+      b"10100011101101000001",
+      b"10100011110101101111",
+      b"10100011111110011110",
+      b"10100100000111001101",
+      b"10100100001111111101",
+      b"10100100011000101111",
+      b"10100100100001100001",
+      b"10100100101010010100",
+      b"10100100110011001000",
+      b"10100100111011111100",
+      b"10100101000100110010",
+      b"10100101001101101000",
+      b"10100101010110100000",
+      b"10100101011111011000",
+      b"10100101101000010001",
+      b"10100101110001001011",
+      b"10100101111010000110",
+      b"10100110000011000010",
+      b"10100110001011111110",
+      b"10100110010100111100",
+      b"10100110011101111010",
+      b"10100110100110111001",
+      b"10100110101111111001",
+      b"10100110111000111010",
+      b"10100111000001111100",
+      b"10100111001010111111",
+      b"10100111010100000010",
+      b"10100111011101000111",
+      b"10100111100110001100",
+      b"10100111101111010010",
+      b"10100111111000011001",
+      b"10101000000001100001",
+      b"10101000001010101001",
+      b"10101000010011110011",
+      b"10101000011100111101",
+      b"10101000100110001000",
+      b"10101000101111010100",
+      b"10101000111000100001",
+      b"10101001000001101110",
+      b"10101001001010111101",
+      b"10101001010100001100",
+      b"10101001011101011100",
+      b"10101001100110101101",
+      b"10101001101111111111",
+      b"10101001111001010010",
+      b"10101010000010100101",
+      b"10101010001011111010",
+      b"10101010010101001111",
+      b"10101010011110100101",
+      b"10101010100111111100",
+      b"10101010110001010011",
+      b"10101010111010101100",
+      b"10101011000100000101",
+      b"10101011001101011111",
+      b"10101011010110111010",
+      b"10101011100000010110",
+      b"10101011101001110010",
+      b"10101011110011010000",
+      b"10101011111100101110",
+      b"10101100000110001101",
+      b"10101100001111101100",
+      b"10101100011001001101",
+      b"10101100100010101110",
+      b"10101100101100010001",
+      b"10101100110101110100",
+      b"10101100111111010111",
+      b"10101101001000111100",
+      b"10101101010010100001",
+      b"10101101011100001000",
+      b"10101101100101101110",
+      b"10101101101111010110",
+      b"10101101111000111111",
+      b"10101110000010101000",
+      b"10101110001100010010",
+      b"10101110010101111101",
+      b"10101110011111101001",
+      b"10101110101001010110",
+      b"10101110110011000011",
+      b"10101110111100110001",
+      b"10101111000110100000",
+      b"10101111010000001111",
+      b"10101111011010000000",
+      b"10101111100011110001",
+      b"10101111101101100011",
+      b"10101111110111010110",
+      b"10110000000001001001",
+      b"10110000001010111110",
+      b"10110000010100110011",
+      b"10110000011110101000",
+      b"10110000101000011111",
+      b"10110000110010010110",
+      b"10110000111100001111",
+      b"10110001000110000111",
+      b"10110001010000000001",
+      b"10110001011001111011",
+      b"10110001100011110111",
+      b"10110001101101110011",
+      b"10110001110111101111",
+      b"10110010000001101101",
+      b"10110010001011101011",
+      b"10110010010101101010",
+      b"10110010011111101001",
+      b"10110010101001101010",
+      b"10110010110011101011",
+      b"10110010111101101101",
+      b"10110011000111110000",
+      b"10110011010001110011",
+      b"10110011011011110111",
+      b"10110011100101111100",
+      b"10110011110000000010",
+      b"10110011111010001000",
+      b"10110100000100001111",
+      b"10110100001110010111",
+      b"10110100011000011111",
+      b"10110100100010101001",
+      b"10110100101100110011",
+      b"10110100110110111101",
+      b"10110101000001001001",
+      b"10110101001011010101",
+      b"10110101010101100010",
+      b"10110101011111101111",
+      b"10110101101001111110",
+      b"10110101110100001101",
+      b"10110101111110011101",
+      b"10110110001000101101",
+      b"10110110010010111110",
+      b"10110110011101010000",
+      b"10110110100111100011",
+      b"10110110110001110110",
+      b"10110110111100001010",
+      b"10110111000110011111",
+      b"10110111010000110100",
+      b"10110111011011001010",
+      b"10110111100101100001",
+      b"10110111101111111001",
+      b"10110111111010010001",
+      b"10111000000100101010",
+      b"10111000001111000011",
+      b"10111000011001011110",
+      b"10111000100011111001",
+      b"10111000101110010100",
+      b"10111000111000110001",
+      b"10111001000011001110",
+      b"10111001001101101100",
+      b"10111001011000001010",
+      b"10111001100010101001",
+      b"10111001101101001001",
+      b"10111001110111101001",
+      b"10111010000010001010",
+      b"10111010001100101100",
+      b"10111010010111001111",
+      b"10111010100001110010",
+      b"10111010101100010110",
+      b"10111010110110111010",
+      b"10111011000001011111",
+      b"10111011001100000101",
+      b"10111011010110101100",
+      b"10111011100001010011",
+      b"10111011101011111011",
+      b"10111011110110100011",
+      b"10111100000001001100",
+      b"10111100001011110110",
+      b"10111100010110100000",
+      b"10111100100001001011",
+      b"10111100101011110111",
+      b"10111100110110100011",
+      b"10111101000001010000",
+      b"10111101001011111110",
+      b"10111101010110101100",
+      b"10111101100001011011",
+      b"10111101101100001011",
+      b"10111101110110111011",
+      b"10111110000001101100",
+      b"10111110001100011110",
+      b"10111110010111010000",
+      b"10111110100010000011",
+      b"10111110101100110110",
+      b"10111110110111101010",
+      b"10111111000010011111",
+      b"10111111001101010100",
+      b"10111111011000001010",
+      b"10111111100011000000",
+      b"10111111101101111000",
+      b"10111111111000101111",
+      b"11000000000011101000",
+      b"11000000001110100001",
+      b"11000000011001011010",
+      b"11000000100100010101",
+      b"11000000101111001111",
+      b"11000000111010001011",
+      b"11000001000101000111",
+      b"11000001010000000100",
+      b"11000001011011000001",
+      b"11000001100101111111",
+      b"11000001110000111101",
+      b"11000001111011111100",
+      b"11000010000110111100",
+      b"11000010010001111100",
+      b"11000010011100111101",
+      b"11000010100111111111",
+      b"11000010110011000001",
+      b"11000010111110000011",
+      b"11000011001001000111",
+      b"11000011010100001010",
+      b"11000011011111001111",
+      b"11000011101010010100",
+      b"11000011110101011001",
+      b"11000100000000100000",
+      b"11000100001011100110",
+      b"11000100010110101110",
+      b"11000100100001110101",
+      b"11000100101100111110",
+      b"11000100111000000111",
+      b"11000101000011010001",
+      b"11000101001110011011",
+      b"11000101011001100101",
+      b"11000101100100110001",
+      b"11000101101111111101",
+      b"11000101111011001001",
+      b"11000110000110010110",
+      b"11000110010001100100",
+      b"11000110011100110010",
+      b"11000110101000000000",
+      b"11000110110011001111",
+      b"11000110111110011111",
+      b"11000111001001110000",
+      b"11000111010101000000",
+      b"11000111100000010010",
+      b"11000111101011100100",
+      b"11000111110110110110",
+      b"11001000000010001001",
+      b"11001000001101011101",
+      b"11001000011000110001",
+      b"11001000100100000110",
+      b"11001000101111011011",
+      b"11001000111010110000",
+      b"11001001000110000111",
+      b"11001001010001011101",
+      b"11001001011100110101",
+      b"11001001101000001101",
+      b"11001001110011100101",
+      b"11001001111110111110",
+      b"11001010001010010111",
+      b"11001010010101110001",
+      b"11001010100001001011",
+      b"11001010101100100110",
+      b"11001010111000000010",
+      b"11001011000011011110",
+      b"11001011001110111010",
+      b"11001011011010010111",
+      b"11001011100101110101",
+      b"11001011110001010011",
+      b"11001011111100110001",
+      b"11001100001000010000",
+      b"11001100010011110000",
+      b"11001100011111010000",
+      b"11001100101010110000",
+      b"11001100110110010001",
+      b"11001101000001110011",
+      b"11001101001101010101",
+      b"11001101011000110111",
+      b"11001101100100011010",
+      b"11001101101111111110",
+      b"11001101111011100001",
+      b"11001110000111000110",
+      b"11001110010010101011",
+      b"11001110011110010000",
+      b"11001110101001110110",
+      b"11001110110101011100",
+      b"11001111000001000011",
+      b"11001111001100101010",
+      b"11001111011000010010",
+      b"11001111100011111010",
+      b"11001111101111100011",
+      b"11001111111011001100",
+      b"11010000000110110110",
+      b"11010000010010100000",
+      b"11010000011110001010",
+      b"11010000101001110101",
+      b"11010000110101100001",
+      b"11010001000001001101",
+      b"11010001001100111001",
+      b"11010001011000100110",
+      b"11010001100100010011",
+      b"11010001110000000001",
+      b"11010001111011101111",
+      b"11010010000111011101",
+      b"11010010010011001100",
+      b"11010010011110111100",
+      b"11010010101010101100",
+      b"11010010110110011100",
+      b"11010011000010001101",
+      b"11010011001101111110",
+      b"11010011011001110000",
+      b"11010011100101100010",
+      b"11010011110001010100",
+      b"11010011111101000111",
+      b"11010100001000111010",
+      b"11010100010100101110",
+      b"11010100100000100010",
+      b"11010100101100010111",
+      b"11010100111000001100",
+      b"11010101000100000001",
+      b"11010101001111110111",
+      b"11010101011011101110",
+      b"11010101100111100100",
+      b"11010101110011011011",
+      b"11010101111111010011",
+      b"11010110001011001011",
+      b"11010110010111000011",
+      b"11010110100010111100",
+      b"11010110101110110101",
+      b"11010110111010101110",
+      b"11010111000110101000",
+      b"11010111010010100011",
+      b"11010111011110011101",
+      b"11010111101010011000",
+      b"11010111110110010100",
+      b"11011000000010010000",
+      b"11011000001110001100",
+      b"11011000011010001000",
+      b"11011000100110000101",
+      b"11011000110010000011",
+      b"11011000111110000001",
+      b"11011001001001111111",
+      b"11011001010101111101",
+      b"11011001100001111100",
+      b"11011001101101111011",
+      b"11011001111001111011",
+      b"11011010000101111011",
+      b"11011010010001111011",
+      b"11011010011101111100",
+      b"11011010101001111101",
+      b"11011010110101111111",
+      b"11011011000010000000",
+      b"11011011001110000010",
+      b"11011011011010000101",
+      b"11011011100110001000",
+      b"11011011110010001011",
+      b"11011011111110001111",
+      b"11011100001010010010",
+      b"11011100010110010111",
+      b"11011100100010011011",
+      b"11011100101110100000",
+      b"11011100111010100110",
+      b"11011101000110101011",
+      b"11011101010010110001",
+      b"11011101011110110111",
+      b"11011101101010111110",
+      b"11011101110111000101",
+      b"11011110000011001100",
+      b"11011110001111010100",
+      b"11011110011011011100",
+      b"11011110100111100100",
+      b"11011110110011101101",
+      b"11011110111111110110",
+      b"11011111001011111111",
+      b"11011111011000001000",
+      b"11011111100100010010",
+      b"11011111110000011100",
+      b"11011111111100100111",
+      b"11100000001000110001",
+      b"11100000010100111101",
+      b"11100000100001001000",
+      b"11100000101101010100",
+      b"11100000111001100000",
+      b"11100001000101101100",
+      b"11100001010001111001",
+      b"11100001011110000101",
+      b"11100001101010010011",
+      b"11100001110110100000",
+      b"11100010000010101110",
+      b"11100010001110111100",
+      b"11100010011011001010",
+      b"11100010100111011001",
+      b"11100010110011101000",
+      b"11100010111111110111",
+      b"11100011001100000110",
+      b"11100011011000010110",
+      b"11100011100100100110",
+      b"11100011110000110110",
+      b"11100011111101000111",
+      b"11100100001001011000",
+      b"11100100010101101001",
+      b"11100100100001111010",
+      b"11100100101110001100",
+      b"11100100111010011110",
+      b"11100101000110110000",
+      b"11100101010011000011",
+      b"11100101011111010101",
+      b"11100101101011101000",
+      b"11100101110111111011",
+      b"11100110000100001111",
+      b"11100110010000100010",
+      b"11100110011100110110",
+      b"11100110101001001011",
+      b"11100110110101011111",
+      b"11100111000001110100",
+      b"11100111001110001001",
+      b"11100111011010011110",
+      b"11100111100110110011",
+      b"11100111110011001001",
+      b"11100111111111011111",
+      b"11101000001011110101",
+      b"11101000011000001011",
+      b"11101000100100100010",
+      b"11101000110000111000",
+      b"11101000111101001111",
+      b"11101001001001100111",
+      b"11101001010101111110",
+      b"11101001100010010110",
+      b"11101001101110101110",
+      b"11101001111011000110",
+      b"11101010000111011110",
+      b"11101010010011110110",
+      b"11101010100000001111",
+      b"11101010101100101000",
+      b"11101010111001000001",
+      b"11101011000101011011",
+      b"11101011010001110100",
+      b"11101011011110001110",
+      b"11101011101010101000",
+      b"11101011110111000010",
+      b"11101100000011011100",
+      b"11101100001111110111",
+      b"11101100011100010001",
+      b"11101100101000101100",
+      b"11101100110101000111",
+      b"11101101000001100011",
+      b"11101101001101111110",
+      b"11101101011010011010",
+      b"11101101100110110110",
+      b"11101101110011010010",
+      b"11101101111111101110",
+      b"11101110001100001010",
+      b"11101110011000100111",
+      b"11101110100101000011",
+      b"11101110110001100000",
+      b"11101110111101111101",
+      b"11101111001010011010",
+      b"11101111010110111000",
+      b"11101111100011010101",
+      b"11101111101111110011",
+      b"11101111111100010001",
+      b"11110000001000101111",
+      b"11110000010101001101",
+      b"11110000100001101011",
+      b"11110000101110001001",
+      b"11110000111010101000",
+      b"11110001000111000111",
+      b"11110001010011100110",
+      b"11110001100000000101",
+      b"11110001101100100100",
+      b"11110001111001000011",
+      b"11110010000101100010",
+      b"11110010010010000010",
+      b"11110010011110100010",
+      b"11110010101011000001",
+      b"11110010110111100001",
+      b"11110011000100000001",
+      b"11110011010000100010",
+      b"11110011011101000010",
+      b"11110011101001100010",
+      b"11110011110110000011",
+      b"11110100000010100100",
+      b"11110100001111000100",
+      b"11110100011011100101",
+      b"11110100101000000110",
+      b"11110100110100100111",
+      b"11110101000001001001",
+      b"11110101001101101010",
+      b"11110101011010001011",
+      b"11110101100110101101",
+      b"11110101110011001111",
+      b"11110101111111110000",
+      b"11110110001100010010",
+      b"11110110011000110100",
+      b"11110110100101010110",
+      b"11110110110001111000",
+      b"11110110111110011010",
+      b"11110111001010111101",
+      b"11110111010111011111",
+      b"11110111100100000001",
+      b"11110111110000100100",
+      b"11110111111101000111",
+      b"11111000001001101001",
+      b"11111000010110001100",
+      b"11111000100010101111",
+      b"11111000101111010010",
+      b"11111000111011110101",
+      b"11111001001000011000",
+      b"11111001010100111011",
+      b"11111001100001011110",
+      b"11111001101110000001",
+      b"11111001111010100101",
+      b"11111010000111001000",
+      b"11111010010011101100",
+      b"11111010100000001111",
+      b"11111010101100110011",
+      b"11111010111001010110",
+      b"11111011000101111010",
+      b"11111011010010011101",
+      b"11111011011111000001",
+      b"11111011101011100101",
+      b"11111011111000001001",
+      b"11111100000100101101",
+      b"11111100010001010000",
+      b"11111100011101110100",
+      b"11111100101010011000",
+      b"11111100110110111100",
+      b"11111101000011100000",
+      b"11111101010000000100",
+      b"11111101011100101000",
+      b"11111101101001001101",
+      b"11111101110101110001",
+      b"11111110000010010101",
+      b"11111110001110111001",
+      b"11111110011011011101",
+      b"11111110101000000001",
+      b"11111110110100100110",
+      b"11111111000001001010",
+      b"11111111001101101110",
+      b"11111111011010010010",
+      b"11111111100110110111",
+    b"11111111110011011011"
 	 ); 
 
    constant wMap: wMapTyp := 
diff --git a/libraries/dsp/rTwoSDF/src/vhdl/twiddlesPkg_wb.vhd b/libraries/dsp/rTwoSDF/src/vhdl/twiddlesPkg_wb.vhd
deleted file mode 100644
index d2e8b898b43314e22d2416b33215550a6370490c..0000000000000000000000000000000000000000
--- a/libraries/dsp/rTwoSDF/src/vhdl/twiddlesPkg_wb.vhd
+++ /dev/null
@@ -1,139 +0,0 @@
-------------------------------------- 
---FILE GENERATED BY TWIDDLE GENERATOR 
---DO NOT EDIT THIS FILE !!! 
--------------------------------------
---Author	:R.T.Rajan      
---Date    :09-Nov-2011
---N       :17 # of FFT points 
---B       :16 # of twiddle bits 
---P       :4   over clocking rate 
--------------------------------------
-
-
-Library ieee; 
-use ieee.std_logic_1164.all; 
-use ieee.numeric_std.all; 
-
- package twiddlesPkg_wb is 
- constant copyRightNotice: string 
- := "Copyright 2009-2011 , ASTRON. All rights reserved."; 
-
-   subtype wTyp is std_logic_vector(15 downto 0); 
-   type wRowTyp is array( 1 to		17 ) of wTyp; 
-   type wMapTyp is array( integer range 0 to	31, integer range 	4	downto 1) of natural; 
-
-   constant wRe: wRowTyp := 
-  ( 
-      b"0111111111111111",
-      b"0111110110001001",
-      b"0111011001000001",
-      b"0110101001101101",
-      b"0101101010000010",
-      b"0100011100011100",
-      b"0011000011111011",
-      b"0001100011111001",
-      b"0000000000000000",
-      b"1110011100000110",
-      b"1100111100000100",
-      b"1011100011100011",
-      b"1010010101111101",
-      b"1001010110010010",
-      b"1000100110111110",
-      b"1000001001110110",
-        b"1000000000000000"
-	 ); 
-
-   constant wIm: wRowTyp := 
-  ( 
-      b"0111111111111111",
-      b"0111110110001001",
-      b"0111011001000001",
-      b"0110101001101101",
-      b"0101101010000010",
-      b"0100011100011100",
-      b"0011000011111011",
-      b"0001100011111001",
-      b"0000000000000000",
-      b"1110011100000110",
-      b"1100111100000100",
-      b"1011100011100011",
-      b"1010010101111101",
-      b"1001010110010010",
-      b"1000100110111110",
-      b"1000001001110110",
-        b"1000001001110110"
-	 ); 
-
-   constant wMapRe: wMapTyp := 
-  ( 
-       (1,1,1,1),
-       (1,2,3,4),
-       (1,3,5,7),
-       (1,4,7,10),
-       (1,5,9,13),
-       (1,6,11,16),
-       (1,7,13,15),
-       (1,8,15,12),
-       (1,9,17,9),
-       (1,10,15,6),
-       (1,11,13,3),
-       (1,12,11,2),
-       (1,13,9,5),
-       (1,14,7,8),
-       (1,15,5,11),
-       (1,16,3,14),
-       (1,17,1,17),
-       (1,16,3,14),
-       (1,15,5,11),
-       (1,14,7,8),
-       (1,13,9,5),
-       (1,12,11,2),
-       (1,11,13,3),
-       (1,10,15,6),
-       (1,9,17,9),
-       (1,8,15,12),
-       (1,7,13,15),
-       (1,6,11,16),
-       (1,5,9,13),
-       (1,4,7,10),
-       (1,3,5,7),
-       (1,2,3,4)
-   ); 
-
-   constant wMapIm: wMapTyp := 
-  ( 
-       (9,9,9,9),
-       (9,8,7,6),
-       (9,7,5,3),
-       (9,6,3,2),
-       (9,5,1,5),
-       (9,4,3,8),
-       (9,3,5,11),
-       (9,2,7,14),
-       (9,1,9,17),
-       (9,2,11,14),
-       (9,3,13,11),
-       (9,4,15,8),
-       (9,5,17,5),
-       (9,6,15,2),
-       (9,7,13,3),
-       (9,8,11,6),
-       (9,9,9,9),
-       (9,10,7,12),
-       (9,11,5,15),
-       (9,12,3,16),
-       (9,13,1,13),
-       (9,14,3,10),
-       (9,15,5,7),
-       (9,16,7,4),
-       (9,17,9,1),
-       (9,16,11,4),
-       (9,15,13,7),
-       (9,14,15,10),
-       (9,13,17,13),
-       (9,12,15,16),
-       (9,11,13,15),
-       (9,10,11,12)
-   ); 
-
- end package twiddlesPkg_wb; 
diff --git a/libraries/dsp/verify_pfb/tb_tb_verify_pfb_wg.vhd b/libraries/dsp/verify_pfb/tb_tb_verify_pfb_wg.vhd
index 5201937baf357fabd1ff02dbb2782302a0e48db3..46e895987c2972ccb90183207a443e1c811775db 100644
--- a/libraries/dsp/verify_pfb/tb_tb_verify_pfb_wg.vhd
+++ b/libraries/dsp/verify_pfb/tb_tb_verify_pfb_wg.vhd
@@ -37,6 +37,7 @@
 --   the tb, because one tb needs to open the file for 'write' and the other
 --   tb then need to open it for 'append'. Using manual copy of text from
 --   transcript window is acceptable.
+-- . Can try different FIR filter coefficients from LOFAR1, pfs_coeff_final.m
 -- 
 -- Usage:
 --   > as 4
@@ -56,10 +57,41 @@ END ENTITY tb_tb_verify_pfb_wg;
 
 ARCHITECTURE tb OF tb_tb_verify_pfb_wg IS
 
-  CONSTANT c_fil_coefs  : STRING := "data/Coeffs16384Kaiser-quant_1wb";  -- PFIR coefficients file access
-  CONSTANT c_fil_nodc   : STRING := "data/Coeffs16384Kaiser-quant-nodc_1wb";  -- PFIR coefficients file access
-  CONSTANT c_fil_bypass : STRING := "data/run_pfir_coeff_m_bypass_16taps_1024points_16b_1wb";   -- bypass PFIR
-  
+  -- LOFAR1
+  CONSTANT c_fil_coefs       : STRING := "data/Coeffs16384Kaiser-quant_1wb";
+
+  -- Modified LOFAR1
+  CONSTANT c_fil_nodc        : STRING := "data/Coeffs16384Kaiser-quant-nodc_1wb";
+
+  -- Bypass PFIR to have PFB = FFT
+  CONSTANT c_fil_bypass      : STRING := "data/run_pfir_coeff_m_bypass_16taps_1024points_16b_1wb";
+
+  -- PFIR coefficients from pfs_coeff_final.m
+  -- . Created FIR filter with Hanning window for almost equal DC per polyphase
+  -- . Created FIR filter with 18b or 20b coefficients to compare difference with 16b
+  -- MATLAB > cd applications/lofar2/model/
+  -- MATLAB > pfs_coeff_final
+  -- > cp applications/lofar2/model/data/Coefficient_16KHanning_16b.dat libraries/dsp/filter/src/hex/
+  -- > cp applications/lofar2/model/data/Coefficient_16KHanning_18b.dat libraries/dsp/filter/src/hex/
+  -- > cp applications/lofar2/model/data/Coefficient_16KKaiser_16b.dat libraries/dsp/filter/src/hex/
+  -- > cp applications/lofar2/model/data/Coefficient_16KKaiser_18b.dat libraries/dsp/filter/src/hex/
+  -- > cd ../upe_gear/
+  -- > . ./init_upe.sh
+  -- > cd libraries/dsp/filter/src/python/
+  -- > python fil_ppf_create_mifs.py -f ../hex/Coefficient_16KHanning_16b.dat -t 16 -p 1024 -w 1 -c 16
+  -- > python fil_ppf_create_mifs.py -f ../hex/Coefficient_16KHanning_18b.dat -t 16 -p 1024 -w 1 -c 18
+  -- > python fil_ppf_create_mifs.py -f ../hex/Coefficient_16KKaiser_16b.dat -t 16 -p 1024 -w 1 -c 16
+  -- > python fil_ppf_create_mifs.py -f ../hex/Coefficient_16KKaiser_16b.dat -t 16 -p 1024 -w 1 -c 18
+  -- > modelsim_config unb2c  # to update verify_pfb build dir
+  -- > ll build/unb2c/modelsim/verify_pfb/data/Coefficient_*
+  -- Select FIR coefficients from pfs_coeff_final.m via c_fil_hanning and c_fil_kaiser
+  CONSTANT c_fil_hanning_16b : STRING := "data/Coefficient_16KHanning_16b_1wb";
+  CONSTANT c_fil_hanning_18b : STRING := "data/Coefficient_16KHanning_18b_1wb";
+  CONSTANT c_fil_hanning_20b : STRING := "data/Coefficient_16KHanning_20b_1wb";
+  CONSTANT c_fil_kaiser_16b  : STRING := "data/Coefficient_16KKaiser_16b_1wb";
+  CONSTANT c_fil_kaiser_18b  : STRING := "data/Coefficient_16KKaiser_18b_1wb";
+  CONSTANT c_fil_kaiser_20b  : STRING := "data/Coefficient_16KKaiser_20b_1wb";
+
   SIGNAL tb_end : STD_LOGIC := '0';  -- tb_end is used to end a tb if it cannot end itself, but is not needed for tb_verify_pfb_wg
                                      -- however, do declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
                                      
@@ -76,7 +108,8 @@ ARCHITECTURE tb OF tb_tb_verify_pfb_wg IS
   CONSTANT c_gen_vary_c_twiddle_w        : BOOLEAN := FALSE;
   CONSTANT c_gen_vary_extra_w            : BOOLEAN := FALSE;
   CONSTANT c_gen_2020_dec                : BOOLEAN := FALSE;
-                                     
+  CONSTANT c_gen_2022_mar                : BOOLEAN := FALSE;
+
 BEGIN
 
 -- generics of tb_verify_pfb_wg
@@ -152,19 +185,25 @@ BEGIN
   --                                                                 .       .     .     .    .    .     .     .             .   .  .   .   .   .  .   .  .    .
 gen_ref : IF c_gen_ref GENERATE  --                                  .       .     .     .    .    .     .     .             .   .  .   .   .   .  .   .  .    .
   -- WPFB                                                            .       .     .     .    .    .     .     .             .   .  .   .   .   .  .   .  .    .
-  u_apertif           : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1001, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1,  8, 16, 18, 1, 18, 2, '0');
-  u_lts_2020_11_23    : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1002, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 1, 18, 2, '0');
-  -- PFB2
+  --u_apertif           : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1001, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1,  8, 16, 18, 1, 18, 2, '0');
+  --u_lts_2020_11_23    : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1002, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 1, 18, 2, '0');
+  ---- PFB2
   u_lofar1_12b        : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1003, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 12, 18, 18, 0, 20, 0, '0');
-  u_lofar1_14b        : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1004, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 20, 0, '0');
-  u_lofar1_14b_22     : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1005, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 22, 0, '0');
-  u_lofar1_14b_24     : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1006, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 24, 0, '0');
-  -- WPFB
-  u_wpfb_stage18      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1007, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');
-  u_wpfb_stage20      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1008, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0');
-  u_wpfb_stage22      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1009, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');
-  u_wpfb_stage23      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1010, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 23, 1, '0');
-  u_wpfb_stage24      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1011, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 24, 1, '0');
+  --u_lofar1_14b        : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1004, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 20, 0, '0');
+  --u_lofar1_14b_22     : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1005, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 22, 0, '0');
+  --u_lofar1_14b_24     : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1006, "PFB2", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 18, 18, 0, 24, 0, '0');
+  ---- WPFB
+  --u_wpfb_stage18      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1007, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');
+  --u_wpfb_stage20      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1008, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0');
+  --u_wpfb_stage22      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1009, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');
+  --u_wpfb_stage23      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1010, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 23, 1, '0');
+  --u_wpfb_stage24      : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1011, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 24, 1, '0');
+  -- c_twiddle_w = 18
+  u_wpfb_lofar2_subbands_lts_2021 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1012, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 22, 1, '0');  -- = u_wpfb_stage22
+  -- c_twiddle_w = 20
+  u_wpfb_lofar2_subbands_dts_18b  : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1013, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 18, 1, 24, 1, '0');  -- = u_2000
+  u_wpfb_lofar2_subbands_dts_19b  : ENTITY work.tb_verify_pfb_wg GENERIC MAP (1014, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 1, 24, 1, '0');  -- = u_2001
+
 -- Results:
 --                                           Coeffs16384Kaiser-quant
 --                                            .           Coeffs16384Kaiser-quant-nodc
@@ -182,6 +221,11 @@ gen_ref : IF c_gen_ref GENERATE  --                                  .       .
 --tb-1009 . wpfb_measured_proc_gain_a_dB =   18.79 [dB]   24.29 [dB], = u_wpfb_stage22 : ~3.2 dB per extra g_fft_stage_dat_w bit
 --tb-1010 . wpfb_measured_proc_gain_a_dB =   19.86 [dB]   26.58 [dB], = u_wpfb_stage23 : ~1.1 dB per extra g_fft_stage_dat_w bit
 --tb-1011 . wpfb_measured_proc_gain_a_dB =   20.08 [dB]   28.17 [dB], = u_wpfb_stage24 : ~0.2 dB per extra g_fft_stage_dat_w bit
+
+--tb-1012 . wpfb_measured_proc_gain_a_dB = 19.26 [dB]  > 18.79 [dB] from u_wpfb_stage22 in 2021, due to now c_twiddle_w = 20 (?)
+--tb-1013 . wpfb_measured_proc_gain_a_dB = 20.12 [dB]  = u_2000
+--tb-1014 . wpfb_measured_proc_gain_a_dB = 18.50 [dB]  = u_2001
+
 --Conclusion:
 --* For g_fft_stage_dat_w <= 22 the processing gain increases ~3 dB per extra g_fft_stage_dat_w bit, therefore choose 22, 23 or 24, more than 24 bit has not benefit.
 END GENERATE;
@@ -263,7 +307,6 @@ gen_vary_g_fft_out_dat_w : IF c_gen_vary_g_fft_out_dat_w GENERATE
 --tb-105  20 . wpfb_measured_proc_gain_a_dB =   16.36 [dB]
 END GENERATE;
 
-
 gen_2020_jan_18 : IF c_gen_2020_jan_18 GENERATE
   u_200  : ENTITY work.tb_verify_pfb_wg GENERIC MAP (200, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14, 16, 18, 1, 18, 2, '0');  -- = u_lts_2020_11_23
   u_201  : ENTITY work.tb_verify_pfb_wg GENERIC MAP (201, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 18, 1, '0');  -- = u_wpfb_stage18
@@ -611,5 +654,258 @@ gen_2020_dec : IF c_gen_2020_dec GENERATE
   u_828 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (828, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 25, 20, 0, 26, 1, '0');
   u_829 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (829, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 26, 20, 0, 27, 1, '0');
 END GENERATE;
-  
+
+gen_2022_mar_21 : IF c_gen_2022_mar GENERATE
+  -- WPFB
+  --                                                                                                            g_fil_coefs_file_prefix
+  --                                                                                                            .   g_fil_coef_dat_w
+  --                                                                                                            .   .  g_fil_backoff_w
+  --                                                                                                            .   .  .   g_fil_in_dat_w
+  --                                                                                                            .   .  .   .   g_internal_dat_w
+  --                                                                                                            .   .  .   .   .   g_fft_out_dat_w
+  --                                                                                                            .   .  .   .   .   .  g_fft_out_gain_w
+  --                                                                                                            .   .  .   .   .   .  .   g_fft_stage_dat_w
+  --                                                                                                            .   .  .   .   .   .  .   .  g_fft_guard_w
+  --                                                                                                            .   .  .   .   .   .  .   .  .    g_switch_en
+  --                                                                                                            .   .  .   .   .   .  .   .  .    .
+  -- vary g_fft_out_dat_w
+  --u_2000 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2000, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 18, 1, 24, 1, '0');
+  --u_2001 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2001, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 1, 24, 1, '0');
+  --u_2002 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2002, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 20, 1, 24, 1, '0');
+  --u_2003 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2003, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 18, 0, 24, 1, '0');
+  --u_2004 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2004, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 0, 24, 1, '0');
+  --u_2005 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2005, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 20, 0, 24, 1, '0');
+  --u_2006 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2006, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14,  0, 18, 0, 24, 1, '0');
+  --u_2007 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2007, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14,  0, 19, 0, 24, 1, '0');
+  --u_2008 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2008, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14,  0, 20, 0, 24, 1, '0');
+
+  -- vary g_fft_stage_dat_w using c_fil_coefs or c_fil_nodc, c_fil_hanning_16b
+  --u_2010 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2010, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_16b, 16, 1, 14,  0, 19, 1, 22, 1, '0');
+  --u_2011 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2011, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_16b, 16, 1, 14,  0, 19, 1, 23, 1, '0');
+  --u_2012 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2012, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_16b, 16, 1, 14,  0, 19, 1, 24, 1, '0');  -- ~= 2001
+  --u_2013 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2013, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_16b, 16, 1, 14,  0, 19, 1, 25, 1, '0');
+  --u_2014 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2014, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_16b, 16, 1, 14,  0, 19, 1, 26, 1, '0');
+  --u_2015 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2015, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_16b, 16, 1, 14,  0, 19, 1, 27, 1, '0');
+  --u_2016 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2016, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_16b, 16, 0, 14,  0, 19, 0, 25, 1, '0');
+  --u_2017 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2017, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_16b, 16, 0, 14,  0, 19, 0, 26, 1, '0');
+  --
+  --c_wpfb_lofar2_subbands_dts_18b and c_twiddle_w = 20:
+  --u_2070 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2070, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 18, 1, 22, 1, '0');
+  --u_2071 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2071, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 18, 1, 23, 1, '0');
+  --u_2072 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2072, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 18, 1, 24, 1, '0');  -- = 2000
+  --u_2073 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2073, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 18, 1, 25, 1, '0');
+  --u_2074 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2074, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 18, 1, 26, 1, '0');
+  --u_2075 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2075, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 18, 1, 27, 1, '0');
+  --
+  --c_wpfb_lofar2_subbands_dts_19b and c_twiddle_w = 20:
+  --u_2080 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2080, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 1, 22, 1, '0');
+  --u_2081 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2081, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 1, 23, 1, '0');
+  --u_2082 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2082, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 1, 24, 1, '0');  -- = 2001
+  --u_2083 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2083, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 1, 25, 1, '0');
+  --u_2084 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2084, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 1, 26, 1, '0');
+  --u_2085 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2085, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 1, 27, 1, '0');
+  --
+  --g_fft_out_dat_w = 20 and c_twiddle_w = 20:
+  --u_2090 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2090, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 20, 1, 22, 1, '0');
+  --u_2091 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2091, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 20, 1, 23, 1, '0');
+  --u_2092 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2092, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 20, 1, 24, 1, '0');  -- ~= 2001
+  --u_2093 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2093, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 20, 1, 25, 1, '0');
+  --u_2094 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2094, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 20, 1, 26, 1, '0');
+  --u_2095 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2095, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 20, 1, 27, 1, '0');
+  --
+  --g_fft_out_dat_w = 19 and c_twiddle_w = 20:
+  --u_2100 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2100, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 12,  0, 19, 1, 22, 1, '0');
+  --u_2101 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2101, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 13,  0, 19, 1, 23, 1, '0');
+  --u_2102 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2102, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 1, 24, 1, '0');  -- = 2001
+  --u_2103 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2103, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 15,  0, 19, 1, 25, 1, '0');
+  --u_2104 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2104, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 16,  0, 19, 1, 26, 1, '0');
+  --u_2105 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2105, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 17,  0, 19, 1, 27, 1, '0');
+  --
+  --u_2030 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2030, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_18b, 18, 1, 14,  0, 19, 1, 22, 1, '0');
+  --u_2031 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2031, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_18b, 18, 1, 14,  0, 19, 1, 23, 1, '0');
+  --u_2032 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2032, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_18b, 18, 1, 14,  0, 19, 1, 24, 1, '0');  -- ~= 2001
+  --u_2033 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2033, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_18b, 18, 1, 14,  0, 19, 1, 25, 1, '0');
+  --u_2034 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2034, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_18b, 18, 1, 14,  0, 19, 1, 26, 1, '0');
+  --u_2035 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2035, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_18b, 18, 1, 14,  0, 19, 1, 27, 1, '0');
+
+  --u_2040 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2040, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_kaiser_16b, 16, 1, 14,  0, 19, 1, 22, 1, '0');
+  --u_2041 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2041, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_kaiser_16b, 16, 1, 14,  0, 19, 1, 23, 1, '0');
+  --u_2042 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2042, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_kaiser_16b, 16, 1, 14,  0, 19, 1, 24, 1, '0');  -- ~= 2001
+  --u_2043 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2043, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_kaiser_16b, 16, 1, 14,  0, 19, 1, 25, 1, '0');
+  --u_2044 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2044, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_kaiser_16b, 16, 1, 14,  0, 19, 1, 26, 1, '0');
+  --u_2045 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2045, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_kaiser_16b, 16, 1, 14,  0, 19, 1, 27, 1, '0');
+
+  --u_2050 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2050, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_kaiser_18b, 18, 1, 14,  0, 19, 1, 22, 1, '0');
+  --u_2051 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2051, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_kaiser_18b, 18, 1, 14,  0, 19, 1, 23, 1, '0');
+  --u_2052 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2052, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_kaiser_18b, 18, 1, 14,  0, 19, 1, 24, 1, '0');  -- ~= 2001
+  --u_2053 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2053, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_kaiser_18b, 18, 1, 14,  0, 19, 1, 25, 1, '0');
+  --u_2054 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2054, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_kaiser_18b, 18, 1, 14,  0, 19, 1, 26, 1, '0');
+  --u_2055 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2055, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_kaiser_18b, 18, 1, 14,  0, 19, 1, 27, 1, '0');
+
+  --u_2060 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2060, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_20b, 20, 1, 14,  0, 19, 1, 22, 1, '0');
+  --u_2061 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2061, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_20b, 20, 1, 14,  0, 19, 1, 23, 1, '0');
+  --u_2062 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2062, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_20b, 20, 1, 14,  0, 19, 1, 24, 1, '0');  -- ~= 2001
+  --u_2063 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2063, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_20b, 20, 1, 14,  0, 19, 1, 25, 1, '0');
+  --u_2064 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2064, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_20b, 20, 1, 14,  0, 19, 1, 26, 1, '0');
+  --u_2065 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2065, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_hanning_20b, 20, 1, 14,  0, 19, 1, 27, 1, '0');
+
+  -- vary g_fil_in_dat_w
+  --u_2020 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2020, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1,  8,  0, 19, 1, 24, 1, '0');
+  --u_2021 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2021, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1,  9,  0, 19, 1, 24, 1, '0');
+  --u_2022 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2022, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 10,  0, 19, 1, 24, 1, '0');
+  --u_2023 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2023, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 11,  0, 19, 1, 24, 1, '0');
+  --u_2024 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2024, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 12,  0, 19, 1, 24, 1, '0');
+  --u_2025 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2025, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 13,  0, 19, 1, 24, 1, '0');
+  --u_2026 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2026, "WPFB", 59.0, 59.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 14,  0, 19, 1, 24, 1, '0');  -- = 2001
+  --u_2027 : ENTITY work.tb_verify_pfb_wg GENERIC MAP (2027, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 1, 15,  0, 19, 1, 24, 1, '0');
+
+
+-- Results:
+-- c_twiddle_w = 18
+-- g_fil_backoff_w = 1
+-- g_fft_out_dat_w                        g_fft_out_gain_w
+--  . g_stage_dat_w                           1            0
+--  .  .                                      .            .
+-- 18 24 : wpfb_measured_proc_gain_a_dB = 20.04 [dB]   17.38 [dB]
+-- 19 24 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]   20.04 [dB]
+-- 20 24 : wpfb_measured_proc_gain_a_dB = 18.79 [dB]   18.53 [dB]
+--
+-- c_twiddle_w = 18
+-- g_fil_backoff_w = g_fft_out_gain_w = 0
+-- g_fft_out_dat_w
+--  . g_stage_dat_w
+--  .  .
+-- 18 24 : wpfb_measured_proc_gain_a_dB = 20.16 [dB]
+-- 19 24 : wpfb_measured_proc_gain_a_dB = 19.02 [dB]
+-- 19 25 : wpfb_measured_proc_gain_a_dB = 19.14 [dB]
+-- 19 26 : wpfb_measured_proc_gain_a_dB = 19.23 [dB]
+-- 20 24 : wpfb_measured_proc_gain_a_dB = 19.26 [dB]
+--
+-- c_fil_coefs
+-- c_twiddle_w = 18
+-- g_fil_backoff_w = g_fft_out_gain_w = 1
+-- g_fft_out_dat_w = 19
+--                                     c_fil_coefs  c_fil_nodc   c_fil_coefs
+-- g_stage_dat_w           c_twiddle_w:   18           18           20
+--  .                                      .            .            .
+-- 22 : wpfb_measured_proc_gain_a_dB = 16.62 [dB]   22.20 [dB]   16.66 [dB]
+-- 23 : wpfb_measured_proc_gain_a_dB = 17.85 [dB]   23.87 [dB]   18.01 [dB]
+-- 24 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]   24.76 [dB]   18.50 [dB]
+-- 25 : wpfb_measured_proc_gain_a_dB = 19.02 [dB]   25.22 [dB]   19.02 [dB]
+-- 26 : wpfb_measured_proc_gain_a_dB = 19.14 [dB]   25.64 [dB]   19.12 [dB]
+-- 27 : wpfb_measured_proc_gain_a_dB = 19.23 [dB]   25.78 [dB]   19.28 [dB]
+--
+-- c_fil_coefs
+-- c_twiddle_w = 20
+-- g_fil_backoff_w = g_fft_out_gain_w = 1
+-- g_stage_dat_w     g_fft_out_dat_w =    18           19           20
+--  .
+-- 22 : wpfb_measured_proc_gain_a_dB = 17.09 [dB]   16.66 [dB]   16.73 [dB]
+-- 23 : wpfb_measured_proc_gain_a_dB = 19.39 [dB]   18.01 [dB]   18.06 [dB]
+-- 24 : wpfb_measured_proc_gain_a_dB = 20.12 [dB]   18.50 [dB]   18.77 [dB]
+-- 25 : wpfb_measured_proc_gain_a_dB = 20.23 [dB]   19.02 [dB]   19.25 [dB]
+-- 26 : wpfb_measured_proc_gain_a_dB = 20.23 [dB]   19.12 [dB]   19.38 [dB]
+-- 27 : wpfb_measured_proc_gain_a_dB = 20.31 [dB]   19.28 [dB]   19.43 [dB]
+--
+-- c_fil_coefs
+-- c_twiddle_w = 20
+-- g_fil_backoff_w = g_fft_out_gain_w = 1
+-- g_stage_dat_w = 24
+-- g_fft_out_dat_w = 19
+-- g_fil_in_dat_w
+--  .
+-- 12 . wpfb_measured_proc_gain_a_dB =   24.74 [dB]
+-- 13 . wpfb_measured_proc_gain_a_dB =   22.09 [dB]
+-- 14 . wpfb_measured_proc_gain_a_dB =   18.50 [dB]
+-- 15 . wpfb_measured_proc_gain_a_dB =   13.36 [dB]  +6.02 = 19.38
+-- 16 . wpfb_measured_proc_gain_a_dB =    7.55 [dB] +12.04 = 19.59
+-- 17 . wpfb_measured_proc_gain_a_dB =    3.00 [dB] +18.06 = 21.06
+--
+-- c_twiddle_w =18                                  c_fil_       c_fil_       c_fil_       c_fil_      c_fil_
+-- g_stage_dat_w                       c_fil_coefs  hanning_16b  hanning_18b  hanning_20b  kaiser_16b  kaiser_18b
+--  .                                      .            .            .            .            .           .
+-- 22 : wpfb_measured_proc_gain_a_dB = 16.62 [dB]   19.72 [dB]   21.57 [dB]   21.99 [dB]   16.40 [dB]  17.17 [dB]
+-- 23 : wpfb_measured_proc_gain_a_dB = 17.85 [dB]   22.23 [dB]   23.72 [dB]   23.85 [dB]   17.47 [dB]  17.91 [dB]
+-- 24 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]   23.61 [dB]   24.56 [dB]   24.77 [dB]   18.01 [dB]  18.30 [dB]
+-- 25 : wpfb_measured_proc_gain_a_dB = 19.02 [dB]   24.58 [dB]   25.18 [dB]   25.34 [dB]   18.51 [dB]  18.56 [dB]
+-- 26 : wpfb_measured_proc_gain_a_dB = 19.14 [dB]   24.75 [dB]   25.37 [dB]   25.70 [dB]   18.91 [dB]  18.66 [dB]
+-- 27 : wpfb_measured_proc_gain_a_dB = 19.23 [dB]   24.86 [dB]   25.37 [dB]   25.77 [dB]   18.89 [dB]  18.81 [dB]
+--
+-- c_twiddle_w = 18
+-- g_stage_dat_w
+--  .                g_fft_out_dat_w =    19          18
+-- 23 : wpfb_measured_proc_gain_a_dB = 16.62 [dB]  17.17 [dB]
+-- 24 : wpfb_measured_proc_gain_a_dB = 17.85 [dB]  19.33 [dB]
+-- 25 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]  20.04 [dB]
+-- 26 : wpfb_measured_proc_gain_a_dB = 19.02 [dB]  20.16 [dB]
+-- 27 : wpfb_measured_proc_gain_a_dB = 19.14 [dB]  20.27 [dB]
+-- 22 : wpfb_measured_proc_gain_a_dB = 19.23 [dB]  20.39 [dB]
+--
+-- c_twiddle_w = 18
+-- g_fil_backoff_w = 1
+-- g_fft_out_gain_w = 1
+-- g_fft_out_dat_w = 19
+-- g_stage_dat_w = 24
+-- g_fil_in_dat_w
+--  .
+--  8 : wpfb_measured_proc_gain_a_dB = 27.08 [dB]  -- theoretical 20log10(sqrt(512)) = 27.1 dB
+--  9 : wpfb_measured_proc_gain_a_dB = 27.00 [dB]
+-- 10 : wpfb_measured_proc_gain_a_dB = 26.93 [dB]
+-- 11 : wpfb_measured_proc_gain_a_dB = 26.65 [dB]
+-- 12 : wpfb_measured_proc_gain_a_dB = 25.77 [dB]
+-- 13 : wpfb_measured_proc_gain_a_dB = 22.73 [dB]
+-- 14 : wpfb_measured_proc_gain_a_dB = 18.53 [dB]  -- 61.0
+-- 14 : wpfb_measured_proc_gain_a_dB = 18.70 [dB]  -- 59.0
+-- 15 : wpfb_measured_proc_gain_a_dB = 12.70 [dB]
+--
+-- Conclusion:
+-- . Choose c_twiddle_w = 20 b >= g_fft_out_dat_w = 18 or 19 b (see
+--   c_gen_vary_c_twiddle_w): The M20K is 20b and multipliers have 27b.
+--   Synthesis shows that using c_twiddle_w = 20b costs no extra M20K or
+--   multipliers.
+-- . g_fil_coef_dat_w = 16b: The LOFAR1 FIR coefficients are fixed 16b, which
+--   is sufficient for the required stop band attenuation of 89 dB, because 16
+--   6.02 dB/bit = 96 dB.
+-- . Use g_fil_backoff_w = 1 to fit temporary overshoot of FIR filter of about
+--   10 %.
+-- . Use g_fft_out_gain_w = 1 to compensate for g_fil_backoff_w = 1.
+-- . Use g_fft_guard_w to compensate for FFT first stage gain > 2 (I think 1 +
+--   sqrt(2) ~= 2.41). Default g_fft_guard_w = 2 would be needed and the FFT
+--   then does not scale in its last 2 stages to ensure that the total reponse
+--   of the FFT remains unit. With g_fil_backoff_w = 1 and an FIR filter
+--   overshoot of about 10 % (is factor 1.1) using g_fft_guard_w = 1 is
+--   sufficient, because 1.1 * 2.41 < 2**2 = 4.
+-- . wpfb_measured_proc_gain_a_dB is limited by:
+--   . stop band attenuation
+--   . FIR quantisation noise floor level
+--   . DC response not exactly the same for each of the N_fft polyphases, this
+--     shows as a ripple in fil_noise_a, which is the difference between the
+--     FIR filter output and a matching sine wave. This variation in FIR filter
+--     output during a FFT block then cause leakage into other bins and thus a
+--     reduction in PFB processing gain compared to FFT processing gain.
+--     However the PFB does provide the required stop band attenuation, so the
+--     limited processing gain is probably due to the allowed stop band ripple
+--     of the FIR filter. Therefore I think the limited processing gain is not
+--     an issue or bug.
+-- . g_fft_out_dat_w = 19b is needed to accomodate g_fil_in_dat_w = 14 b +
+--   log2(sqrt(N_sub)) = 4.5 bit processing gain.
+--   . It is strange that wpfb_measured_proc_gain_a_dB is 20.12 [dB] for 18b
+--     and only 18.50 [dB] for 19b, but this may be due to the WG stimuli and
+--     related quantisation noise. Instead it would have been better to use a
+--     REAL SIN generator and REAL gaussian noise as signal input, to avoid
+--     WG artefacts.
+-- . g_stage_dat_w:
+--   . 27b is maximum for DSP multipliers, but does require extra logic and
+--     some BRAM
+--   . in LOFAR1 g_stage_dat_w = 20b with W_adc = 12b, so for LOFAR2.0 with
+--     W_adc = 14b the g_stage_dat_w >= 22b
+--   . 24b or 25b seems a good compromise for wpfb_measured_proc_gain_a_dB.
+-- . Given a WG amplitude of A_wg the expected subband phasor amplitude will be:
+--     A_sub = A_wg * func_wpfb_subband_gain(c_wpfb, fir_filter_dc_gain)
+--   The expected SST level for an integration interval of N_int subband blocks
+--   is then:
+--     SST = func_wpfb_sst_level(A_sub, N_blk)
+
+END GENERATE;
+
 END tb;
diff --git a/libraries/dsp/verify_pfb/tb_verify_pfb_wg.txt b/libraries/dsp/verify_pfb/tb_verify_pfb_wg.txt
index 28d0ddade877cb6a4a938ba8d00a2267e335d4c5..1d46215a1a3ab228128cffe664a713fd3a7a2727 100644
--- a/libraries/dsp/verify_pfb/tb_verify_pfb_wg.txt
+++ b/libraries/dsp/verify_pfb/tb_verify_pfb_wg.txt
@@ -10644,3 +10644,6089 @@ Table C: PFB processing gain for APERTIF WPFB quick improvements
 --  u_4  : ENTITY work.tb_verify_pfb_wg GENERIC MAP ( 4, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0', 0, 0);
 --  u_5  : ENTITY work.tb_verify_pfb_wg GENERIC MAP ( 5, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0', 2, 0);
 --  u_6  : ENTITY work.tb_verify_pfb_wg GENERIC MAP ( 6, "WPFB", 61.0, 61.0, 1.0, 0.0,  0.0,  0.0,  c_fil_coefs, 16, 0, 14, 17, 18, 0, 20, 1, '0', 2, 2);
+
+
+
+c_gen_2022_mar_21
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2000:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65196
+# . sub_a_ampl                   =  65196.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250518416.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.113 =   -9.49 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  105.77 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.12 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2001:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130392
+# . sub_a_ampl                   = 130392.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17002073664.000 =  102.31 [dB]
+# . sst_noise_a                  =           0.654 =   -1.85 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.15 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.50 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2002:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 20
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -260783
+# . sub_a_ampl                   = 260783.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 6
+# . sub_a_ampl_frac              =      6.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 68007773089.000 =  108.33 [dB]
+# . sst_noise_a                  =           2.455 =    3.90 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.43 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.77 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2010:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -521520.000
+# . fir_max_a                    = 521520.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 521566.787
+# . fil_ampl_a                   = 521566.783
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130393
+# . sub_a_ampl                   = 130393.000
+# . sub_a_re_frac                = -1
+# . sub_a_im_frac                = 4
+# . sub_a_ampl_frac              =      4.123
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17002334449.000 =  102.31 [dB]
+# . sst_noise_a                  =           0.998 =   -0.01 [dB]
+# . sst_noise_b                  =           0.003 =  -25.32 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  102.31 [dB]
+# . wpfb_measured_proc_gain_a_dB =   16.66 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2011:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1043041.000
+# . fir_max_a                    = 1043041.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 1043133.576
+# . fil_ampl_a                   = 1043133.568
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130392
+# . sub_a_ampl                   = 130392.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17002073664.000 =  102.31 [dB]
+# . sst_noise_a                  =           0.731 =   -1.36 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  103.67 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.01 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2012:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130392
+# . sub_a_ampl                   = 130392.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17002073664.000 =  102.31 [dB]
+# . sst_noise_a                  =           0.654 =   -1.85 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.15 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.50 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2013:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4172163.000
+# . fir_max_a                    = 4172163.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4172534.342
+# . fil_ampl_a                   = 4172534.311
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130391
+# . sub_a_ampl                   = 130391.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001812881.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.579 =   -2.37 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.68 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.02 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2014:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8344325.000
+# . fir_max_a                    = 8344325.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8345068.660
+# . fil_ampl_a                   = 8345068.596
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130391
+# . sub_a_ampl                   = 130391.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001812881.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.567 =   -2.47 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.77 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.12 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2015:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16688651.000
+# . fir_max_a                    = 16688651.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16690137.335
+# . fil_ampl_a                   = 16690137.208
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130391
+# . sub_a_ampl                   = 130391.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001812881.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.546 =   -2.63 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  104.93 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.28 [dB]
+#
+
+
+xxxx
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2000:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65195
+# . sub_a_ampl                   =  65195.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250388025.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.114 =   -9.41 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  105.70 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.04 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2001:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.650 =   -1.87 [dB]
+# . sst_noise_b                  =           0.004 =  -24.07 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.18 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.53 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2002:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 20
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -260781
+# . sub_a_ampl                   = 260781.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 6
+# . sub_a_ampl_frac              =      6.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 68006729961.000 =  108.33 [dB]
+# . sst_noise_a                  =           2.445 =    3.88 [dB]
+# . sst_noise_b                  =           0.038 =  -14.18 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.44 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.79 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2003:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 0
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -32598
+# . sub_a_ampl                   =  32598.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  1062629604.000 =   90.26 [dB]
+# . sst_noise_a                  =           0.053 =  -12.77 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  103.03 [dB]
+# . wpfb_measured_proc_gain_a_dB =   17.38 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2004:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 0
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65195
+# . sub_a_ampl                   =  65195.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250388025.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.114 =   -9.41 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  105.70 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.04 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2005:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 20
+# . g_fft_out_gain_w             = 0
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.650 =   -1.87 [dB]
+# . sst_noise_b                  =           0.004 =  -24.07 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.18 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.53 [dB]
+#
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2010:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -521520.000
+# . fir_max_a                    = 521520.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 521566.787
+# . fil_ampl_a                   = 521566.783
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130391
+# . sub_a_ampl                   = 130391.000
+# . sub_a_re_frac                = -1
+# . sub_a_im_frac                = 4
+# . sub_a_ampl_frac              =      4.123
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001812881.000 =  102.30 [dB]
+# . sst_noise_a                  =           1.008 =    0.03 [dB]
+# . sst_noise_b                  =           0.023 =  -16.29 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  102.27 [dB]
+# . wpfb_measured_proc_gain_a_dB =   16.62 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2011:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1043041.000
+# . fir_max_a                    = 1043041.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 1043133.576
+# . fil_ampl_a                   = 1043133.568
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130391
+# . sub_a_ampl                   = 130391.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001812881.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.759 =   -1.20 [dB]
+# . sst_noise_b                  =           0.011 =  -19.68 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  103.50 [dB]
+# . wpfb_measured_proc_gain_a_dB =   17.85 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2012:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.650 =   -1.87 [dB]
+# . sst_noise_b                  =           0.004 =  -24.07 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.18 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.53 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2013:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4172163.000
+# . fir_max_a                    = 4172163.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4172534.342
+# . fil_ampl_a                   = 4172534.311
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.580 =   -2.36 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.67 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.02 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2014:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8344325.000
+# . fir_max_a                    = 8344325.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8345068.660
+# . fil_ampl_a                   = 8345068.596
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.564 =   -2.49 [dB]
+# . sst_noise_b                  =           0.001 =  -30.09 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.80 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.14 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2015:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16688651.000
+# . fir_max_a                    = 16688651.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16690137.335
+# . fil_ampl_a                   = 16690137.208
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.553 =   -2.57 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  104.88 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.23 [dB]
+#
+
+
+# . wpfb_measured_proc_gain_a_dB =   16.62 [dB]
+# . wpfb_measured_proc_gain_a_dB =   17.85 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.53 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.02 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.14 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.23 [dB]
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2006:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 0
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 0
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4172163.000
+# . fir_max_a                    = 4172163.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4172534.342
+# . fil_ampl_a                   = 4172534.311
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65195
+# . sub_a_ampl                   =  65195.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250388025.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.112 =   -9.53 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  105.81 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.16 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2007:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 0
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 0
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4172163.000
+# . fir_max_a                    = 4172163.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4172534.342
+# . fil_ampl_a                   = 4172534.311
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.580 =   -2.36 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.67 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.02 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2008:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 0
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 20
+# . g_fft_out_gain_w             = 0
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4172163.000
+# . fir_max_a                    = 4172163.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4172534.342
+# . fil_ampl_a                   = 4172534.311
+# . sub_a_re                     = 0
+# . sub_a_im                     = -260780
+# . sub_a_ampl                   = 260780.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 6
+# . sub_a_ampl_frac              =      6.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 68006208400.000 =  108.33 [dB]
+# . sst_noise_a                  =           2.193 =    3.41 [dB]
+# . sst_noise_b                  =           0.037 =  -14.30 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.92 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.26 [dB]
+#
+
+
+# . wpfb_measured_proc_gain_a_dB =   20.16 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.02 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.26 [dB]
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2016:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 0
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 0
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8344325.000
+# . fir_max_a                    = 8344325.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8345068.660
+# . fil_ampl_a                   = 8345068.596
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.564 =   -2.49 [dB]
+# . sst_noise_b                  =           0.001 =  -30.09 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.80 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.14 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2017:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 0
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 0
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16688651.000
+# . fir_max_a                    = 16688651.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16690137.335
+# . fil_ampl_a                   = 16690137.208
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.553 =   -2.57 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  104.88 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.23 [dB]
+#
+
+# . wpfb_measured_proc_gain_a_dB =   19.14 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.23 [dB]
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2020:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 8
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =   -127.000
+# . input_max_a                  =    127.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2071243.000
+# . fir_max_a                    = 2071243.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 127
+# . input_ampl_a                 =    127.893
+# . cw_ampl_a                    =    127.893
+# . fir_ampl_a                   = 2084545.754
+# . fil_ampl_a                   = 2084531.396
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130282
+# . sub_a_ampl                   = 130282.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16973399524.000 =  102.30 [dB]
+# . sst_noise_a                  =         459.008 =   26.62 [dB]
+# . sst_noise_b                  =           0.004 =  -24.07 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   49.86 [dB]
+# . wg_measured_snr_a_dB         =   48.60 [dB]
+# . fil_measured_snr_a_dB        =   48.61 [dB]
+# . sst_measured_snr_a_dB        =   75.68 [dB]
+# . wpfb_measured_proc_gain_a_dB =   27.08 [dB]
+#
+# ** Error: Wrong estimated amplitude for FIR filter output a, 2.084546e+06 /~= 2.084531e+06
+#    Time: 639255 ns  Iteration: 0  Instance: /tb_tb_verify_pfb_wg/gen_2022_mar_21/u_2020
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2021:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 9
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =   -255.000
+# . input_max_a                  =    255.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2079398.000
+# . fir_max_a                    = 2079398.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 255
+# . input_ampl_a                 =    255.950
+# . cw_ampl_a                    =    255.950
+# . fir_ampl_a                   = 2085874.083
+# . fil_ampl_a                   = 2085870.647
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130366
+# . sub_a_ampl                   = 130366.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16995293956.000 =  102.30 [dB]
+# . sst_noise_a                  =         110.087 =   20.42 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   55.91 [dB]
+# . wg_measured_snr_a_dB         =   54.89 [dB]
+# . fil_measured_snr_a_dB        =   54.82 [dB]
+# . sst_measured_snr_a_dB        =   81.89 [dB]
+# . wpfb_measured_proc_gain_a_dB =   27.00 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2022:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 10
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =   -511.000
+# . input_max_a                  =    511.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2083475.000
+# . fir_max_a                    = 2083475.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 511
+# . input_ampl_a                 =    511.954
+# . cw_ampl_a                    =    511.954
+# . fir_ampl_a                   = 2086096.053
+# . fil_ampl_a                   = 2086095.189
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130380
+# . sub_a_ampl                   = 130380.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16998944400.000 =  102.30 [dB]
+# . sst_noise_a                  =          28.064 =   14.48 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   61.95 [dB]
+# . wg_measured_snr_a_dB         =   60.90 [dB]
+# . fil_measured_snr_a_dB        =   60.82 [dB]
+# . sst_measured_snr_a_dB        =   87.82 [dB]
+# . wpfb_measured_proc_gain_a_dB =   26.93 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2023:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 11
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -1023.000
+# . input_max_a                  =   1023.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2084363.000
+# . fir_max_a                    = 2084363.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 1023
+# . input_ampl_a                 =   1023.954
+# . cw_ampl_a                    =   1023.954
+# . fir_ampl_a                   = 2086187.176
+# . fil_ampl_a                   = 2086186.990
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130385
+# . sub_a_ampl                   = 130385.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17000248225.000 =  102.30 [dB]
+# . sst_noise_a                  =           6.192 =    7.92 [dB]
+# . sst_noise_b                  =           0.006 =  -22.31 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   67.98 [dB]
+# . wg_measured_snr_a_dB         =   67.73 [dB]
+# . fil_measured_snr_a_dB        =   67.48 [dB]
+# . sst_measured_snr_a_dB        =   94.39 [dB]
+# . wpfb_measured_proc_gain_a_dB =   26.65 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2024:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 12
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -2047.000
+# . input_max_a                  =   2047.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2085381.000
+# . fir_max_a                    = 2085381.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 2047
+# . input_ampl_a                 =   2047.960
+# . cw_ampl_a                    =   2047.960
+# . fir_ampl_a                   = 2086241.156
+# . fil_ampl_a                   = 2086241.098
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130389
+# . sub_a_ampl                   = 130389.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001291321.000 =  102.30 [dB]
+# . sst_noise_a                  =           2.019 =    3.05 [dB]
+# . sst_noise_b                  =           0.003 =  -25.32 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   74.00 [dB]
+# . wg_measured_snr_a_dB         =   73.48 [dB]
+# . fil_measured_snr_a_dB        =   72.53 [dB]
+# . sst_measured_snr_a_dB        =   99.25 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.77 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2025:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 13
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -4095.000
+# . input_max_a                  =   4095.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2085827.000
+# . fir_max_a                    = 2085827.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 4095
+# . input_ampl_a                 =   4095.976
+# . cw_ampl_a                    =   4095.976
+# . fir_ampl_a                   = 2086269.606
+# . fil_ampl_a                   = 2086269.581
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130391
+# . sub_a_ampl                   = 130391.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001812881.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.930 =   -0.32 [dB]
+# . sst_noise_b                  =           0.004 =  -24.07 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   80.03 [dB]
+# . wg_measured_snr_a_dB         =   79.90 [dB]
+# . fil_measured_snr_a_dB        =   76.25 [dB]
+# . sst_measured_snr_a_dB        =  102.62 [dB]
+# . wpfb_measured_proc_gain_a_dB =   22.73 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2026:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 59.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086465.000
+# . fir_max_a                    = 2086593.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086263.204
+# . fil_ampl_a                   = 2086263.188
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.624 =   -2.05 [dB]
+# . sst_noise_b                  =           0.003 =  -25.32 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.22 [dB]
+# . sst_measured_snr_a_dB        =  104.35 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.70 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2027:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 15
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  = -16383.000
+# . input_max_a                  =  16383.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086209.000
+# . fir_max_a                    = 2086209.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 16383
+# . input_ampl_a                 =  16383.977
+# . cw_ampl_a                    =  16383.977
+# . fir_ampl_a                   = 2086278.643
+# . fil_ampl_a                   = 2086278.628
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130391
+# . sub_a_ampl                   = 130391.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001812881.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.564 =   -2.49 [dB]
+# . sst_noise_b                  =           0.005 =  -23.10 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   92.07 [dB]
+# . wg_measured_snr_a_dB         =   92.09 [dB]
+# . fil_measured_snr_a_dB        =   78.58 [dB]
+# . sst_measured_snr_a_dB        =  104.80 [dB]
+# . wpfb_measured_proc_gain_a_dB =   12.70 [dB]
+#
+
+# . wpfb_measured_proc_gain_a_dB =   27.08 [dB]
+# . wpfb_measured_proc_gain_a_dB =   27.00 [dB]
+# . wpfb_measured_proc_gain_a_dB =   26.93 [dB]
+# . wpfb_measured_proc_gain_a_dB =   26.65 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.77 [dB]
+# . wpfb_measured_proc_gain_a_dB =   22.73 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.70 [dB]
+# . wpfb_measured_proc_gain_a_dB =   12.70 [dB]
+
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2010:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant-nodc_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -521504.000
+# . fir_max_a                    = 521504.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 521564.349
+# . fil_ampl_a                   = 521564.348
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130391
+# . sub_a_ampl                   = 130391.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001812881.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.279 =   -5.55 [dB]
+# . sst_noise_b                  =           0.031 =  -15.04 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.66 [dB]
+# . sst_measured_snr_a_dB        =  107.85 [dB]
+# . wpfb_measured_proc_gain_a_dB =   22.20 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2011:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant-nodc_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1043009.000
+# . fir_max_a                    = 1043009.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 1043128.804
+# . fil_ampl_a                   = 1043128.803
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.190 =   -7.22 [dB]
+# . sst_noise_b                  =           0.009 =  -20.55 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.65 [dB]
+# . sst_measured_snr_a_dB        =  109.52 [dB]
+# . wpfb_measured_proc_gain_a_dB =   23.87 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2012:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant-nodc_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086017.000
+# . fir_max_a                    = 2086017.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086257.589
+# . fil_ampl_a                   = 2086257.586
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.155 =   -8.11 [dB]
+# . sst_noise_b                  =           0.005 =  -23.10 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.65 [dB]
+# . sst_measured_snr_a_dB        =  110.41 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.76 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2013:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant-nodc_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4172035.000
+# . fir_max_a                    = 4172035.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4172515.180
+# . fil_ampl_a                   = 4172515.174
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.139 =   -8.57 [dB]
+# . sst_noise_b                  =           0.003 =  -25.32 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.65 [dB]
+# . sst_measured_snr_a_dB        =  110.88 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.22 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2014:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant-nodc_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8344069.000
+# . fir_max_a                    = 8344069.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8345030.287
+# . fil_ampl_a                   = 8345030.275
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.126 =   -8.99 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.65 [dB]
+# . sst_measured_snr_a_dB        =  111.29 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.64 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2015:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant-nodc_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16688139.000
+# . fir_max_a                    = 16688139.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16690060.643
+# . fil_ampl_a                   = 16690060.620
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.122 =   -9.13 [dB]
+# . sst_noise_b                  =           0.001 =  -30.09 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.65 [dB]
+# . sst_measured_snr_a_dB        =  111.43 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.78 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2016:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant-nodc_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 0
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 0
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8344069.000
+# . fir_max_a                    = 8344069.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8345030.287
+# . fil_ampl_a                   = 8345030.275
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.126 =   -8.99 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.65 [dB]
+# . sst_measured_snr_a_dB        =  111.29 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.64 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2017:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant-nodc_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 0
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 0
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16688139.000
+# . fir_max_a                    = 16688139.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16690060.643
+# . fil_ampl_a                   = 16690060.620
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130390
+# . sub_a_ampl                   = 130390.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001552100.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.122 =   -9.13 [dB]
+# . sst_noise_b                  =           0.001 =  -30.09 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.65 [dB]
+# . sst_measured_snr_a_dB        =  111.43 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.78 [dB]
+#
+
+# . wpfb_measured_proc_gain_a_dB =   22.20 [dB]
+# . wpfb_measured_proc_gain_a_dB =   23.87 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.76 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.22 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.64 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.78 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.64 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.78 [dB]
+
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2010:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_16b_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -519009.000
+# . fir_max_a                    = 519009.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 519051.897
+# . fil_ampl_a                   = 519051.896
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129763
+# . sub_a_ampl                   = 129763.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16838436169.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.489 =   -3.10 [dB]
+# . sst_noise_b                  =           0.026 =  -15.78 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   84.09 [dB]
+# . sst_measured_snr_a_dB        =  105.37 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.72 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2011:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_16b_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1038017.000
+# . fir_max_a                    = 1038017.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 1038103.792
+# . fil_ampl_a                   = 1038103.789
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129762
+# . sub_a_ampl                   = 129762.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16838176644.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.274 =   -5.62 [dB]
+# . sst_noise_b                  =           0.010 =  -20.09 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   84.09 [dB]
+# . sst_measured_snr_a_dB        =  107.89 [dB]
+# . wpfb_measured_proc_gain_a_dB =   22.23 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2012:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_16b_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2076035.000
+# . fir_max_a                    = 2076035.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2076207.633
+# . fil_ampl_a                   = 2076207.629
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129762
+# . sub_a_ampl                   = 129762.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16838176644.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.200 =   -7.00 [dB]
+# . sst_noise_b                  =           0.006 =  -22.31 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   84.09 [dB]
+# . sst_measured_snr_a_dB        =  109.26 [dB]
+# . wpfb_measured_proc_gain_a_dB =   23.61 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2013:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_16b_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4152069.000
+# . fir_max_a                    = 4152069.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4152415.206
+# . fil_ampl_a                   = 4152415.198
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129762
+# . sub_a_ampl                   = 129762.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16838176644.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.159 =   -7.97 [dB]
+# . sst_noise_b                  =           0.004 =  -24.07 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   84.09 [dB]
+# . sst_measured_snr_a_dB        =  110.24 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.58 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2014:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_16b_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8304138.000
+# . fir_max_a                    = 8304138.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8304830.399
+# . fil_ampl_a                   = 8304830.383
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129761
+# . sub_a_ampl                   = 129761.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16837917121.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.154 =   -8.14 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   84.09 [dB]
+# . sst_measured_snr_a_dB        =  110.40 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.75 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2015:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_16b_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16608276.000
+# . fir_max_a                    = 16608276.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16609660.784
+# . fil_ampl_a                   = 16609660.752
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129761
+# . sub_a_ampl                   = 129761.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16837917121.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.150 =   -8.25 [dB]
+# . sst_noise_b                  =           0.001 =  -30.09 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   84.09 [dB]
+# . sst_measured_snr_a_dB        =  110.51 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.86 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2030:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_18b_1wb
+# . c_pfir_coef_w                = 18
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -519013.000
+# . fir_max_a                    = 519009.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 519064.653
+# . fil_ampl_a                   = 519064.652
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129766
+# . sub_a_ampl                   = 129766.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16839214756.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.319 =   -4.96 [dB]
+# . sst_noise_b                  =           0.038 =  -14.18 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.55 [dB]
+# . sst_measured_snr_a_dB        =  107.23 [dB]
+# . wpfb_measured_proc_gain_a_dB =   21.57 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2031:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_18b_1wb
+# . c_pfir_coef_w                = 18
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1038025.000
+# . fir_max_a                    = 1038017.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 1038129.308
+# . fil_ampl_a                   = 1038129.307
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129765
+# . sub_a_ampl                   = 129765.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16838955225.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.195 =   -7.11 [dB]
+# . sst_noise_b                  =           0.011 =  -19.68 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.54 [dB]
+# . sst_measured_snr_a_dB        =  109.37 [dB]
+# . wpfb_measured_proc_gain_a_dB =   23.72 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2032:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_18b_1wb
+# . c_pfir_coef_w                = 18
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2076051.000
+# . fir_max_a                    = 2076035.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2076258.573
+# . fil_ampl_a                   = 2076258.570
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129765
+# . sub_a_ampl                   = 129765.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16838955225.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.160 =   -7.95 [dB]
+# . sst_noise_b                  =           0.005 =  -23.10 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.54 [dB]
+# . sst_measured_snr_a_dB        =  110.21 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.56 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2033:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_18b_1wb
+# . c_pfir_coef_w                = 18
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4152101.000
+# . fir_max_a                    = 4152069.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4152517.145
+# . fil_ampl_a                   = 4152517.139
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129765
+# . sub_a_ampl                   = 129765.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16838955225.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.139 =   -8.57 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.54 [dB]
+# . sst_measured_snr_a_dB        =  110.83 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.18 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2034:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_18b_1wb
+# . c_pfir_coef_w                = 18
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8304202.000
+# . fir_max_a                    = 8304138.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8305034.343
+# . fil_ampl_a                   = 8305034.331
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129765
+# . sub_a_ampl                   = 129765.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16838955225.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.133 =   -8.76 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.54 [dB]
+# . sst_measured_snr_a_dB        =  111.02 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.37 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2035:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_18b_1wb
+# . c_pfir_coef_w                = 18
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16608404.000
+# . fir_max_a                    = 16608276.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16610068.644
+# . fil_ampl_a                   = 16610068.620
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129765
+# . sub_a_ampl                   = 129765.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16838955225.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.133 =   -8.76 [dB]
+# . sst_noise_b                  =           0.001 =  -30.09 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.54 [dB]
+# . sst_measured_snr_a_dB        =  111.02 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.37 [dB]
+#
+
+                                    Hanning_16b
+# . wpfb_measured_proc_gain_a_dB =   19.72 [dB]
+# . wpfb_measured_proc_gain_a_dB =   22.23 [dB]
+# . wpfb_measured_proc_gain_a_dB =   23.61 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.58 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.75 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.86 [dB]
+
+                                    Hanning_18b
+# . wpfb_measured_proc_gain_a_dB =   21.57 [dB]
+# . wpfb_measured_proc_gain_a_dB =   23.72 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.56 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.18 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.37 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.37 [dB]
+
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2040:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KKaiser_16b_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -526352.000
+# . fir_max_a                    = 526336.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 526386.425
+# . fil_ampl_a                   = 526386.421
+# . sub_a_re                     = -1
+# . sub_a_im                     = -131596
+# . sub_a_ampl                   = 131596.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 4
+# . sub_a_ampl_frac              =      4.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17317507216.500 =  102.38 [dB]
+# . sst_noise_a                  =           1.079 =    0.33 [dB]
+# . sst_noise_b                  =           0.027 =  -15.62 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   77.73 [dB]
+# . sst_measured_snr_a_dB        =  102.05 [dB]
+# . wpfb_measured_proc_gain_a_dB =   16.40 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2041:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KKaiser_16b_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1052703.000
+# . fir_max_a                    = 1052671.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 1052772.857
+# . fil_ampl_a                   = 1052772.848
+# . sub_a_re                     = 0
+# . sub_a_im                     = -131596
+# . sub_a_ampl                   = 131596.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17317507216.000 =  102.38 [dB]
+# . sst_noise_a                  =           0.844 =   -0.73 [dB]
+# . sst_noise_b                  =           0.010 =  -20.09 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   77.73 [dB]
+# . sst_measured_snr_a_dB        =  103.12 [dB]
+# . wpfb_measured_proc_gain_a_dB =   17.47 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2042:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KKaiser_16b_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2105407.000
+# . fir_max_a                    = 2105343.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2105545.730
+# . fil_ampl_a                   = 2105545.712
+# . sub_a_re                     = 0
+# . sub_a_im                     = -131595
+# . sub_a_ampl                   = 131595.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17317244025.000 =  102.38 [dB]
+# . sst_noise_a                  =           0.746 =   -1.27 [dB]
+# . sst_noise_b                  =           0.004 =  -24.07 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   77.73 [dB]
+# . sst_measured_snr_a_dB        =  103.66 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.01 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2043:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KKaiser_16b_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4210814.000
+# . fir_max_a                    = 4210686.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4211091.449
+# . fil_ampl_a                   = 4211091.413
+# . sub_a_re                     = 0
+# . sub_a_im                     = -131595
+# . sub_a_ampl                   = 131595.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17317244025.000 =  102.38 [dB]
+# . sst_noise_a                  =           0.663 =   -1.78 [dB]
+# . sst_noise_b                  =           0.004 =  -24.07 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   77.72 [dB]
+# . sst_measured_snr_a_dB        =  104.17 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.51 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2044:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KKaiser_16b_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8421628.000
+# . fir_max_a                    = 8421372.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8422182.840
+# . fil_ampl_a                   = 8422182.769
+# . sub_a_re                     = 0
+# . sub_a_im                     = -131595
+# . sub_a_ampl                   = 131595.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17317244025.000 =  102.38 [dB]
+# . sst_noise_a                  =           0.606 =   -2.18 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   77.73 [dB]
+# . sst_measured_snr_a_dB        =  104.56 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.91 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2045:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KKaiser_16b_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16843256.000
+# . fir_max_a                    = 16842744.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16844365.658
+# . fil_ampl_a                   = 16844365.516
+# . sub_a_re                     = 0
+# . sub_a_im                     = -131595
+# . sub_a_ampl                   = 131595.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17317244025.000 =  102.38 [dB]
+# . sst_noise_a                  =           0.609 =   -2.16 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   77.73 [dB]
+# . sst_measured_snr_a_dB        =  104.54 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.89 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2050:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KKaiser_18b_1wb
+# . c_pfir_coef_w                = 18
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -526360.000
+# . fir_max_a                    = 526360.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 526400.729
+# . fil_ampl_a                   = 526400.725
+# . sub_a_re                     = 0
+# . sub_a_im                     = -131600
+# . sub_a_ampl                   = 131600.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 4
+# . sub_a_ampl_frac              =      4.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17318428400.500 =  102.39 [dB]
+# . sst_noise_a                  =           0.903 =   -0.44 [dB]
+# . sst_noise_b                  =           0.030 =  -15.18 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.01 [dB]
+# . sst_measured_snr_a_dB        =  102.83 [dB]
+# . wpfb_measured_proc_gain_a_dB =   17.17 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2051:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KKaiser_18b_1wb
+# . c_pfir_coef_w                = 18
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1052719.000
+# . fir_max_a                    = 1052719.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 1052801.474
+# . fil_ampl_a                   = 1052801.465
+# . sub_a_re                     = 0
+# . sub_a_im                     = -131599
+# . sub_a_ampl                   = 131599.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17318296801.000 =  102.39 [dB]
+# . sst_noise_a                  =           0.762 =   -1.18 [dB]
+# . sst_noise_b                  =           0.009 =  -20.55 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.01 [dB]
+# . sst_measured_snr_a_dB        =  103.56 [dB]
+# . wpfb_measured_proc_gain_a_dB =   17.91 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2052:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KKaiser_18b_1wb
+# . c_pfir_coef_w                = 18
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2105439.000
+# . fir_max_a                    = 2105439.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2105602.947
+# . fil_ampl_a                   = 2105602.930
+# . sub_a_re                     = 0
+# . sub_a_im                     = -131599
+# . sub_a_ampl                   = 131599.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17318296801.000 =  102.39 [dB]
+# . sst_noise_a                  =           0.697 =   -1.57 [dB]
+# . sst_noise_b                  =           0.005 =  -23.10 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.01 [dB]
+# . sst_measured_snr_a_dB        =  103.95 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.30 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2053:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KKaiser_18b_1wb
+# . c_pfir_coef_w                = 18
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4210878.000
+# . fir_max_a                    = 4210878.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4211205.928
+# . fil_ampl_a                   = 4211205.895
+# . sub_a_re                     = 0
+# . sub_a_im                     = -131599
+# . sub_a_ampl                   = 131599.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17318296801.000 =  102.39 [dB]
+# . sst_noise_a                  =           0.657 =   -1.83 [dB]
+# . sst_noise_b                  =           0.003 =  -25.32 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.01 [dB]
+# . sst_measured_snr_a_dB        =  104.21 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.56 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2054:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KKaiser_18b_1wb
+# . c_pfir_coef_w                = 18
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8421756.000
+# . fir_max_a                    = 8421756.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8422411.834
+# . fil_ampl_a                   = 8422411.768
+# . sub_a_re                     = 0
+# . sub_a_im                     = -131599
+# . sub_a_ampl                   = 131599.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17318296801.000 =  102.39 [dB]
+# . sst_noise_a                  =           0.642 =   -1.93 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.01 [dB]
+# . sst_measured_snr_a_dB        =  104.31 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.66 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2055:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KKaiser_18b_1wb
+# . c_pfir_coef_w                = 18
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16843512.000
+# . fir_max_a                    = 16843512.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16844823.672
+# . fil_ampl_a                   = 16844823.538
+# . sub_a_re                     = 0
+# . sub_a_im                     = -131599
+# . sub_a_ampl                   = 131599.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17318296801.000 =  102.39 [dB]
+# . sst_noise_a                  =           0.620 =   -2.07 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.01 [dB]
+# . sst_measured_snr_a_dB        =  104.46 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.81 [dB]
+#
+
+                                    Kaiser_16b
+# . wpfb_measured_proc_gain_a_dB =   16.40 [dB]
+# . wpfb_measured_proc_gain_a_dB =   17.47 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.01 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.51 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.91 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.89 [dB]
+
+                                    Kaiser_18b
+# . wpfb_measured_proc_gain_a_dB =   17.17 [dB]
+# . wpfb_measured_proc_gain_a_dB =   17.91 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.30 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.56 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.66 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.81 [dB]
+
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2060:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_20b_1wb
+# . c_pfir_coef_w                = 20
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -519011.000
+# . fir_max_a                    = 519012.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 519068.099
+# . fil_ampl_a                   = 519068.098
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129767
+# . sub_a_ampl                   = 129767.000
+# . sub_a_re_frac                = -1
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.414
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16839474289.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.290 =   -5.38 [dB]
+# . sst_noise_b                  =           0.037 =  -14.30 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.66 [dB]
+# . sst_measured_snr_a_dB        =  107.64 [dB]
+# . wpfb_measured_proc_gain_a_dB =   21.99 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2061:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_20b_1wb
+# . c_pfir_coef_w                = 20
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1038021.000
+# . fir_max_a                    = 1038023.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 1038136.245
+# . fil_ampl_a                   = 1038136.243
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129766
+# . sub_a_ampl                   = 129766.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16839214756.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.189 =   -7.24 [dB]
+# . sst_noise_b                  =           0.010 =  -20.09 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.65 [dB]
+# . sst_measured_snr_a_dB        =  109.50 [dB]
+# . wpfb_measured_proc_gain_a_dB =   23.85 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2062:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_20b_1wb
+# . c_pfir_coef_w                = 20
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2076043.000
+# . fir_max_a                    = 2076047.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2076272.473
+# . fil_ampl_a                   = 2076272.470
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129766
+# . sub_a_ampl                   = 129766.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16839214756.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.153 =   -8.16 [dB]
+# . sst_noise_b                  =           0.005 =  -23.10 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.66 [dB]
+# . sst_measured_snr_a_dB        =  110.43 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.77 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2063:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_20b_1wb
+# . c_pfir_coef_w                = 20
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4152085.000
+# . fir_max_a                    = 4152093.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4152544.946
+# . fil_ampl_a                   = 4152544.940
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129766
+# . sub_a_ampl                   = 129766.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16839214756.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.134 =   -8.73 [dB]
+# . sst_noise_b                  =           0.004 =  -24.07 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.65 [dB]
+# . sst_measured_snr_a_dB        =  110.99 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.34 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2064:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_20b_1wb
+# . c_pfir_coef_w                = 20
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8304170.000
+# . fir_max_a                    = 8304186.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8305089.917
+# . fil_ampl_a                   = 8305089.906
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129765
+# . sub_a_ampl                   = 129765.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16838955225.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.123 =   -9.09 [dB]
+# . sst_noise_b                  =           0.002 =  -27.08 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.65 [dB]
+# . sst_measured_snr_a_dB        =  111.35 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.70 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2065:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coefficient_16KHanning_20b_1wb
+# . c_pfir_coef_w                = 20
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16608340.000
+# . fir_max_a                    = 16608372.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16610179.784
+# . fil_ampl_a                   = 16610179.761
+# . sub_a_re                     = 0
+# . sub_a_im                     = -129765
+# . sub_a_ampl                   = 129765.000
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 0
+# . sub_a_ampl_frac              =      0.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 16838955225.000 =  102.26 [dB]
+# . sst_noise_a                  =           0.121 =   -9.16 [dB]
+# . sst_noise_b                  =           0.001 =  -30.09 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   85.65 [dB]
+# . sst_measured_snr_a_dB        =  111.42 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.77 [dB]
+#
+
+# . wpfb_measured_proc_gain_a_dB =   21.99 [dB]
+# . wpfb_measured_proc_gain_a_dB =   23.85 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.77 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.34 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.70 [dB]
+# . wpfb_measured_proc_gain_a_dB =   25.77 [dB]
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2070:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -521520.000
+# . fir_max_a                    = 521520.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 521566.787
+# . fil_ampl_a                   = 521566.783
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65196
+# . sub_a_ampl                   =  65196.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994933
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 2
+# . sub_a_ampl_frac              =      2.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250518416.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.222 =   -6.53 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  102.82 [dB]
+# . wpfb_measured_proc_gain_a_dB =   17.17 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2071:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1043041.000
+# . fir_max_a                    = 1043041.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 1043133.576
+# . fil_ampl_a                   = 1043133.568
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65195
+# . sub_a_ampl                   =  65195.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994918
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 2
+# . sub_a_ampl_frac              =      2.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250388025.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.135 =   -8.70 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  104.98 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.33 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2072:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65195
+# . sub_a_ampl                   =  65195.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994918
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250388025.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.114 =   -9.41 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  105.70 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.04 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2073:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4172163.000
+# . fir_max_a                    = 4172163.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4172534.342
+# . fil_ampl_a                   = 4172534.311
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65195
+# . sub_a_ampl                   =  65195.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994918
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250388025.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.112 =   -9.53 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  105.81 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.16 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2074:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8344325.000
+# . fir_max_a                    = 8344325.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8345068.660
+# . fil_ampl_a                   = 8345068.596
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65195
+# . sub_a_ampl                   =  65195.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994918
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250388025.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.109 =   -9.64 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  105.93 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.27 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2075:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 18
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16688651.000
+# . fir_max_a                    = 16688651.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16690137.335
+# . fil_ampl_a                   = 16690137.208
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65195
+# . sub_a_ampl                   =  65195.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994918
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250388025.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.106 =   -9.76 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  106.04 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.39 [dB]
+#
+
+
+# . wpfb_measured_proc_gain_a_dB =   17.17 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.33 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.04 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.16 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.27 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.39 [dB]
+
+
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2070:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -521520.000
+# . fir_max_a                    = 521520.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 521566.787
+# . fil_ampl_a                   = 521566.783
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65196
+# . sub_a_ampl                   =  65196.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994933
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 2
+# . sub_a_ampl_frac              =      2.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250518416.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.226 =   -6.46 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  102.74 [dB]
+# . wpfb_measured_proc_gain_a_dB =   17.09 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2071:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1043041.000
+# . fir_max_a                    = 1043041.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 1043133.576
+# . fil_ampl_a                   = 1043133.568
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65196
+# . sub_a_ampl                   =  65196.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994933
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 2
+# . sub_a_ampl_frac              =      2.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250518416.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.133 =   -8.76 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  105.04 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.39 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2072:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65196
+# . sub_a_ampl                   =  65196.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994933
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250518416.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.113 =   -9.49 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  105.77 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.12 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2073:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4172163.000
+# . fir_max_a                    = 4172163.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4172534.342
+# . fil_ampl_a                   = 4172534.311
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65196
+# . sub_a_ampl                   =  65196.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994933
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250518416.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.110 =   -9.60 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  105.89 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.23 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2074:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8344325.000
+# . fir_max_a                    = 8344325.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8345068.660
+# . fil_ampl_a                   = 8345068.596
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65196
+# . sub_a_ampl                   =  65196.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994933
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250518416.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.110 =   -9.60 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  105.89 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.23 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2075:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 18
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16688651.000
+# . fir_max_a                    = 16688651.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16690137.335
+# . fil_ampl_a                   = 16690137.208
+# . sub_a_re                     = 0
+# . sub_a_im                     = -65196
+# . sub_a_ampl                   =  65196.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994933
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 1
+# . sub_a_ampl_frac              =      1.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               =  4250518416.000 =   96.28 [dB]
+# . sst_noise_a                  =           0.108 =   -9.68 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  105.97 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.31 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2080:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -521520.000
+# . fir_max_a                    = 521520.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 521566.787
+# . fil_ampl_a                   = 521566.783
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130393
+# . sub_a_ampl                   = 130393.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994941
+# . sub_a_re_frac                = -1
+# . sub_a_im_frac                = 4
+# . sub_a_ampl_frac              =      4.123
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17002334449.000 =  102.31 [dB]
+# . sst_noise_a                  =           0.998 =   -0.01 [dB]
+# . sst_noise_b                  =           0.003 =  -25.32 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  102.31 [dB]
+# . wpfb_measured_proc_gain_a_dB =   16.66 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2081:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1043041.000
+# . fir_max_a                    = 1043041.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 1043133.576
+# . fil_ampl_a                   = 1043133.568
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130392
+# . sub_a_ampl                   = 130392.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994933
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17002073664.000 =  102.31 [dB]
+# . sst_noise_a                  =           0.731 =   -1.36 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  103.67 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.01 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2082:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130392
+# . sub_a_ampl                   = 130392.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994933
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17002073664.000 =  102.31 [dB]
+# . sst_noise_a                  =           0.654 =   -1.85 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.15 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.50 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2083:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4172163.000
+# . fir_max_a                    = 4172163.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4172534.342
+# . fil_ampl_a                   = 4172534.311
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130391
+# . sub_a_ampl                   = 130391.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994926
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001812881.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.579 =   -2.37 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.68 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.02 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2084:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8344325.000
+# . fir_max_a                    = 8344325.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8345068.660
+# . fil_ampl_a                   = 8345068.596
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130391
+# . sub_a_ampl                   = 130391.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994926
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001812881.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.567 =   -2.47 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.77 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.12 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2085:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16688651.000
+# . fir_max_a                    = 16688651.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16690137.335
+# . fil_ampl_a                   = 16690137.208
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130391
+# . sub_a_ampl                   = 130391.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994926
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001812881.000 =  102.30 [dB]
+# . sst_noise_a                  =           0.546 =   -2.63 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  104.93 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.28 [dB]
+#
+
+
+# . wpfb_measured_proc_gain_a_dB =   17.09 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.39 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.12 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.23 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.23 [dB]
+# . wpfb_measured_proc_gain_a_dB =   20.31 [dB]
+
+# . wpfb_measured_proc_gain_a_dB =   16.66 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.01 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.50 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.02 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.12 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.28 [dB]
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2090:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 20
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -521520.000
+# . fir_max_a                    = 521520.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 521566.787
+# . fil_ampl_a                   = 521566.783
+# . sub_a_re                     = -1
+# . sub_a_im                     = -260785
+# . sub_a_ampl                   = 260785.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994937
+# . sub_a_re_frac                = -1
+# . sub_a_im_frac                = 7
+# . sub_a_ampl_frac              =      7.071
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 68008816225.500 =  108.33 [dB]
+# . sst_noise_a                  =           3.927 =    5.94 [dB]
+# . sst_noise_b                  =           0.432 =   -3.64 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  102.39 [dB]
+# . wpfb_measured_proc_gain_a_dB =   16.73 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2091:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 20
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1043041.000
+# . fir_max_a                    = 1043041.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 1043133.576
+# . fil_ampl_a                   = 1043133.568
+# . sub_a_re                     = 0
+# . sub_a_im                     = -260784
+# . sub_a_ampl                   = 260784.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994933
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 6
+# . sub_a_ampl_frac              =      6.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 68008294656.000 =  108.33 [dB]
+# . sst_noise_a                  =           2.891 =    4.61 [dB]
+# . sst_noise_b                  =           0.012 =  -19.30 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  103.71 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.06 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2092:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 20
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -260783
+# . sub_a_ampl                   = 260783.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994930
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 6
+# . sub_a_ampl_frac              =      6.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 68007773089.000 =  108.33 [dB]
+# . sst_noise_a                  =           2.455 =    3.90 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.43 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.77 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2093:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 20
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4172163.000
+# . fir_max_a                    = 4172163.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 4172534.342
+# . fil_ampl_a                   = 4172534.311
+# . sub_a_re                     = 0
+# . sub_a_im                     = -260783
+# . sub_a_ampl                   = 260783.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994930
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 6
+# . sub_a_ampl_frac              =      6.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 68007773089.000 =  108.33 [dB]
+# . sst_noise_a                  =           2.200 =    3.42 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.90 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.25 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2094:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 20
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8344325.000
+# . fir_max_a                    = 8344325.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 8345068.660
+# . fil_ampl_a                   = 8345068.596
+# . sub_a_re                     = 0
+# . sub_a_im                     = -260783
+# . sub_a_ampl                   = 260783.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994930
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 6
+# . sub_a_ampl_frac              =      6.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 68007773089.000 =  108.33 [dB]
+# . sst_noise_a                  =           2.132 =    3.29 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  105.04 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.38 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2095:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 20
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16688651.000
+# . fir_max_a                    = 16688651.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 16690137.335
+# . fil_ampl_a                   = 16690137.208
+# . sub_a_re                     = 0
+# . sub_a_im                     = -260783
+# . sub_a_ampl                   = 260783.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994930
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 6
+# . sub_a_ampl_frac              =      6.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 68007512306.500 =  108.33 [dB]
+# . sst_noise_a                  =           2.109 =    3.24 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.19 [dB]
+# . sst_measured_snr_a_dB        =  105.09 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.43 [dB]
+#
+
+# . wpfb_measured_proc_gain_a_dB =   16.73 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.06 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.77 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.25 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.38 [dB]
+# . wpfb_measured_proc_gain_a_dB =   19.43 [dB]
+
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2100:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 12
+# . c_internal_dat_w             = 21
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 22
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -2047.000
+# . input_max_a                  =   2047.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -521345.000
+# . fir_max_a                    = 521345.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 2047
+# . input_ampl_a                 =   2047.960
+# . cw_ampl_a                    =   2047.960
+# . fir_ampl_a                   = 521560.286
+# . fil_ampl_a                   = 521560.271
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130391
+# . sub_a_ampl                   = 130391.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.995290
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 4
+# . sub_a_ampl_frac              =      4.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17001812881.000 =  102.30 [dB]
+# . sst_noise_a                  =           2.557 =    4.08 [dB]
+# . sst_noise_b                  =           0.006 =  -22.31 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   74.00 [dB]
+# . wg_measured_snr_a_dB         =   73.48 [dB]
+# . fil_measured_snr_a_dB        =   72.53 [dB]
+# . sst_measured_snr_a_dB        =   98.23 [dB]
+# . wpfb_measured_proc_gain_a_dB =   24.74 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2101:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 13
+# . c_internal_dat_w             = 22
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 23
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -4095.000
+# . input_max_a                  =   4095.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -1042913.000
+# . fir_max_a                    = 1042913.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 4095
+# . input_ampl_a                 =   4095.976
+# . cw_ampl_a                    =   4095.976
+# . fir_ampl_a                   = 1043134.758
+# . fil_ampl_a                   = 1043134.746
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130392
+# . sub_a_ampl                   = 130392.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.995055
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17002073664.000 =  102.31 [dB]
+# . sst_noise_a                  =           1.077 =    0.32 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   80.03 [dB]
+# . wg_measured_snr_a_dB         =   79.90 [dB]
+# . fil_measured_snr_a_dB        =   76.25 [dB]
+# . sst_measured_snr_a_dB        =  101.98 [dB]
+# . wpfb_measured_proc_gain_a_dB =   22.09 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2102:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 14
+# . c_internal_dat_w             = 23
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 24
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  =  -8191.000
+# . input_max_a                  =   8191.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -2086081.000
+# . fir_max_a                    = 2086081.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 8191
+# . input_ampl_a                 =   8191.943
+# . cw_ampl_a                    =   8191.943
+# . fir_ampl_a                   = 2086267.127
+# . fil_ampl_a                   = 2086267.112
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130392
+# . sub_a_ampl                   = 130392.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994933
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17002073664.000 =  102.31 [dB]
+# . sst_noise_a                  =           0.654 =   -1.85 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   86.05 [dB]
+# . wg_measured_snr_a_dB         =   85.65 [dB]
+# . fil_measured_snr_a_dB        =   78.18 [dB]
+# . sst_measured_snr_a_dB        =  104.15 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.50 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2103:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 15
+# . c_internal_dat_w             = 24
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 25
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  = -16383.000
+# . input_max_a                  =  16383.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -4172417.000
+# . fir_max_a                    = 4172417.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 16383
+# . input_ampl_a                 =  16383.977
+# . cw_ampl_a                    =  16383.977
+# . fir_ampl_a                   = 4172557.299
+# . fil_ampl_a                   = 4172557.270
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130392
+# . sub_a_ampl                   = 130392.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994873
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17002073664.000 =  102.31 [dB]
+# . sst_noise_a                  =           0.484 =   -3.15 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   92.07 [dB]
+# . wg_measured_snr_a_dB         =   92.09 [dB]
+# . fil_measured_snr_a_dB        =   78.58 [dB]
+# . sst_measured_snr_a_dB        =  105.45 [dB]
+# . wpfb_measured_proc_gain_a_dB =   13.36 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2104:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 16
+# . c_internal_dat_w             = 25
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 26
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  = -32767.000
+# . input_max_a                  =  32767.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -8345089.000
+# . fir_max_a                    = 8344580.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 32767
+# . input_ampl_a                 =  32767.872
+# . cw_ampl_a                    =  32767.872
+# . fir_ampl_a                   = 8345093.842
+# . fil_ampl_a                   = 8345093.789
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130392
+# . sub_a_ampl                   = 130392.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994842
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17002073664.000 =  102.31 [dB]
+# . sst_noise_a                  =           0.410 =   -3.87 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =   98.09 [dB]
+# . wg_measured_snr_a_dB         =   98.63 [dB]
+# . fil_measured_snr_a_dB        =   78.96 [dB]
+# . sst_measured_snr_a_dB        =  106.18 [dB]
+# . wpfb_measured_proc_gain_a_dB =    7.55 [dB]
+#
+# -------------------------------------------------------------
+# -- WPFB settings of tb-2105:
+# -------------------------------------------------------------
+# . c_pfs_bypass                 = FALSE
+# . g_fil_coefs_file_prefix      = data/Coeffs16384Kaiser-quant_1wb
+# . c_pfir_coef_w                = 16
+# . g_fil_backoff_w              = 1
+# . g_fil_in_dat_w               = 17
+# . c_internal_dat_w             = 26
+# . c_twiddle_w                  = 20
+# . g_fft_out_dat_w              = 19
+# . g_fft_out_gain_w             = 1
+# . g_fft_stage_dat_w            = 27
+# . g_fft_guard_w                = 1
+# . c_switch_en                  = 0
+#
+# WG settings:
+# . g_subband_index_a            = 61.000
+# . g_amplitude_a                = 1.000
+# . g_phase_a                    =    0.0 degrees
+#
+# DC, min, max levels
+# . input_min_a                  = -65535.000
+# . input_max_a                  =  65535.000
+# . input_mean_a                 =      0.000
+# . fir_min_a                    = -16690433.000
+# . fir_max_a                    = 16689415.000
+# . fir_mean_a                   =      0.000
+#
+# Amplitudes:
+# . c_wg_ampl_a                  = 65535
+# . input_ampl_a                 =  65535.833
+# . cw_ampl_a                    =  65535.833
+# . fir_ampl_a                   = 16690210.227
+# . fil_ampl_a                   = 16690210.121
+# . sub_a_re                     = 0
+# . sub_a_im                     = -130392
+# . sub_a_ampl                   = 130392.000
+# . sub_a_ampl/c_exp_sub_a_ampl  =   0.994827
+# . sub_a_re_frac                = 0
+# . sub_a_im_frac                = 3
+# . sub_a_ampl_frac              =      3.000
+#
+# Phases [Ts]:
+# . cw_phase_Ts_a                =     -1.000
+# . fil_phase_Ts_a               =     -1.000
+#
+# Powers:
+# . sst_wg_power_a               = 17002073664.000 =  102.31 [dB]
+# . sst_noise_a                  =           0.380 =   -4.21 [dB]
+# . sst_noise_b                  =           0.000 = -200.00 [dB]
+#
+# SNR and WPFB processing gain:
+# . c_wg_snr_a_dB                =  104.11 [dB]
+# . wg_measured_snr_a_dB         =  103.51 [dB]
+# . fil_measured_snr_a_dB        =   78.98 [dB]
+# . sst_measured_snr_a_dB        =  106.51 [dB]
+# . wpfb_measured_proc_gain_a_dB =    3.00 [dB]
+#
+
+# . wpfb_measured_proc_gain_a_dB =   24.74 [dB]
+# . wpfb_measured_proc_gain_a_dB =   22.09 [dB]
+# . wpfb_measured_proc_gain_a_dB =   18.50 [dB]
+# . wpfb_measured_proc_gain_a_dB =   13.36 [dB]
+# . wpfb_measured_proc_gain_a_dB =    7.55 [dB]
+# . wpfb_measured_proc_gain_a_dB =    3.00 [dB]
+
diff --git a/libraries/dsp/verify_pfb/tb_verify_pfb_wg.vhd b/libraries/dsp/verify_pfb/tb_verify_pfb_wg.vhd
index 41d547963654ac7de8b143a745b68ef723ab8ce2..85e9724b6bf862de675c64c66c245a9d04bb8b9c 100644
--- a/libraries/dsp/verify_pfb/tb_verify_pfb_wg.vhd
+++ b/libraries/dsp/verify_pfb/tb_verify_pfb_wg.vhd
@@ -172,7 +172,8 @@ ARCHITECTURE tb OF tb_verify_pfb_wg IS
   --CONSTANT c_view_pfir_impulse_reponse : BOOLEAN := TRUE;
   
   -- Determine bypass PFIR for PFB2, using g_fil_coefs_file_prefix setting for WPFB
-  CONSTANT c_pfs_bypass        : BOOLEAN := g_fil_coefs_file_prefix="data/run_pfir_coeff_m_bypass_16taps_1024points_16b_1wb";
+  CONSTANT c_pfs_bypass        : BOOLEAN := g_fil_coefs_file_prefix = "data/run_pfir_coeff_m_bypass_16taps_1024points_16b_1wb";
+  CONSTANT c_pfs_lofar1        : BOOLEAN := g_fil_coefs_file_prefix = "data/Coeffs16384Kaiser-quant_1wb";
 
   -- Determine PFIR coefficient width for WPFB and PFB2
   CONSTANT c_pfir_coef_w       : NATURAL := sel_a_b(g_sel_pfb="WPFB", g_fil_coef_dat_w, 16);
@@ -239,9 +240,6 @@ ARCHITECTURE tb OF tb_verify_pfb_wg IS
   CONSTANT c_nof_channels            : NATURAL := 2**c_wpfb.nof_chan;       -- = 2**0 = 1, so no time multiplexing of inputs
   CONSTANT c_nof_sync                : NATURAL := 5;                        -- nof sync intervals to simulate
   
-  -- Expected subband amplitude gain relative to input WG amplitude -1 for divide by 2 in two real input separate (Ampl --> Ampl/2)
-  CONSTANT c_pfb_sub_scaling         : REAL := 2.0**REAL((g_fft_out_dat_w + g_fft_out_gain_w) - (g_fil_in_dat_w + g_fil_backoff_w) - 1);
-
   -- Subband at WG frequency
   CONSTANT c_bin_a                   : NATURAL := NATURAL(FLOOR(g_subband_index_a));
   CONSTANT c_bin_a_frac_en           : BOOLEAN := g_subband_index_a > REAL(c_bin_a);
@@ -277,7 +275,18 @@ ARCHITECTURE tb OF tb_verify_pfb_wg IS
                                                                                      -- subband index / c_N_fft = 64 / 1024 = 1/16
     
   -- SST
-  
+  -- Expected subband amplitude gain relative to input WG amplitude -1 for divide by 2 in two real input separate (Ampl --> Ampl/2)
+  -- . default assume c_fir_filter_dc_gain ~= 1.0, like with c_fil_lofar1_fir_filter_dc_gain = 0.994817
+  CONSTANT c_fir_filter_dc_gain      : REAL := sel_a_b(c_pfs_lofar1, c_fil_lofar1_fir_filter_dc_gain, 1.0);
+  CONSTANT c_pfb_sub_scaling         : REAL := func_wpfb_subband_gain(c_wpfb, c_fir_filter_dc_gain);
+
+  CONSTANT c_exp_sub_a_ampl          : REAL := c_wg_ampl_a * c_pfb_sub_scaling;
+  CONSTANT c_exp_sub_b_ampl          : REAL := c_wg_ampl_b * c_pfb_sub_scaling;
+
+  -- Use 1 as integration interval, because measured sst_wg_power_a,b is normalized for c_N_blk
+  CONSTANT c_exp_sst_a               : REAL := func_wpfb_sst_level(c_exp_sub_a_ampl, 1);
+  CONSTANT c_exp_sst_b               : REAL := func_wpfb_sst_level(c_exp_sub_b_ampl, 1);
+
   -- TB
   SIGNAL bs_end                 : STD_LOGIC := '0';
   SIGNAL tb_end                 : STD_LOGIC := '0';
@@ -1017,6 +1026,7 @@ BEGIN
       print_str(". sub_a_re                     = " & int_to_str(sub_a_re));
       print_str(". sub_a_im                     = " & int_to_str(sub_a_im));
       print_str(". sub_a_ampl                   = " & real_to_str(sub_a_ampl, 10, 3));
+      print_str(". sub_a_ampl/c_exp_sub_a_ampl  = " & real_to_str(sub_a_ampl/c_exp_sub_a_ampl, 10, 6));
       print_str(". sub_a_re_frac                = " & int_to_str(sub_a_re_frac));
       print_str(". sub_a_im_frac                = " & int_to_str(sub_a_im_frac));
       print_str(". sub_a_ampl_frac              = " & real_to_str(sub_a_ampl_frac, 10, 3));
@@ -1027,6 +1037,7 @@ BEGIN
       print_str("");
       print_str("Powers:");
       print_str(". sst_wg_power_a               = " & real_to_str(sst_wg_power_a, 15, 3) & " = " & real_to_str(sst_wg_power_a_dB, 7, 2) & " [dB]");
+      print_str(". sst_wg_power_a/c_exp_sst_a   = " & real_to_str(sst_wg_power_a/c_exp_sst_a, 10, 6));
       print_str(". sst_noise_a                  = " & real_to_str(sst_noise_a, 15, 3) & " = " & real_to_str(sst_noise_a_dB, 7, 2) & " [dB]");
       print_str(". sst_noise_b                  = " & real_to_str(sst_noise_b, 15, 3) & " = " & real_to_str(sst_noise_b_dB, 7, 2) & " [dB]");  -- FFT cross talk power from a to b (if g_amplitude_b = 0)
       print_str("");
@@ -1060,6 +1071,7 @@ BEGIN
       print_str(". sub_b_re                     = " & int_to_str(sub_b_re));
       print_str(". sub_b_im                     = " & int_to_str(sub_b_im));
       print_str(". sub_b_ampl                   = " & real_to_str(sub_b_ampl, 10, 3));
+      print_str(". sub_b_ampl/c_exp_sub_b_ampl  = " & real_to_str(sub_b_ampl/c_exp_sub_b_ampl, 10, 6));
       print_str(". sub_b_re_frac                = " & int_to_str(sub_b_re_frac));
       print_str(". sub_b_im_frac                = " & int_to_str(sub_b_im_frac));
       print_str(". sub_b_ampl_frac              = " & real_to_str(sub_b_ampl_frac, 10, 3));
@@ -1069,6 +1081,7 @@ BEGIN
       print_str(". fil_phase_Ts_b               = " & real_to_str(fil_phase_Ts_b, 10, 3));
       print_str("Powers:");
       print_str(". sst_wg_power_b               = " & real_to_str(sst_wg_power_b, 15, 3) & " = " & real_to_str(sst_wg_power_b_dB, 7, 2) & " [dB]");
+      print_str(". sst_wg_power_b/c_exp_sst_b   = " & real_to_str(sst_wg_power_b/c_exp_sst_b, 10, 6));
       print_str(". sst_noise_b                  = " & real_to_str(sst_noise_b, 15, 3) & " = " & real_to_str(sst_noise_b_dB, 7, 2) & " [dB]");
       print_str(". sst_noise_a                  = " & real_to_str(sst_noise_a, 15, 3) & " = " & real_to_str(sst_noise_a_dB, 7, 2) & " [dB]");  -- FFT cross talk power from b to a (if g_amplitude_a = 0)
       print_str("");
@@ -1092,6 +1105,8 @@ BEGIN
       ASSERT almost_equal(fir_ampl_a, fil_ampl_a, 10.0)   REPORT "Wrong estimated amplitude for FIR filter output a, " & real_to_str(fir_ampl_a, 7, 0) & " /~= " & real_to_str(fil_ampl_a, 7, 0) SEVERITY ERROR;
       ASSERT almost_equal(sub_a_ampl/cw_ampl_a/c_pfb_sub_scaling, 1.0, 0.01)
                                                           REPORT "Wrong measured scaling for PFB subband output a, " & real_to_str(sub_a_ampl/cw_ampl_a, 7, 0) & " /~= " & real_to_str(c_pfb_sub_scaling, 7, 0) SEVERITY ERROR;
+      ASSERT almost_equal(sst_wg_power_a/c_exp_sst_a, 1.0, 0.01)
+                                                          REPORT "Wrong measured scaling for PFB SST output a, " & real_to_str(sst_wg_power_a/c_exp_sst_a, 7, 0) & " /~= 1.0" SEVERITY ERROR;
     END IF;
     tb_end <= '1';
     WAIT;
diff --git a/libraries/dsp/wpfb/src/vhdl/wpfb_pkg.vhd b/libraries/dsp/wpfb/src/vhdl/wpfb_pkg.vhd
index d87302058e1779ac79bba9a0542157a249cedcf7..71d31450368ce939a3edb09a389f35b33b9b1acc 100644
--- a/libraries/dsp/wpfb/src/vhdl/wpfb_pkg.vhd
+++ b/libraries/dsp/wpfb/src/vhdl/wpfb_pkg.vhd
@@ -21,6 +21,7 @@
 
 library ieee, common_lib, rTwoSDF_lib, fft_lib, filter_lib;
 use IEEE.std_logic_1164.all;
+use IEEE.math_real.all;
 use common_lib.common_pkg.all;
 use rTwoSDF_lib.rTwoSDFPkg.all;
 use fft_lib.fft_pkg.all; 
@@ -69,6 +70,61 @@ package wpfb_pkg is
     fil_pipeline      : t_fil_ppf_pipeline; -- Pipeline settings for the filter units     
   end record;
 
+  -----------------------------------------------------------------------------
+  -- LOFAR2 subband filter
+  -----------------------------------------------------------------------------
+
+  -- Fsub settings:
+  -- . Settings used on LTS and DTS until at least March 2022
+  constant c_wpfb_lofar2_subbands_lts_2021 : t_wpfb := (1, 1024, 0, 6,
+                                                        16, 0, 14, 17, 16,
+                                                        true, false, true, 17, 18, 0, 22, 1, true, 54, 2, 195313,
+                                                        c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+
+  -- . Settings used on DTS in 2022 with fft_out_dat_w = 18b, to have same levels as with c_wpfb_lofar2_subbands_lts
+  --   - use fil_backoff_w to avoid overshoot and fft_out_gain_w = 1 to compensate to keep output level
+  --   - use stage_dat_w = 24 --> fil_out_dat_w = fft_in_dat_w = 23
+  constant c_wpfb_lofar2_subbands_dts_18b : t_wpfb := (1, 1024, 0, 6,
+                                                       16, 1, 14, 23, 16,
+                                                       true, false, true, 23, 18, 1, 24, 1, true, 54, 2, 195313,
+                                                       c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+
+  -- . Settings used on DTS with fft_out_dat_w = 19b, to preserve FFT processing gain of 4.5 bits
+  --   - use stage_dat_w = 25 --> fil_out_dat_w = fft_in_dat_w = 24
+  --   - with fft_out_dat_w = 19 --> stat_data_w = 2*19 + 18 = 56 b
+  constant c_wpfb_lofar2_subbands_dts_19b : t_wpfb := (1, 1024, 0, 6,
+                                                       16, 1, 14, 24, 16,
+                                                       true, false, true, 24, 19, 1, 25, 1, true, 56, 2, 195313,
+                                                       c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
+
+  constant c_wpfb_lofar2_subbands : t_wpfb := c_wpfb_lofar2_subbands_dts_18b;
+
+  -- The FFT output has more bits to be able to preserve the sensitivity of
+  -- the processing gain of the FFT. The FFT has a processing gain of
+  -- sqrt(N_sub = N_fft / 2 = 512), so 4.5 bits. Therefore choose
+  -- fft_out_dat_w = fil_in_dat_w + 5 = 14 + 5 = 19b. Using fft_out_gain_w =
+  -- 1 compensates for the fil_backoff_w = 1 of the FIR filter. The
+  -- func_wpfb_subband_scale_w then thus returns 19 + 1 - (14 + 1) = 5 bits.
+  function func_wpfb_subband_scale_w(wpfb : t_wpfb) return natural;
+
+  -- The WPFB subband gain is the expected factor between subband amplitude
+  -- A_sub and real signal input amplitude A_sp, so:
+  --   A_sub = A_sp * func_wpfb_subband_gain()
+  -- The WPFB subband gain consists of:
+  -- . DC gain of the FIR filter (= fir_filter_dc_gain ~= 1.0),
+  -- . the FFT gain for a real input (= c_fft_real_input_gain_sine = 0.5) and
+  -- . the extra bits to preserve the sensitivity of the FFT processing gain
+  --   (derived from wpfb).
+  -- For example:
+  -- . func_wpfb_subband_gain() ~=  8 for c_wpfb_lofar2_subbands_lts_2021 and
+  --                                  for c_wpfb_lofar2_subbands_dts_18b
+  -- . func_wpfb_subband_gain() ~= 16 for c_wpfb_lofar2_subbands_dts_19b
+  function func_wpfb_subband_gain(wpfb : t_wpfb; fir_filter_dc_gain : real) return real;
+
+  -- The expected WPFB SST level for subband amplitude A_sub and an integration
+  -- interval of N_int subband blocks (periods).
+  function func_wpfb_sst_level(A_sub : real; N_int : natural) return real;
+
   -----------------------------------------------------------------------------
   -- Apertif application specfic settings
   -----------------------------------------------------------------------------
@@ -143,7 +199,7 @@ package wpfb_pkg is
   --   apertif_unb1_correlator_vis_offload
   -- . fft_out_dat_w = 18, because in there is a separate dp_requantize to get from 18b --> 9b in
   --   node_apertif_unb1_correlator_processing, this dp_requantize uses symmertical clipping.
-  CONSTANT c_wpfb_apertif_channels : t_wpfb := (1, 64, 1, 12,
+  constant c_wpfb_apertif_channels : t_wpfb := (1, 64, 1, 12,
                                                 8, 0, 8, 16, 9,
                                                 false, false, false, 16, 18, 0, c_dsp_mult_w, 2, true, 56, 2, 12500,
                                                 c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
@@ -168,7 +224,7 @@ package wpfb_pkg is
   -- . Using fft_out_dat_w = 12 instead of 9 and fft_out_gain_w = 2 instead of 0 created 12 - 9 - 2 = 1 bit more
   --   dynamic range. Therefore it may not be necessary to use fine channel symmetrical clipping using an external
   --   dp_requantize, like in Apertif X.
-  CONSTANT c_wpfb_arts_channels_sc4 : t_wpfb  := (1, 64, 1, 12,
+  constant c_wpfb_arts_channels_sc4 : t_wpfb  := (1, 64, 1, 12,
                                                   8, 0, 8, 16, 9,
                                                   true, true, false, 16, 12, 2, c_dsp_mult_w, 2, true, 56, 2, 12500,
                                                   c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline);
@@ -184,12 +240,27 @@ package wpfb_pkg is
   
   -- Estimate maximum number of blocks of latency between WPFB input and output
   function func_wpfb_maximum_sop_latency(wpfb : t_wpfb) return natural;
-  function func_wpfb_set_nof_block_per_sync(wpfb : t_wpfb; nof_block_per_sync : NATURAL) return t_wpfb;
+  function func_wpfb_set_nof_block_per_sync(wpfb : t_wpfb; nof_block_per_sync : natural) return t_wpfb;
 
 end package wpfb_pkg;
 
 package body wpfb_pkg is
 
+  function func_wpfb_subband_scale_w(wpfb : t_wpfb) return natural is
+  begin
+    return wpfb.fft_out_dat_w + wpfb.fft_out_gain_w - (wpfb.fil_in_dat_w + wpfb.fil_backoff_w);
+  end;
+
+  function func_wpfb_subband_gain(wpfb : t_wpfb; fir_filter_dc_gain : real) return real is
+  begin
+    return fir_filter_dc_gain * c_fft_real_input_gain_sine * 2.0**real(func_wpfb_subband_scale_w(wpfb));
+  end;
+
+  function func_wpfb_sst_level(A_sub : real; N_int : natural) return real is
+  begin
+    return A_sub ** 2.0 * REAL(N_int);
+  end;
+
   function func_wpfb_maximum_sop_latency(wpfb : t_wpfb) return natural is
     constant c_nof_channels : natural := 2**wpfb.nof_chan;
     constant c_block_size   : natural := c_nof_channels * wpfb.nof_points / wpfb.wb_factor;
@@ -208,7 +279,7 @@ package body wpfb_pkg is
   end func_wpfb_maximum_sop_latency;
   
   -- Overwrite nof_block_per_sync field in wpfb (typically for faster simulation)
-  function func_wpfb_set_nof_block_per_sync(wpfb : t_wpfb; nof_block_per_sync : NATURAL) return t_wpfb is
+  function func_wpfb_set_nof_block_per_sync(wpfb : t_wpfb; nof_block_per_sync : natural) return t_wpfb is
     variable v_wpfb : t_wpfb;
   begin
     v_wpfb := wpfb;